1
0
mirror of git://projects.qi-hardware.com/ben-blinkenlights.git synced 2024-06-29 00:02:01 +03:00
ben-blinkenlights/ubb-vga/Makefile

39 lines
663 B
Makefile

#CC=mipsel-openwrt-linux-uclibc-gcc
CC=mipsel-linux-gcc
CFLAGS=-Wall -g -O9 -march=mips32
LDFLAGS=-lm
OBJS=ubb-vga.o grabfb.o tstimg.o ppm.o ppmimg.o ccube.o physmem.o
.PHONY: all asm sch schp brd clean spotless
all: ubb-vga ubb-vga-old
ubb-vga: $(OBJS)
asm: ubb-vga.c
$(CC) $(CFLAGS) -S $<
sch:
eeschema `pwd`/ubb-vga.sch
schp:
eeschema `pwd`/vga-ben.sch
brd:
pcbnew `pwd`/vga-ben.brd
vga-ben-front.png:
$(MAKE) -f ../../ben-wpan/makefiles/Makefile.kicad \
NAME=vga-ben $@
vga-ben-back.png:
$(MAKE) -f ../../ben-wpan/makefiles/Makefile.kicad \
NAME=vga-ben $@
clean:
rm -f $(OBJS)
spotless: clean
rm -f ubb-vga ubb-vga-old