1
0
mirror of git://projects.qi-hardware.com/ben-blinkenlights.git synced 2024-07-03 00:09:30 +03:00
ben-blinkenlights/ubb-vga/Makefile

25 lines
396 B
Makefile

#CC=mipsel-openwrt-linux-uclibc-gcc
CC=mipsel-linux-gcc
CFLAGS=-Wall -g -O9 -march=mips32
LDFLAGS=-lm
OBJS=ubb-vga.o grabfb.o tstimg.o ppm.o ppmimg.o ccube.o physmem.o
.PHONY: all asm sch clean spotless
all: ubb-vga ubb-vga-old
ubb-vga: $(OBJS)
asm: ubb-vga.c
$(CC) $(CFLAGS) -S $<
sch:
eeschema `pwd`/ubb-vga.sch
clean:
rm -f $(OBJS)
spotless: clean
rm -f ubb-vga ubb-vga-old