diff --git a/components/xc6slx45-2fgg484c.lib b/components/xc6slx45-2fgg484c.lib index 04a2687..376a727 100644 --- a/components/xc6slx45-2fgg484c.lib +++ b/components/xc6slx45-2fgg484c.lib @@ -1,4 +1,4 @@ -EESchema-LIBRARY Version 2.3 Date: Thu 19 Apr 2012 15:12:07 CST +EESchema-LIBRARY Version 2.3 Date: Mon 23 Apr 2012 10:00:58 CST # # XC6SLX45-2FGG484C # @@ -7,7 +7,7 @@ F0 "U" 0 150 60 H V C CNN F1 "XC6SLX45-2FGG484C" 0 50 60 H V C CNN DRAW S -1200 1800 1200 -1750 1 1 0 N -X IO_L1P_HSWAPEN_0 A3 -1500 1700 300 R 50 50 1 1 B +X IO_L1P_HSWAPEN_0 A3 -1500 1700 300 R 50 50 1 1 I X IO_L1N_VREF_0 A4 -1500 1600 300 R 50 50 1 1 B X VCCO_0 B4 -1500 -1050 300 R 50 50 1 1 W X IO_L2N_0 A5 -1500 1400 300 R 50 50 1 1 B