From 079d8042f6e48a7c0f86a7ef21c397248666706a Mon Sep 17 00:00:00 2001 From: Carlos Camargo Date: Wed, 12 May 2010 09:36:30 -0500 Subject: [PATCH] Adding iverilog simulation support --- Examples/blink/logic/Makefile | 20 ++++++++++++--- Examples/blink/logic/blink_TB.v | 6 ++++- Examples/sram/logic/Makefile | 22 +++++++++++++++-- Examples/sram/logic/simulation/sram_bus_TB.do | 2 +- .../logic/simulation/sram_bus_TIMING_TB.do | 2 +- Examples/sram/logic/simulation/transcript | 20 ++++++--------- Examples/sram/logic/simulation/vsim.wlf | Bin 32768 -> 32768 bytes Examples/sram/logic/simulation/work/_info | 23 +++++++++++++----- .../logic/simulation/work/glbl/_primary.dat | Bin 1077 -> 1077 bytes .../simulation/work/sram_bus/_primary.dat | Bin 41880 -> 41880 bytes .../simulation/work/sram_bus/verilog.asm | Bin 162586 -> 162586 bytes .../work/sram_bus_@t@b_v/_primary.dat | Bin 1792 -> 1792 bytes .../work/sram_bus_@t@b_v/verilog.asm | Bin 15816 -> 15840 bytes Examples/sram/logic/sram_bus.v | 5 ++-- Examples/sram/logic/sram_bus_TB.v | 2 +- 15 files changed, 72 insertions(+), 30 deletions(-) diff --git a/Examples/blink/logic/Makefile b/Examples/blink/logic/Makefile index a3adbe5..2d414ce 100644 --- a/Examples/blink/logic/Makefile +++ b/Examples/blink/logic/Makefile @@ -7,9 +7,18 @@ BGFLAGS = -g TdoPin:PULLNONE -g DonePin:PULLUP \ SIM_CMD = vsim SIM_COMP_SCRIPT = simulation/$(DESIGN)_TB.do SIMGEN_OPTIONS = -p $(FPGA_ARCH) -lang $(LANGUAGE) +IVERILOG = iverilog + SAKC_IP = 192.168.254.101 SRC = $(DESIGN).v + +SIM_SRC = $(DESIGN)_TB.v \ + sim/unisims/BUFG.v \ + sim/unisims/DCM.v \ + sim/unisims/FDDRRSE.v + + all: bits @@ -20,7 +29,7 @@ clean: rm -f *.bit cleanall: clean - rm -rf build simulation/work simulation/transcript simulation/vsim.wlf + rm -rf build simulation/work simulation/transcript simulation/vsim.wlf simulation/blink_TB.vvp simulation/blink_TB.vcd bits: $(DESIGN).bit @@ -65,13 +74,18 @@ $(DESIGN).bit: build/project_r.ncd build/project_r.twr @mv -f build/project_r.bit $@ build/project_r.v: build/project_r.ncd - cd build && netgen -sim -ofmt vhdl project_r.ncd -pcf project.pcf && ngd2ver project.ngd -w project.v + cd build && netgen -sim -ofmt vhdl project_r.ncd -pcf project.pcf && ngd2ver projecsimulationt.ngd -w project.v -sim: +modelsim: cd simulation; $(SIM_CMD) -do $(DESIGN)_TB.do timesim: build/project_r.v cd simulation; $(SIM_CMD) -do $(DESIGN)_TIMING_TB.do +iversim: + $(IVERILOG) -o simulation/$(DESIGN)_TB.vvp $(VINCDIR) $(SRC) $(SIM_SRC) -s $(DESIGN)_TB_v + vvp simulation/$(DESIGN)_TB.vvp; mv $(DESIGN)_TB.vcd simulation/ + gtkwave simulation/$(DESIGN)_TB.vcd& + upload: $(DESIGN).bit scp $(DESIGN).bit root@$(SAKC_IP): diff --git a/Examples/blink/logic/blink_TB.v b/Examples/blink/logic/blink_TB.v index db8dbf8..8284e6e 100644 --- a/Examples/blink/logic/blink_TB.v +++ b/Examples/blink/logic/blink_TB.v @@ -43,8 +43,12 @@ module blink_TB_v; end - initial begin: TEST_CASE + initial begin: TEST_CASE + $dumpfile("blink_TB.vcd"); + $dumpvars(-1, uut); + #10 -> reset_trigger; + #((PERIOD*DUTY_CYCLE)*100) $finish; end endmodule diff --git a/Examples/sram/logic/Makefile b/Examples/sram/logic/Makefile index 056bb8f..147b710 100644 --- a/Examples/sram/logic/Makefile +++ b/Examples/sram/logic/Makefile @@ -8,9 +8,21 @@ SIM_CMD = /opt/cad/modeltech/bin/vsim SIM_COMP_SCRIPT = simulation/$(DESIGN)_TB.do SIMGEN_OPTIONS = -p $(FPGA_ARCH) -lang $(LANGUAGE) SAKC_IP = 192.168.254.101 +IVERILOG = iverilog +XILINXCADROOT = /opt/cad/Xilinx/verilog/src +#XILINXCADROOT = /opt/cad/modeltech/xilinx/verilog/ + SRC = sram_bus.v - + +SIM_SRC = $(DESIGN)_TB.v \ +# sim/unisims/BUFG.v \ +# sim/unisims/DCM.v \ +# sim/unisims/FDDRRSE.v \ +# glbl.v +# sim/unisims/RAMB16_S2_S9.v + + all: bits remake: clean-build all @@ -70,11 +82,17 @@ $(DESIGN).bit: build/project_r.ncd build/project_r.twr build/project_r.v: build/project_r.ncd cd build && netgen -sim -ofmt vhdl project_r.ncd -pcf project.pcf && ngd2ver project.ngd -w project.v -sim: +modelsim: cd simulation; $(SIM_CMD) -do $(DESIGN)_TB.do timesim: build/project_r.v cd simulation; $(SIM_CMD) -do $(DESIGN)_TIMING_TB.do +iversim: + $(IVERILOG) -Wall -y $(XILINXCADROOT)/unisims -y $(XILINXCADROOT)/XilinxCoreLib -o simulation/$(DESIGN)_TB.vvp $(VINCDIR) $(SRC) $(SIM_SRC) -s $(DESIGN)_TB +# $(IVERILOG) -Wall -y $(XILINXCADROOT)/unisims -y $(XILINXCADROOT)/XilinxCoreLib -o simulation/$(DESIGN)_TB.vvp $(VINCDIR) build/project.v $(SIM_SRC) -s $(DESIGN)_TB + vvp simulation/$(DESIGN)_TB.vvp; mv $(DESIGN)_TB.vcd simulation/ + gtkwave simulation/$(DESIGN)_TB.vcd& + upload: $(DESIGN).bit scp $(DESIGN).bit root@$(SAKC_IP): diff --git a/Examples/sram/logic/simulation/sram_bus_TB.do b/Examples/sram/logic/simulation/sram_bus_TB.do index 1c045a7..62fc610 100644 --- a/Examples/sram/logic/simulation/sram_bus_TB.do +++ b/Examples/sram/logic/simulation/sram_bus_TB.do @@ -3,7 +3,7 @@ vlog -incr +libext+.v \ "../sram_bus.v" \ "../sram_bus_TB.v" \ "glbl.v" -vsim -t 1ps -L simprims_ver -L unisims_ver -L xilinxcorelib_ver sram_bus_TB_v glbl +vsim -t 1ps -L simprims_ver -L unisims_ver -L xilinxcorelib_ver sram_bus_TB glbl view wave #do wave.do add wave * diff --git a/Examples/sram/logic/simulation/sram_bus_TIMING_TB.do b/Examples/sram/logic/simulation/sram_bus_TIMING_TB.do index ab6f8a6..93ea9e6 100644 --- a/Examples/sram/logic/simulation/sram_bus_TIMING_TB.do +++ b/Examples/sram/logic/simulation/sram_bus_TIMING_TB.do @@ -1,6 +1,6 @@ vlib work vlog -incr "../build/project.v" "../sram_bus_TB.v" "glbl.v" -vsim -t 1ps -L simprims_ver -L unisims_ver -L xilinxcorelib_ver sram_bus_TB_v glbl +vsim -t 1ps -L simprims_ver -L unisims_ver -L xilinxcorelib_ver sram_bus_TB glbl view wave #do wave.do add wave * diff --git a/Examples/sram/logic/simulation/transcript b/Examples/sram/logic/simulation/transcript index 653e976..ff116ba 100644 --- a/Examples/sram/logic/simulation/transcript +++ b/Examples/sram/logic/simulation/transcript @@ -13,15 +13,15 @@ # Model Technology ModelSim SE vlog 6.0d Compiler 2005.04 Apr 25 2005 # -- Compiling module sram_bus # -- Compiling module glbl -# -- Compiling module sram_bus_TB_v +# -- Compiling module sram_bus_TB # ** Warning: glbl.v(5): 'glbl' already exists. # -- Compiling module glbl # # Top level modules: # glbl -# sram_bus_TB_v -# vsim -L simprims_ver -L unisims_ver -L xilinxcorelib_ver -t 1ps sram_bus_TB_v glbl -# Loading work.sram_bus_TB_v +# sram_bus_TB +# vsim -L simprims_ver -L unisims_ver -L xilinxcorelib_ver -t 1ps sram_bus_TB glbl +# Loading work.sram_bus_TB # Loading work.sram_bus # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_ONE # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_ZERO @@ -33,7 +33,6 @@ # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_BUF # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_LUT3 # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_INV -# Refreshing /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_RAMB16_S2 # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_RAMB16_S2 # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_BPAD # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_IPAD @@ -43,9 +42,9 @@ # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_OBUF # Loading work.glbl # ** Warning: (vsim-3017) ../sram_bus_TB.v(21): [TFMPC] - Too few port connections. Expected 8, found 7. -# Region: /sram_bus_TB_v/uut +# Region: /sram_bus_TB/uut # ** Warning: (vsim-3015) ../sram_bus_TB.v(21): [PCDPC] - Port size (8 or 8) does not match connection size (1) for port 'sram_data'. -# Region: /sram_bus_TB_v/uut +# Region: /sram_bus_TB/uut # ** Warning: (vsim-3722) ../sram_bus_TB.v(21): [TFMPC] - Missing connection for port 'led'. # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.ffsrce # Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.sffsrce @@ -55,9 +54,4 @@ # .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs # .main_pane.workspace # .main_pane.signals.interior.cs - - - - - -exit +quit diff --git a/Examples/sram/logic/simulation/vsim.wlf b/Examples/sram/logic/simulation/vsim.wlf index 231dff488102a672cda57d7b4669bf451c9efe44..b88b2ce73ddc8462b7c9d2ba2994f1c4b844f22e 100644 GIT binary patch literal 32768 zcmeHudt6l4wf~u62F?s;6k$f5BZCYu2*X1GK}C+k!|{Qc7*lMcRU*EsB0)&3#z0jJ zu~89|{79+|7_H{fNSfXh6C2Dm?Iro;LDDpk#zakXdug@kO-ze<&HaAQ%rR4%^wZz{ z{QBQ)KKrb_9(%2|*WP=bb7pfQZWpEqHG&|7pbEnLc`~kAR6XigRE;2npVF%C@+*J#EHp@Pd6YSegj zf>x6duhnYO^>&@}upC;b)jNkmbk5f0q0SK9Euo?&J6xNrS+XR1%|>Cv%4}NC7Roo= zy0WHbWsQ)%ws!T(+O;c$>`m3{R%F-K3eLt&w>nqVtrn`Y%QshVs9P_r+?2hkzIsEp zxPIemjeYF~p>ch6!`h9t*}AMOZOpP;8--2F7P+!+pEuNYYD5gzWauJ=P~9CH>(@DT z|koKCV?G^cghoyY)h*X<)my z*Qi-5l!$umsc?cnpQJBnV4FbwWe+vMGewrAve*dYup}=rn{1A8dXYm4x*TE9(hwTD!WIuzLNnTL~Lit@81g)l?IQ zA9;k|XM~mMNd-T6{US87}rGT}op7}&UZy-(7HOYp4e}uH&e-8z;xT?X6#`|d zQVR93?OVsb^}S+aV=en*87lUVJQqVXif+{}uJ1m-|Flnerb61F{XV~a;`KGI#wDRz zVUqx;4+X_%U)?uKyx>PG=+yfm^s+zoar}YyX$NFwAKu~DOZ$}P4pi-T2iU)d^3bb` z@y$EsDa&ya(q9qs9@rv&D>fSYudH8IJ=#9)KtzexZbIm#KFWsy&q1ZWX5frJaTcxz z>LsK+WjQ`|z68kAKJg!-vVR%;9c`a>z=nO_466DlZvuS{D(%Mrr+wlq3s76QZv6gI zmSc@TWuY(2A@5Ea?mc%po^A-juV#sX_7$4{lJG!=eCpRFVk`P^Jgn!z8^jo%2s6kO zqkfKypaPY*$sWJ_=@pY@pu$Uly!S<{pRCNc1(5U!fTRy>@X_A^B>e+G(u-?-^b$Zu z!VO6J-G2H#fIx*ShkW*3Xx}!$Bp1Rz)n5;2BLV(?^dsMzqu-1NYX|t-;xoj}2Q%e+ zQ9Dt0>ao57&!S#I)xn0kmMBj?x+C zLVJNDU5o*K8vP3)qsC_y+QeCGEn8*6Pq9u&3)G)V z9P#0L{<`=i&#K+Xc-MY^g8~~jc@$XRkPJP({7ikx_zTp_F?Kzx<9S6Zyf`zE?!z}De#bd}@UD*^{mW>C8ykgdUBLk}{o}{*y`=qb zp0|ZUbpG`J?Rk4uLbGr)3S%J%TWz^HE4FR3k~Aw&E|h@K4X>iMa(iw%np> z+uP5Kk#pXcm3&HH`!FW^={!-|;S$Zzkcn+E1~|1*ZU}rr#uKD6_Su<$R60W=7|OIE zF2T^8h|G}a)fn7DXq3+IurR$@@Mdb>)y!=53U05~eN}G|GBg<)s}T3N^6WZ^+6AH6 zj`fs?e6S>2vBw>z!#Z(1h`jQ8hTMHRO+F6$Y!9Xm_fozCwKgI`6xzyV;+?<3rq+jU(9r%8XNi^YJ1QCr!D@fo|Un3y( z5oiBsf4?=O^cnngRo{c7^zq(CecuP9KIL8qeat|#`z0E*%Y00^ZHk-^r(M$NZ)>1k z=uznYaZyh+)+P1u)sK39py)9wIQ5LS$9zn=7DZ00+`FWDg+yj3fc2hdj&$A@BF*g^42Dtg!+7<&YUn z8SX7Q94i*0&AuE{6zbQFkL#I!-4Dn@oN|m0<0(88urA=Y%BO7L_$C2H8SQle(w?&JphsP|opSY#N1T2!voa2wN9j}MFF@a;qx5l3=-;D$eZ;Ac?*r7=IZB@ruK;~djnc>G0P6dx zUmtPmQ~l^0rBAUJpzq`;eer&MPxN?!u_^sjh)v@H17 z^T;pUGRT4rH3s)J>gRfoK~CzrXcE^vmM+(!67TQF`wIF6?uTyh&{cUq;CiPlD`~=R zm&kQZnZ@W&KHIF^eP@SF3Qo}u?1$+8Hi?}trOAKeU(Z+mQBHpGD?Z!It&YGy`O+g}MB?m!9DP(i-w6uACyjjKYk)t0bc{MQfqj}n>Sd@zIbXu74V8}|F~zgx zR>;3I&vad$bpPWCTD~^(=Ib+N%ll?vtdQrs4f~RA^UJ7x)c!pM!@aTJGKyT+q%j|! zMFL{pzVc_pCV=k%pK>gedkak+@)l#y`zzNn>C>P{XABjpNe687^LhVO`*VLTLM*Q1 ze*0hNM+*DH9|b}#o%q-Iq441c-2WRt)>0mRe4h|}-nZ5M^y54Kq#t~~r5_4}{Yk{X z#t(%LI|lHt#{+hj(~j5O+Eo%a{gIey+R6h7oL zfpc852tlVlLN!jD*TA?g<-EZku0@^hWhGw*`onS2?#+Y&{$z}ztNzeF{ZaS<{>%ZL zekgGQRQv@0>-;Iv3CjJAI$26|8Xcc|N_09c=W{IjvD^qa0g!%?UJ5^%*NL-ebYcGc zeyG6wuFlVO=$GesccM#$E>yX1)4uvV#eS+j-Vc5ifS2f+6?yuRNP7W(B>8aw#ShyX+U}$EyK2{h&PkumJ}8!F{0iV?31SCg^+P8~mVrpdWlb zq95!>KiE&zNBp+|IQ^hJ{ZPlR&Wo~6L1&>KzUPBb;hk^rgYtoX@VSY8upjNRU!WiF z1>p39^7JDakaBzu;QDa-aR9{+-}8N_aAA}m8t|DXC?DttpQGr9`n(2IDI*;jvhDs1 zIR^LFn9SkczwF2m*&YI&e%K+U`cVk}ZE5)Z#Ls6ue9t$b!XM$s8t`v=&ey*O{Xz25 z2xHj|9@}bp@WBUTxrg`;Od4f7AhR*WAX9cQd$`v~21@4+-*04>K->1D@$dLkgsD^V z^QII{E`*(>q4L>Qjr<{WaKG?*%2OXIo1;SIcVEtbp8JLVjPz+_0HrWyb8+!NMu-TN zi=PM+yNA)|7*2@5yV?uctl{47mo@TR&f(q<+VO}2NPZ9CBG9Rb{VC5vsMt82D4X$n zgaEi1vh&~P^Oc`QLFoPbV3ExEISzi)xv%(}o|P0|7=P;H{1u`vDl*NX9q5*WZY^#cu1MxxVB#-jv z(IzCW>;!+C^Fo?(0i+*4hF_#{?jYu+8fDUN z-?Vl^)*b6t3B}Nz=ku$YZInBKv&}KGpYJ_k)cp~37VZmx&w@OyOY%W2 z_g!GV&IcZ-Uwsas-N3xewWaFk{weVH!_+DFw>gBm5MiQUjt^L0*%vgVtMOp}slZjc z=MCY?Twk~6AJ^3$NmlK0KXD$oHW+KR0hIC-<{`&6McH$tn{%c`-xTe;Fqo;_{g;ao-Km@{TV;1o91cZ<2q9{=8SJ{PTFPAU;T)SL@}%`yBER z+P}W+5czv3<+0W^Tn~NtZJBd|b)}&kn!@px-Lg1&xOc~A4v{qKv;QVWY(u5}m|eT& zLhwKL|5msfeOiI1-0M*C2JZpY-Vk9q^srJVCwenv*d7$pug^PiL6C08G>`l*evfPB z?{Os`>08|;nmXwW3X@)jgjU5s|1*`dG-*%+kHSz?P&PbeHHgX3Ym$ z-B4pr(q}EYw1aX;nwX?X6B6x)5>0~ko*zgtXFN%N)nv<7O;U)aLbit3^n+5K?N7^- zM#8@1){Lvu&eLsg(-by`d?&oRQ?sm1Z}sfz)LX@$c85&2hS-y|nuXe5=yi8%-a2hg zx~5zASzN!aQads3Jg#$$SM=7~gciNEBO=7=wuY>eaN^QyOZqbG)qd?Ca&)Ec5R>GH z%JzihdGyvbw=CB+i6PtTLaZfW^>m}^||rTQvUZkPTpQ*N97sFZ60_m$;RV}<@>smK-oKxmw$ zv@3L$rPLF;#!}iAy01I@z?jCvTB&h+=rgCGEA+kokZER9qgF39hUw1lk$w`EAr@hcmZ84>}!n#apNnw3bTDhrFm+0;fyDXb8I>hmob!M^HvQ9MCm~zD*w&3zJ zDYs0zph+I?7vJeLUvwJ;sVGD0H>90TsB{|^NC`TtxzSQ;Sa{G}I{xCK5c9=;!;1n1 zFPaxvF5XCjG18{XaTtp%)n?;z>j9(jZd01^$rfCGYyP&`axrvP+VjTGo9)$)geRw* z@PyAzIpGMu&6H~n?=aKy1A8=rF2 zGuCA(?H{|=W53`S+h$#NWb7{_L)L&>FV4*xcx3GR&VjZFePV0&fJ2`-uqGn2GaWR%VBAW}x^c#Pode^Z)|+z2 z{m!#C9E-plsc{ZO<~i!5sz~DvmeR=ETgu}j50%HeB44y0+C8%}RBALvUTkp=L`7x} zJQO;ka(h(Kd3$?B6e8Xg)oQ8sM4d3DIilXS9yk*9g_M?3ElSZB&35!K&y$LF-n89Z z?;LQMAH?D_pEl+8oBu0wpvyAGIpDG6!#B$k=YZX^Bk$@hTC?Rb>pIKAH|&Qh&MXSU z!m(U)4!FnLBEl~@2W|{2JL4EX8(PQLTB^qz@3$Tpe+mO0|9cEL+9;*%b`Fe5tM)|a zLTU733^=;UvMxIEC+2l4#zz}pEjC17O`n~Lu*JlmpHXR!aXANKjNeN+8nZtxBEb;T z1BYYI*$3MGwQ=`a*16)IHm^IZv%c#bu*GX5#xH1@Q5m1U^n@$kgS{4i z2SO14V=TA$Us+0z#9xvO-#u~MAT@dtqL!X;CrmRhC_i(f0qZ=Wy0h%6BLT5qlW^j6 z%}H~@+orSx;}_NgR(pEFf$}rkBTFi+^M$fA71mAI%$q*6K4e+fWqkn)%{o+Ua8DSU z&^SMPr)H~TLIKQASPJtKc4Dhfc-*?qG2u-tvO_%1*?Y3`Q_axbx zrJ1wB3v9=hH*`K?d(FDVWBbHZ;7&@A3UqZ7*M6Z}|AjfJ)KZxg`8}yLWL1)JKiWNU z{WBVq&b2r%ObpGu@ZE-^dnRT%FSsV&oY=ml;i!4yol8$njC=(0$0izIwjP@J-z}!h zXJSO?)EtW0G@0to+cCD!($(}A1SfnPq z(POEy-_eqC(*Cfe%4PqxwW-VgXKPbiidl+kI5EkbGTnJG#eSD1yo`w@C_bff* zO6itLT~TQ%1D3k3lrK%0p41dmraN`Mlxb?3Q#}w{=dyTHH(9&7QXjHx@ua>`zQmC_ z|j_FYSrC#}U&>rrsp-hH56oUq z`VXvKj`ZUxZ<^Czw`@r_{>8d?lC{NDFsV!`SR^$$CatqnnJ2YdswNpv;&R>~r6wQB z2#f1Hcr+s`U5ff~N`Awc4CBpCZ^oT-?1K^Q(cU-^9%%vLWlNna<0I>_uFP22$G=Tv zx-(bXpR;)9Og2bOU733;_joe@&9cRjc^3AaA*KSSaS|>!&WT={TbJp5#JSn&ZF4>> zm1cN7&R;vdZs(t+)G1a+mU-!gBU#g>sGl5ttKn5g)(WTBp0zu%Yi!e=hF7zma(Z1^ zgHCT(me*3}$xbz8I#mVDyL`@faP9C;sE>dg7Emb!c+BAve)>pOq1{ki*!oBsXVW_we< z@tMl5{P(b=3v}gMTm>1Xg06yvrh>MD?@I+zld+%^ORL~Nq|%*HRRzN-eXgk%d!H-B zI(3GnDrxEpbJfia7adb~FZJ4|K9$&&hDcwWI=Iy9n(9TQ3)3o(wG}Qf*MKA{O1WIhLwv3+v2PZy)_$!zbox9py`>wf81=WqTVwshIYMrQWXTCIoqUo~6z) z{T5TEdHQzivFQsRl`?N`G8<}}rW^aMUDN-HtsK=cV?s;Hn={I>m1nGXdXr`xh+ev9 zX44D=*)`*WrK+pgu+-aDoFk>iTRp{9mMVAgUFNDkr0h2e|Mg5|Me)({rCr6Zm~uSD zAEyjDX2w~TnrF_kES+gwV~U-*uf=(3<}((LYvy~!u}LMmggd(8hf6Xn9#6?aJhzo> z*&vxqx=d0@UZ3sK&q|D!EjD?43ogYLn@g^-R(8ohv{riLpIIv%@;my==2=4i*;&Rk z{pDE;T5xI9Uv|wpsK4Ab>x}-gXV%3HpF3QU5OWoQk+(djCc>3>w|w=q%lsrB4}aT| zQtbL1wKUmuE~#{`y|^o*z4W%?S3IR1DLIbPUi2*egZ^@v(S%DL43sTtyyPm|0Rv@^ z!9dv?`pb^85r~y1>MxfYD{$G+;&hhZ2Xp1mL9G0P@>87^hHh!jjTJejbB>BC(>Zg+ zU8Zvt#-q5r+G0Ihan*Wu`|Nnz&RMauU2!?m3$xd@n9j{^gNoU|FrDj~{eHgH?u$1coNK&jIyWy8M&}hlY~FH+&AZ#$>zQ`~V)Nd1 zo-)t-!gOxF(T>Z!7ZQ4{+Bn~TpBf+Yy@3e$J*9ira4+8l`EJ}X)7Ks>^Fh8Vm7#rM zYq1yuIPoLHb=zl4UDv(m`)z~RtKGSxt;F|E)#n!q_d^xbmHPPnJ>`>KZx-YQ_F19Fxuu`h4BM~3HpfUA`t|TLPO%mAsF0ao zy?^?DGoaice4xMdy902?&z*{|>NxsA<9Q=t4=P{|arR{_IF|>phJXsYTt>MKZC0)) z#=T=kqG(xclrKPzzp1JH9F&`l{|Ry7H<+w^nLN_QMdgb*BYVaE)B6>FUGGm3)&F_P zfxawM{`SQ7=t{eFe%p!QM?g2{rv@||<~|V5omngvf=@a`m3d-Y#Yv<8zH+`~iTf*m zRjLZ_gdSB+r4gF3))_0d35VbZ=Y?b7dEf|e#(>xpWy7o0eItO*_4Wm1$rA(Qh_f(W z)H95@5|>cv<9XnWJt67T??HdYh4JCWvdX%VQ*8I+-JLXfT(bv!De-yb99mj;Z5dR_yKmHMJ;@NEYQ^9%i{zf>glU_B4P z#;@TIZG4V4Wx9c@@hz+v?%lgL?(ck%{qd$f_EAd-=(MMdo4(;43zx31;MK^KMc@8$RuwCp3->3MaLh@M{FB@PtWMfd(c#+4V`ErVw;Md>h zBPqH328@H1@xcGj<$P0q@4@)kP0jDRd0*xCR_NuLJbfTu=2%mQB>7;XNtweZuSs$Y z#z+6S*U0aI{I^yYD7c#6%Ye83biYV>?ltCS>Kj2N?1m2Efq2;ldd`IXBKN8T^%d~g z=5Lr!&y7{&AD$B{@0lMfQ=WP_CazWLVV^62s=th@>M!*yhn+sZztlWW|99@*xL=W@?9kaZu?O@m=r0tuixJpkiVfhZ z+#vXG{wMxF5PYZ>MCdvVoa>)DM!;W#{7!x$=A{q*sQI4yV<4ZU$y0364>#tQ{T_j= ziXVJDTkHmp`dLmM&lY#h@Y!R$)EuTT{TJrhkxJk53dgDD-6PP$=am}p)aR9E=pvsu zfJmL}OUS;I@(5>1b<4%l$<6tZf1CmCaq%)3O$6P-uj;2!f zf{)bgRdGV*MzuUZoj};1>w!4eYhY=c@B21@`D8O<%KWhxG*D0rR-3lG_m9-6fn6X;}`MUfhg+Bs4?5BNxrucUDbn%a^(-k{E zeA2i6=mY!KAwKL&ook@yrGg|yjyUPmO&QJu=ko0@^2BW_{wH7V16e5|=)AvCo@Z8f z0jmB|-w^y$^W|ndmli@d^QBBV@M8d(FL^JcJ<{n+9c0x!Nl4wQztaV~GG5xGzQPQf zqK|#)6!YbLf4h$amaW@tIf8GlN9T9OgtjTB$TzHAwxZ_N)ysJ3xNOCS8e8o~e2v(+ z+SagQeO*0hw>7S9Fe-8CL%;F!egALH{Ksbs>S5s-%npi4W8{10#)*FA;##2?8H|j*#oHNzOY}}Uql9P#CYD%!2iSIT@UgTK@)Gl zxFJJ*5eN1wJjRkagN6IA6EgJ64aoh+wZX#izYP4!+r$pgxnJvc?-RKexo>zsYunSNtmhu^xnId=pCRDfuPT2KIQOf{ z9|5lJSL!FOtbep=*RjxNd!;Q$DY=EnXV|ZdCCvNsv5+m6?R1Hpw8e-Y>EBfDqCUj2 z^Uru!f{i7YCX0n{>{rH5e_Nq9e0Z{G1C7rB*T2W{E971pgp3Pz_&pNapH}$3N3wy& z`C?(*`oQBHG0&4;2ivNB(s|F~nLA~}@Zmc?evgvp%?E~e67(hPGV}Lul_4UWgP;gL&;OP8FZ>TjAM~mJ&qNt+?h(+`O4?UN`aV+1 zwcz=G@cch`{vSO551#)A&;Ntx|H1SB;Q4>>{6Bd9A3Xp6|9$?i;rT!Q1K{z%kNAV< z|1#glg6IFi^MC&S7d-zDp8xarvf%l@?|%iD8%LiHk;XIV;Q4>>{6Bd9A3XmLp8w;l z$X|lz|H1SB;Q4>>{6Bd9A3Xo(xpeUS-}nCq!SjFL{{X1Z2|gG+{||ouAN>A5`2BzI z`~Tqg|H1G7gWvxLzyA+@{~!GRKluIszvlb@;Q4>>`~U0jpTY0{m2aSf-~ao*pA7t7 zJox>8@cdu-e<**l2%i53zyI$De*Yi*{y+HrfAIVN;P?N*@Bf3}|6hNNVk7wdfAIT% S_51$d_y57~|Nq(V|NjSj_1$Oy literal 32768 zcmeHwdwf*Ywf~vPOmb#810k6q&mj{sArq2GCJ;gbgd8RhCO~jRG$^4ENq7i^7!sr) zO@ag?1&W|vw5SP!;G+_2uLb3rc(J|Ft1Vh+Uv2`T6^ui*V%J+&WFei!X%+a5QH#Xf-rZEjJp<>9@i*b8bOG8NVlwd zRh^-FO-=31)eY5kAYUnS24_5~%gb`rgbO-Ihw&~5Hr}XGbHL~GeP&D0XhsR)g4-5u z)cACQR+E^Z)oRl9cAfK}9G)uuPFP!;P3zf0 z>DrrXYieq1gzQyyD{AXjEfccWSFc`{T~{YKo7Ug#TwcFIsLn3kSiQD>jZnKjdwoOo z+H7&nx)mDxs*}&~Sy|fHB{w$->z6EWXKk1>xTkQMXwqcpqJ$+I!gaT; z`&q+keYEg_J$z8ObTCYRIVwChQj8VGYxaaKTeDi5qZ!+-6%3M8E*dmrwYtdanwkb7 zNvjn!&akk$+oEcT>Eks*?>K{|TQ9t9 zFukkoHfqML63*zgC&dw(Tf#?38iVF?Sd1xRre?#E#*JF*wm8u|(xg2pENN_5wPMA# z+6GP3sHm_gxn^Bmtv;s9U`T8c9!KF&3mCJ;>Lrt^!gWG+ag|V|*9mcgPD98-xRo$s zv~c^FOu0(0QKkr?pFa#jPu09Nw^3ht-G*AihQ`_k!s}M8s3WXiv*c#Nrsd21{3SKj z#NkIS;dl9QN5GGt{qy~p{P+CW7VzW4f4(1if6tG$fFJglf9|;aN@I}!o*&x-epLM( zKh8arDML&+X>aW&tkP?R5dnxS;p03L6{X@^kQjMZp^^5bnH{d_*Q=aRCHfX;$V4rwHjk~ET zTq~>>0QKRZ`0cCfMu`{fw}Vc-A3!hLQy=>uY@c>OR{XpzpqKV3&lRZJ?+UVi7v-T> z7waE)%2Ss8CZxY2EQV;os8Liy|JqdI}5PmUJ47RV(0=LBbGUSuLEE4yl4g16E9C(B1!;=vP znPSw>ei4-6;$yNmAm6iWq6}1c8IaGu$Tbs{@wNbxJ{FMlzO{b(+km9M4@i1pou6I= z$VhkqNxw5dzY7qkaOpw6eK+d2jy1_1_^0~o1x-x7>hH%t_Rl%`&3N!S3i&Mw8REtR znet9t9k{mXF~0%N;JSoM2OH{KqCEL%s+3;fCq`w6Un2G+K_UKw=nU~xfL{g3V6(kC z&pB?Cr%1!C6a8a(bU++LEMwvCQ=)%-GjGk$A2V ze5_{{!u~=TIHmpTVD^HUvp8>;Tz_3D;rt~FN(isXS)$PAy62)DqwjA&Rv4@3QSlVg zF^@N4ysqf|NAyqp$Mo9+`VW4K{wF_=lIe$9IG5f`YwcdzJWami>(NU6)}(ZibDea- z=9dhP$D6bKIh`E(Puz8&K)xYK5Qb2n=SUYjeq11*M*DoosPS2jI&l_T+h&>YbIcRc zg7uFlj`(mse_MP~X4b7^yz9QdR)J0Hy$Wn-Oo1MMex|+@{0r90K3(a*4G4R0*?dnwf^*so}XH$B00KfV$1JIelpccuSmUqT~X*eIOq3J#bV=s&ve zBkljP-xl)G`1AkWetUUhi*P*(V<8BeZ8@%GTejG8t6jFqwJzIc{L9Jz)APAvPM*t_ zQ&4St>)DaA>#VHgQ~KJEKG}P6Md=Q=XoiMNEQ^uAsf}_&;1e>QAeFGqwnU`T=^DXM zq78EkhL$8`h9sZH;1R;3b%uw9X)S^;Q}eE7M!Qe&__UtOdV`Rm$jY{S zggsfU-JW#hgQ{%B9#@zS^Tcs~rY!zCL+w~V=Zpt6URTmj4w(l;LiFdq{ zEnglLDW*k)3%8=ZMq^a=B|2>Cbpd@I=p)XegB)W;JD2u@4*YKPNi^YE1QCr!D@fo| zUlSnp5oi0DK)aR0^cezlRp0%?^zqq7ecuP9KIK`*A05DH_iI#Wm-(1-TNF7zPP?Sj z-}Yd;(4)`;{i2>2%uDLwPe1BuRrDAYoO*`aV?L%_ne3oE) zqk&To_ciPvamrn9iIMY>*K5zjif8sZWRR6H*#$^HqewtGP9DaBkk5Pb{3MZeUfct! z{H2f?%x>*2I2b49qt3SMQ#7t`>mTPc{kj*Bg*fFHAI4L7AZT8|ZFQ_uZ? z>>u%Ovo|In_n;!D6^K(#i5u)WhS^j35c`Hb&NJHU1f)G>-a(H#Z@s~KAg9bH=vU`o z=dk$~8_;(+ppQ8HVrFF=HV)ILj9-wx$A;>z_ zPllY2yc#_!PRYNDf55knj1yf?rOWxa27~;!Q4vc1^B$Re1qs}$;72e%yncHSe__&i zIhfxycD(%V=W7%i9a(tNBkx%>{u}Y?4dQpa5i2sUC{9DZ|89r89Q$6zWTOgT|7!;TgvwWSH~yv&kb@v@aIcLiAo)MnQvy5`th14Mv5Zh^GE=v z4Dn}x(+4&F)JuOzV|-tJWTZ%(?N7rGmCxS<9`H#cpZG@LFB~4Jc1>WL=CB4CDpAfA z@ovM#El9_-S@M3!zdgrvMV|D)_5?M5oq4kovJaQFcAtYhf7`Gv>9&B3+D2{PE4OyX z-Cz_suSsJ*Jc9(pyd4XF2ZQ(y@F~Yaxi?YOA#XAEe7t799z`tt=p^wjH(i!hyI-f73 zv;TZvD169g0%yNw5`s>Bgle2PuEB9#%yEN1oQpc$D@wi$_J{qV-RlX1{K*(bSN)-V z`lIlJ{3!>WekgGQRQv@0+x#iQCXUZH>SQU>X>{E86zOzYj^`+}W4RV^EFk?Ny%>Hn zuM=m{=pq8o{cwT#T^*k*Fd)zVZbOqYUASVC_SO9q+o}5aJorTrUZiVLp*SCcqscO=zIM; z{GfcWAKV|&54NKpY^UlY{@Wm&eo&r%sQp*RMVY6dv(OL!{vcd<`#b!ge6SzfH_;Ea zqg}QO_T#-EoPJQAexv|Wj{5-44`%=eQ2g-k@56=j!~D>I&pbi-U_ZEzq95vh4X9Fv z_Giepdo$!%JYOAot=)gUBSU0;5On%shm`7v2mD*p@ctygXFUA-n{eTe@M9zR*FEoV ze;n;W^3e!m*#jQyYPtXZ`{THV_#2os${vNxx>SQq*};O=ZX+2e9e4QOk$nl;mZyyW zgFi`_GHG&7&cumRun$=rE}v=F$d{pm>xKI%Z$r3jjt-aKeP!|sTrc!zs8=HcD1|Yb zjhh!TLS(pH_+*6G^%2?}!3hy~m%BA`WPWRR*DD(NgDI`u@9!1lHv!4-1zZ3+6|p_# zSqK#yJxTHbypIq7+aWvmEcaId8f2(<8~7Zb@(z1*1RJF^)Cp3)|XY=h?RextD=PS$N|a(Ez$ywp7N+ z!T2C_l1KSVs1p)bRzjf8aUo5)0n(3$;1_8eJBaz{IQ^i{EQAUjaKjfqpAC>XOD*K7 z>tpLEqfGjS^{duq-L_`AP*^BN&hYzHjXHTdfwRs&vYr2T!m#Hf=qy|p?4!Ca2(JN) zYo7&qT9@pHTCTg`d_5U>uzqzPK)b1sUK9#i^?-E)Q!%2;2q7wFgJXHc44?q}!*pcJ~0j z9w8}h?#I}v_%Udf_*QbGET(#TwH+p$@x!QEgW<1 z?~lS4b$`qKz54ql@{0zUvdVLHvwzM7^BqBawY~Zb=J?paClt}&Z}8zCfA9Bx-XNdE zF4D-SPsugWIt?q@i$jBsp@+U&BW~T1f z^K8l5eov8JW7fQ{)eSbelD}xvr5%vN(!^v&r~ zOtyyE^!-w4YMa} zHS@JU*X!=oyxC(;{!F*}i}=&J3hlV~bGVlqFX^qf3T=Ap{>U(^#~QX;!ih__E&1z+ z*G_AH@6r`}!c3AQI@=qT>(yIVE?TN<7Q?pIhgpjvMoVw{w7=Di7H<9AaJyC1+w{_N zVUNYPNF`yX%cb$c0pq%H+Ia0q>>Js1P%AZU4S%)=y29T(9X8c$YSQYZ zrU>1+UDBfw8B&2RWk$qx!Y*@j#3oakJEGH+mK@P5rInhRbV;7m5f^3i1&27svf3;b zT2_n38dHw=!#3Q0D&>?&=QSxGofhBjFkkQ(1gRiHI&DbnNv!Y~=1GY3SKbJvs}2A1Y?v<=W-YeEY)V?QtLjW@lI2k@u@c4ervwbY`G9V zGwlWAmo4_{M@FQi9`lZvoqEhM;#O0RdBlEG&WOF;G4qmNlbSk4{QjKObhl(m+%jpa zSDI`oc1Ts$)hnetY|_3%(&LG%$5$WK*{eIG*Ja8Lndh0B4w7I>S}Hwyt*Okq&tX~% zCrtO4(oDwZoqeYF)1@?1(^hdx?nnb-S2og>*zTTo-^j|;W1S;00^X62TAMs0U$Z|b zop+lrjJ)jZ>l~Gkde}S4Z7DuIYL(Z1-Z5&Ab@ic9KbH(yeIC6yJFD-JQD>cfdm{Bo z?b&?}eP-Xv$jpw!M04bImg-33ChNY)PINu87sC*F(R||$%LU`8ChzDNXP;yA3=F{N zTFdIu#+}Z-(a-2jIiuh4t{QPh1tGU71=QiJu$!G2{<(xMEA+xX3GSb=SwM>R@mMUkT-Ev3nKbxfV5dW`X2>%K83(cv+_ zLx*FGQrZq@-^jFTZ%hu9#wZZkR^ zcd)d;8}~9g9d`+xj*pSj3M<6O?7sLJ&=FsYPOq+u-)ULxj(^6y`k>DGuCvdUpp6_e zuWfooLi*xk?gTH^TEcAzLBd0rZVA7z6dy|XP%?b)*inPj#>;Ee_(yU zvbxjyA|{%3u+ZQcJ1VhhZuU0KX2;k(m>;_s=ErWsQXl(-b+u#c8<=QgKa&h~sYeBv zPqJZ}CCxfLCMxEFL$Ftylj@v(Nyd9E)k*)>hT8`SxNU@WU$SkYly;Z+{!NFA;=gA% zG}+#8Yi{3ayV1HU+14V>m^mWPc4TQ|$0N31SvPrYpPKSK$%#^)u72FAuXJm^GA9>X zDw3mak&45XCmZiZ{doN8=}pN4ZO-%K!ZXi*ukrA%aaqpu?s3;A?cLOP*gWp`#V5u^ zJp%b7cj8SS%Ssvd~vnXYkK`I^*oAR?Z%chif zO?hs+)|8iQPnYs6QnTIYwN%<~YfC+0f7nv#w*S)F+-d)_wRumfS&D8vHo=@a&3Pf! zezP|#D*M8&#$%~>Ek5N=?UIV!(P^oDmio@ruT7cW@u{Xv&-l4grm4BSx-YKYZSjp? zZ|&?H|A1wacl?W`RgUq4&P(RBNbByj`BUuAnth#V-?LPD)7mVRj%jdwwl zIqh@nHpl#Ab7kWRy~ERDYCPdsx947|x$#7q<38(=nT~(!THt%c@qzV-H+_Wlh$DSs z#a)NeZ%jO5YCbgeeY4M--fHc1q#sRv!<_zW%cgYWU#+_*Sldi_6H27K1yZwP!fH#U zdBR>xN9JlFj1NqLC3tF5%s>v|ILcD?EJnO$EveL2Q)xRpPK zf$nqX)LRzM%=s7Z=6SO%zQ(@doEMfJxFsd$zog>M;4~jUrqg%m zfdWK);?asu$3z6%Jn^qhRg;WX>+VUVZH0N0*7zenX7J;h@0b%$A}Ot(}fPg!QJyuR^*W6F-jKKqoXlRDE7=?hc(7yH~(e28>@ zTE&q)`SZ;6VZZR^H({Lf515yg`pOTU$^`S2)920q6JlI28oe&aH{}%=m*BSjFgy}9zO!?!ekYM#2kbn(=^-ASF zrv84huXCCSL7tXtsdr3UWXd#8+iE>BZT@3Y=Jm~HLtXPU<7sQ>w7+2~NAI6Lwk`FI z>7`i8)7LnC$AYr%$2`Sz1t}K z;n|9^!o#JDI}2Ymxx9s+r1m>z#9J1dXUw!Lo?%>RiktDHHs^;kp0#-0Gu|tVOD@tS z-qxA$QBj7)>n)m(eOu9{wUW80(!O_bIUc>ica~5)(Wru zQ)`7oep`RhJX4r_W~MPse{tr#Hr$%@7u_=t=r4B8Jf*+roq1vHmkxIn#M}j7o1lV zO}OR4KuJ~8hwhR)V4&o27$|vNf6-Ag1hLX2{l!va8E$LaoX*mFV6OCeh?Txyda|R; z&?S{$TjnwiILazb1Lm?HmhjDwY&3dNnvh~c?SqZjnGvj8t<6Y8=vsSg424?Mn zidjE54Rp>rJK5^>=wZo|2}_>qDxUNhH|Z~WI^mF~7h;}^uv9+A@@sGT42YH2roL(} z->JV?ZhQu}ciJq!o~#gZ#hqz=X_-vm}|7-Hs{5}ZmTxl|F@^c$Nj%R1iVk_nbX?M--7&Y zeAMHw56<&L{#IIm`uWX;q62W;$A&9-K!XDKj$z?7t=)tyD%6#?b`O0zLVgKtZJ)%- ze1G#O{;uc4*?yd|__?pNWu1kx{Qc|4{P)%G`QL32d#x)+v_UWHy}Y4}@PjM&mI^L&-(ISf`ESu*;ad3b^gj0dXVx zA>mzt3Q2F_i_JE8>Jh@Pp&RK2WEKc8meB zlk-|vsOu&Ya-45pL6*E&;Hn&P7RHNuzD8V$OStIcCE$!bA?a+l6zv%o#)t3SSU!X9 z<$xTIT*QL&pKvhX4`sMs2^lNOorJ#}bJ`(Yt$+3~VhI}a19`;BXM2v_PSiQ3LukKu zSFY%}uC<%%kL}4Pue|(>7>oEvgHN38S>D; zD*jv`_CtQrj$Cm`_IsimIQ#HUd##yeb z{m{!f*|RS}=K32yD9HzsOv)HO^_e6)&_DXewMKp~z?vlYdpuG zz9C$MJJ?!)c{H0FvHv*@>#OcRT%pdy8@nFArcJI}W3;gnxGFaY{u}?5t?&_#ofF7&kn{*&0z{NaATeo z7y9=r?5CP{e+E6=uQXO(-LJGm7x~=3u$eLjXiLbpl;!@!1IYEG;$SN!4R?;m5Ok|{ z$k>TKupf-YPXS4zPSP1i&STCW6-QMm4}p)=?Nf0==0>$VN}WL1p7Vh?=WB53xWWHz z0P_i-d(0mXfyRsNejbRQnopGd7xEt=<3?S}1ADT$z**!8=>dryktdAu>m@D zQ-&$r<9qxwsILy%YVW#k^rdFW=o^g=iJ z4nXEhKFes2bUGtKR?U+tq&@okhGbXzOCQze0Cg<|P8sIQszAM$1Qz&y`hg6Y^F~Cj z;@WjV7vsTvPumnz(DzCGe=9h53^4nfTcxapnAc#jc<8KkwAznm94n z`|WESTd-8$8=31ghj;5Bj(evg2NrHuGap7leyVm%<|HR;sH=O5P| ziws`J0=M`ypaa*(i?T)HY{TpQzaqZ`>Vtr4o$}20n|_s{$ba(gfXKh~8C&K5J@o)= zbU}vWCI9ZMcpUZ7BctUVizh3-A6+pZCPV)3m> z+linNpSQ%n--WnRYDW(!>x;$IC+<+ojH z^C#1seB?8%SH=?N1MfSs#gc7qk%P7p@gx1a%5LgI9J&5zqw2$n;?m>+rT_GIKlG0H zXrkx=jd}IT_c#HCTuXzHnGZYs9*OnO%lzLXxj^H1u`q7^;Bkz2)=qj0Y^(N3=ihMf z?;t1}fe+vD@q4s9XD%@OCP7=mUf!qE^n(P4nNkY2Fx+*jG?#(hp zgaHV~g3t4R<++Q$;pm4x_3xP|!^JH^M!iV8TBPqIrF<4T{|}x2htB^)=l`Me|Iqn= z==?u){vSI351s#q&i_N_|NpPg|1~`S$6o+E5&RK<==@*i@3GMNf9U)_bp9VY{|}x2 zhtB`i^P$lBzyI$QLg)XX^Z(HKf9U)_bp9VY{|}x2Up>83YoYW1(D{FU==?u){vSI3 z4}Je1`u;!k{eS5D|IqjUq3{1g-~Wfc{||ltANu}3^!@+;C*S{v&i_N-|Euq;_^mhV z%HO(&zW-OgJqVruhtB^)=l`Me|Iqn====ZB_y7LyQbXVWhra(8Lf`*i`Cd8n{eS5D e|0}{|NjQ#!EgTn diff --git a/Examples/sram/logic/simulation/work/_info b/Examples/sram/logic/simulation/work/_info index e107c86..bb1b16f 100644 --- a/Examples/sram/logic/simulation/work/_info +++ b/Examples/sram/logic/simulation/work/_info @@ -12,21 +12,32 @@ OE;L;6.0d;29 r1 31 vsram_bus -IYS7oKaz71LdIhQ>[[g2fo3 +IhWan4YkPClmK5z;GkOZUS2 V7bnNHP1kz?3UaZfjPj4WE1 -w1273511584 +w1273543976 F../build/project.v L0 37 OE;L;6.0d;29 r1 31 -vsram_bus_TB_v -IA=m;kT@JN0Dp`leQ}ZXJSRCP+WbE3FMD|-+0H$|LVc(p0KqSkzm6S zlnzs8ZjB{!c`uA5EDil#m&ns1L(iz4oWo#4*9M~({;LNe2<;;k)Y;}B?~W%|_dR48 zfN5e7MRR?aYtAp-UZ&igz+w5U$(h;2e1xHAwnzWBz*lMbbP2e&DRNm`kb-S(gjW@# zYklD9?=d`oPNAe9;eQXVqNCDTTabcf=OkAZqh(`~$?q{dPNvpB;eQXVqt}vITabcy zkIy;Au63tOfNJZF8zaV7JgKHhT|(XK@AC~BuBrIVw=z!Oh~0quogilQ441SOZwFar zHOazwNaQ>QN8f}?_7Z<-M>T)MTnVQJRxITgFY047nY5w+cxM6a`~d&}0N3jRhva(5 s0RR91`GNxUK1DAB0Iy_~+XDoISqSnFsVSFQ0ssR_v$O$$0t5UJGaGc*F#rGn delta 449 zcmV;y0Y3h<2(<`*0C36<`1S}GRQwS$HRm`OONg*6gMmUmfcV;r_gYpu8eyV|;K|U}MUK3l6@23&P?GFSPpo%&b1i!-W;M z4Hib{K2bpfSaJbLEviWQT8?d%?!NaFyqKT5uC)}THlZ<-eo#_4?*(`Pj+GGYs7ivM zPE;GF1h+>vLw~*o8+fj6r26m`Hj13fzqAo6_mO3gDk|W!XKUUW-0#I1aDO&M)gE1j zad>t-4$$j=$z16f(v%&?659FElhA)QMb#G9CUJOnG7zH6$z16f)#g3N659FD=a7Fk zMb!(x%^$0KBGi0>OomuYQ1(?C#B&}la^KB@CR%BptKOhuWj*hT`|U2uQ&#sX`e8yG zH(Ntk9F+@r<{E2~i1&N+G!ncJMo-3iWO7j@9Op4NLO(R>l9B*42LW|F0RR91=V}7y r=DN%Q0001aivsj5MIQnHuR@iV0|XpdIP!3*>6cmt00YXiu>pYso)OQG diff --git a/Examples/sram/logic/simulation/work/sram_bus/_primary.dat b/Examples/sram/logic/simulation/work/sram_bus/_primary.dat index cfa97a57db24882430bf8b79de3db6a20b46c499..6d153ca564d8a2606d6db61333b33e68197bfa1f 100644 GIT binary patch delta 7948 zcmb7{bx>RVy0*~(r9f~k?oynhfub!?ic9h0ytq?j;jRrXg#ZPLYoSo2I20*RC@#gV zK#>ykki7dlXZAj`_nGgXmHcwA=enP3WhOJp=ts-wM*~n?hVYmAOfiM(eRMEU`#;ud zUj&q2#vG{~q3y|`rhn8hoKT>y8Ju=%(kDY}GI3P}cYeoLhi~#+r;_ML6sLIq-iI&e zy>*;|2JT)anp@wTpWRbxm+NPO%|f1A?L1PV$Ggh$mW$`x-ig2LDQux8cc*PX2bYuWZgfuyb|pNL}5$eAe?V z2}uEQASp_MNDBQ|Bn7J$L5Xi1LQnuh3wI=j(GH1GNJe5_?;$Wl|MxP9A1{&1n8_h2 z3m^ z5=oI=MN+J?5tQM7SqAvWqnS%YQ?kBF?Xpu}ZvT0RKMxVaDKC3&7;28PE^3#3_XMWN z{Xp-9!HW&6m*A0gx_yZ+oO74H+E5>HO?7$OiPr)=;G4C^*vvQ4rxPepV=~FQ-XaeS z3+qE#x^7QTtgMtb9i;EdTh}ehzwL_aSU!Noyh@|@qE7;h1+kvu8u}d*dF#{W(V1QU z;V12_9**9iW+v)0-p()^Yv1BIPAJLd#i`7QxZw)*@2G3ylqGu9HE0xJ@fu@_fPy^! zr{jW7Y3s$2(m}`<&dhj8(BqX?LAf^q9r$xQ(2@{hzk%)om%R|G#^&b>L%-3Bd>mge zyV;jBF0N_-W-?i44K93-BwP(rQ8)-LtOopoWY7zyEb-sk3)qLz$e7Zc;KRMjPozT0 zcN?l-Pof8I{h}K!Ce)smGQp=Tfuv|tyh-YevaNShi!W%HvP3s3EVQb)^4imrC&<_& zSvY5-$JAp_T{bt?vgs)54PcW@lWJ^BSJPA$b`(G ziXk-@4LBK8LjLhp-W)#l1Zz_{;RfAgXG8-eO>)4ETHs8V0jerDyu5;OcLguHu64c2 zbq!~}u9>1OjrcwKT;Aw~ty=Zf;g9VPZy==3?VD%Azs)>5_0m;>@$tE?K1yWJjS|@F zw;qFmx1Wl6V2Q9J$dufiCEmE&um+_|j`ZkzV}(9-g~qpQ zwZ!>D9_5zvB>HI=K>ql4DbR)LwKreuAB5_RJGE%B_la)H^5~umu`G1?3kbSC`+2y_ zb`bh%GKE_1KHF+Zus#>!{L$@fF}o`rib}g>GK&^a14Wf@>la<9;$G?lwl>A!w0fi^B&_gNCDPe1 zZezGJM7%Kn5B&bT%iAOxPu*2_zX{lAT4VNDQj(NhFP-pd^Q^*rK4YDAQ62k_t3sFN zBVYHGNZ*y;kZP8!(^U4MTa2^V*H3JZ9qE93l`cq#wYaB12~$=tq#Ib`TA=oO{!&%#^ znbd5&89%*ZLosyYaOTQR0@-NoP@jj(D@r`cxjjZD^1}I5(i9JSNyt0=ZCiPb&-7!y zpSb9XP$BT}t@8z#%}9gK7N#YrZ6^0P%uJ3@%a=hLc;M4X#^?>=v#-&4O=yWlU)3u3 zHPYS_?+f@yi{X{1MU#|Tk+~sUPghga%mb zX{;wdfZIw%bEBYK(FebL7uu`bhM!L~NhfPWBzn^5nsbNu*0K!0ShoGH8mE5f)78?l z$@IS6in!pBHS1|%1I~lD4v{-GCv2Cg#vKN(kqH z>a)(gFS2%^1RJ551_qrT7}ULb4pnbY=R4+TglZHWQGw0gK(LX%Wv5&*h643t&^m!# zkMJW^#?tPpv1enpPL>$+gWZ121%>YUd?IYyR^M^C8`!_{5>G!*P-H~6Ne2Ap2Fb1TwQF0EB)V$x8h#b4A%g>l6>r+n}UUBK& z)wLGpH9ry~C2De-qII8aq;+R;Gs|@UG$#?xQ3%-%!mZ;-!ke!b4W|14KS{l9sP+#| z99aM=R*kL}Z!4Rc-l5>lzB^dT^c;LGKhB-U;dmf~V~t01T2;xlj)IXOj3M*2Rhp>g zF6|GMSpSW7hsv~6E@L*7VWt!fbU98OT)eV06P{IYaeO{Z>A26ajBdhx zT3vG!tR)ouq!P^dfS02qODd5yJ7|;L`w=l(H}K#LjO%UqdP_%{etA-7=*l|9D`qRm zP76<%xEIY*#itUVy-?ca8;cm`L*gD^|JaxBSdGOuK8!#i>;)G}*2k$qoMU#Kiwqp@ zKme2GcC-DiDa`a}UX<)!G4>91vX2;RUR`e1yTgIitHb>?5r zf*Ivy#6szu-gZd$S>hn;eO4rFO66=x03b-aTA8)a)@81B+dr8Z0|^4B5i%3oZ^`c- z4{Y>7Bvc}k_2Me#2U($NSfI4l_$XE zpPcQmiGw}e#@pM`Dk~rLF@iM{=zN~kO)KGM_XtbfmSR@nLlw@Priu&SLDI!HMOy{W zc#^=^chl%q&6?A?iM8vTHZMuZpw?`Fcsy?4Ao2ExEB4Vw%s8PyG_$+(PnA;HvH@AJ znfDPDTq(?RC!F?X4;;j#g!MQ?Ah>FnY=$k*MyUUaM|pQ^oSD-N3k zv6&>mXS*f1DpM+D_%#XM{s4$n+4iCaYXR~gn|CfE%(e%7Oq?>ghacq>Y$S5uC6ay( z_1~VF=(S&A9~r4mcIoPc94!Cl%38&q8TLcf?(Z@g1c(G@?MLwa=^`?41O_>G+_ms1BS#w}Z zlQUx&v=x2DG7~$WPe*}*YZ3J|e__7CYT`F0O;Y1uA`+pOQ6<+})@>|SHENWnQJ+~k z9J1j_gY81WqWMu^BPx@rCh=FJy!~m9DQ3E#d_bR{O7)a2nijZH&ekEz$se7Z85W|g zoGz9bpKbqh3l!Xe)K>siVZNa}Vqd2wsfpu=xWdbr;(aZvcowT#6Usl$pIO=cvoG@p z+l7IA_0(zIq5gauCsz%sW@kUjJ8MnQN; z$+f!CBZLIiC_8|#$mr&~w+IpVHx_9w9z6()3=1!W#r&l_w18V8 zE!JZ`byWedAziI08eze^F|aD639}!lUjj^eT}Sy%|W0fgps1=>M|# zhlH1V15&~ggp{~pMoO#L-n_q&gQ&d?pUfDNf66P7NLv!(#mKZ8tNBto&yO)s zV%Y&!!cRH<#L zg|Ge!on~%g&~pdQ)x?aW!Knlc+fDBZmUBs5PqBiGlOEEU|9(Nn>#>lMmUAQ8mj)`t zY=^Cr;I_K3ekN!$GLC-kxL)>)oZA1iL~uBj%rZ-$4|TT6!Jxn3oA9S4Vx9EoUi8<= z`iYXiq`=aEU2pLIHTwvYUV~>x?IG+{1vRHU# zGT7n0ce3ycc9qanjUv#;)WedM>1v9q$R6@%y=)l!ZJ7}1jK`vY1*;IWU-{)HhvH%r zoayXeluO^O_@D68I+ts?C-D zPa44P>U$poVNb*T#!@Zjk8ayC;+6DcmnQlpB{LWL&J`3No`-tJhZB-apy9{ws=fd4 z#UOQbbn#Oah`I4$3@kd%O4wowe5@qEBX6Q^&hD5anS$j@urq0Nr9a@!9VWl97=a~s z|9e0=PG@P{yzZ4yMU!=St%37X^{nOv$5^BG1Rn?o$lu*4JJukK{Hr?a9e(~@`9;X5 zxShM662UJo+qc%3{l@86b+b3Q2MJz{tXJ2~_TWtok%|?^LwiwV86riuTb?iQ%Q_!r z-88A;9xZ-!qNXieMJ?`8hHZ zibiCr<+I3ax)_n6-_HU6GpJng0U3@@BSOr78hloriOeQ85gFFA6FcR+elpV<3*+n- zhnY?tt$bpRi$-FDV;$`f0So6lr`sMzGLAFp)=&D$2HpHYM0CmA;S%GzulLH&@RqHP z1DA@}H`(Jb41n*n+f=l{$k5^n5&m_XfDA)V4em3Ne;TyAX-8&3J&26#pXQt|N0C`% z10nGAu=M`8i^$3j{(7b4}9qT6nMCI?VS5Vi_Lkd=udjpN^?`XZAadE3(_)6LaC}Ps{`<*Uw5R zcBelg$y`R!R+8x0w!P(B{L}$Q>s0k_-85H$5y=G6n!7^AKTl`Of zr?1r#etVLmV{mp9Gs?eFjf`aSM0e)KBWTx)?Iq+_D^gp@xRL7oyNqAR;-I8N~R1V&4`5WviSq!M2;!el~9tyfcnoPV6@U9qC$=)Y6 zoPF5j${elQ?`rK&mAOz--nmOQUKSl$$codkB~$1&?}=!yR#Ev1Z@FvKq)#LL2)M4n zOM7_g9C{*nkDpcBxMY<4s^4lVX82%DITyPnQG+Kh5^3j19ZrTH>Y;3j#`M>_z&raG z$)xFOj>)hF@ja;RVD7RFR^Dcwh@P-?_Eod$HwB#3PD0k(0kGCe*O5|R27K|h)_D1( zi2t`Vu7}?_&N(AD{&j@(1!aWIPXLz{BBonwh*_0_VSn_Lrgw1LI*`2SMfSJX7JMT^ zdz%jW+3$O-@5+3X-2-gBQ53ucY+PxKKfL+OKbJy~90()JCk9Gf-j+$cb5#>n_76#d zuVZ>iWkkRIwDYEZl#yz0Jg{myjLT2W3@-~s&M6$GDzMv&=xqmL-Ne3=S^tsXXqdZu%yf{{qNzhnR}oVvhJ8oO zxPV>zs-Il?%1wmxm=aZ4tQBCU(DzigKZGF>Y;P>p6|kddMuA0@)y`pxzR7NtnmNXjIlVl`#ZV=6 zi4fiS5e1l2{JfoE^aFF9QRt(@dZ}4dXF}ACNWucJ5WS|p80)`$@HYOhtIy;D$#uvnPN|DMk$_7_%v^cZ2^XK+|CHF)GW-)YA9_6(~k#qXVdz6S9H>)i^ zflw?r>XueBo%_w;0Vr(bhs|HPb`%OR3VqG}T*Q^c4cEMEUoNvX%2S|k=@C~%Vw2(v zmnh}6nC75BFhCiL$rLI%)LwqK7HcHD&drBb54^I&V8*-|a%lacKxL|-w<$~V!yEPG zAB2g0seK}i?=7a;;);SK>0e^vf5>>=thra!8M?}6eP;Oq6TsG-p!B4EnPsE$YF}(5 z9e{Kl|8Tm|PE+n3EX`&F*j-sZa@Za`+P3D!-Ce=Vy%pGa77G#f0$nBS>o1VhktdrF zsWEVAWBVt`iJ1(OW(oCIjeG*>swXQ|r^J* znl6Tu*r|A{R{6pb-;>xSFJD5yb@FK*xb;gDmOjY(&2~_3 z;sG=WO#mPeI_IWnLO`}=J$hj7(w@CcqHP%~WyXA!xV5>iq+{N7a?C`g@nsmQhzoc&0)RS znPUAdpceBvlCx5|Eoqx}riVX7I`~cOACh4Bo3e@?wb?D|Nf@TdyKsS>z{tQ~oBAhY zxgF_y?sZ1;TLGP9-~QC(cX9)!PG)l;!oZNYun_094AA9+CL4mNjDVL##7 zVEG*oS{SMuf(yH|z`(2WmaGwYjWZ=`51D3RsxD&ou7!RNNC(?rm!?aFnmgR=&jvh7 zc@bYW`nbIxe4=0LzQ}XXCh}DO++ZH+M(7uZhard}AkY)PX0A*6>Yynuf(Wqpq-<_5 z!YLAZvzJQFyQ9(AP=MlNgL-g{ih_djV-Jlva{bK!;ypYB{S;!wi;hxlnL3Yw5$1yN z6A$wjdzlOfGU8v*4Nu>phJb@A3@cfK~N>91n9XF4YW9v z26<*mK)hl?N#m)ZbWR|s0`mM2x*1Q3$^sqrWkB3jpXK}pl~^{=0<|SiOwiDMtcL~l z<1WpqCG^098iNHjO%6KdM~l2O!&Md?>fwRdz|{k>!Tl9lZ%+gL<^ihb^W^<|llud- zz$XFfh_mB;m#Gf}a)bLbst$BDo~nMtx8R?fUH`k8p?=)Y5*?}?hA7hrLzLZL zk!3Yup!!(gA7%Fk=uJohR5uJ!CI@W^`Mc!)^S?`=`Jo`_q%RE=F{U2&FRE_;T}X}L z_Wx87cdA9)tM)`8+P_30+V8K3_WG4*VT5Kav@hy!J^E-6lqrGX{vjip+3z1NHL~yi d^ZN3Hf9Z4opFU{&{ouGC4HR+XhonQI{{pDvVHW@Z delta 7965 zcmb7}bx>Sgo`&%NfhITv2~BVh8rK z`P#6CFTGB8+l87jfc9-%y0Sy3ZUfliS%>Y~Cz3(FNX9=c=8>To3J8RPpTY_30+LXd zGGET)5)nR8097!Gas!M)Cj+Bk@jxj~-8L|a%{Y_-iliHcVU*cn7;8l+hG+p1hWR(c z*t8PEFpdSVVOZN?6d5rX#YqrKA^*?A*nv9eVHk%b7=|eZhLLxLVeB!X7}9?`4CULQ zfp_}lG6WJovi#ih>g6=pq-0i`#TcC*`&tz@U1e_;@_Eb=Kvx@FhQ5iGZ+f?*`ht(M z9~XueqLY`TKx%bBy004|GST|=t5+k9${S#qpkXM6>OT)-qOk-UCd3p*>GOtBN`hdN z&_7TL&A%H4^w%_2>eVnzI1UWcj|#&SpTaOG-Tg?+jsKO|VcRquoW9nf|ycf=!%Ss}2`ayD9;m=cT~L2RVn3cZ^b+xJ2{tr}rDC zfHrsE%shl`d`v96>**`x`i)xkRK{5701+sNUU_=xbHqFGqLDr6<~RpiN7D6Yh}$ zkvzDQXsOa#hUb6u0t&fH#nQYl)b=Ljk2!<{$WGgy7X?0#Y9P#%on*MKMAB0f@AvLO zgS$UzwB3I@)yWF@5#-zcM?bQIJHjBzDQf|pKW06kX?{rzKXq6{xX}~!_x<|2A|)5` zPUfBY1~Jq{N$aj}l$)OBL?!dP8M`r=pwY<=Amd{Q#B8BVC;3L_`}M47n4o|mffik& zNlc2Ff|d{><~k-%R|;joHOc87;RWyp+|QmW1a;k8+T#4pu>$UW#7HW@&DD_orcPfH;F`vyfsv%BLo#4&<*b zfzfjLihP{Mbo3QOxPZkO@SJjjLfT)g>$rrEca)7UcUW}N1Y0g_A$0ciarkI@)mR{A z9Bh)-5=tL8$W(n_Br<@4(}GnbRyD5CHN49TzUynn!)Gt|Px&#SV)R}WX$Y%Gv4Y`O z?NgS6WE_QuFIW>0d!**y*lGnvX4Ic?Z$tLJ&soMn8)!s|M)Gn zb50;HWCwWxZ7ihGld}t&8I*i|$~s2FYoOWQOZum*#aUkwnrRL^oxA8*yo8<$y|N#e z+whwieQ1Kv3bmb0F^}-9y(|COU8PPzUcm+mO|lpPyW0EKx%%+9Zp;5WO3w%t!-9tk~(APG@vA=b%){320!;o@1t zX(JH!G}aYM9b?4SG#*_N|85GtDR=O=Jj8-X5<4kL#g6Ck82 zK9f_y{Z>c1(Fw(*p*tA@cK)i01%lXc<&(Qqx|<(pX3Di}Z$CPsl)z)ljFP8KFMfVK z|9Qhde&N}>jqa70APJfMgxYVVUT^EWGWt9~WQc*+-_mGlu>l=%7m_dZUuZ7VmjwVI ztPeP@+`x!<-Bl;Z%k9B@@BSZqZPPQK%sej@Ytt`FC*Eo6fB$$wczZ7Q%^uQnVn&TRPd~h;R#Z13(|2ixXQ{OHG z0yt!B8w`+1RI@3HOXFCr|K2}f0?STzGATsdw$~(D#sJmJm>M;Eb}uod*=#p!*{cq9 zv-3ZB+smJYS?@5?#A~s*5NJ^kCPgoxPGF`kDhC#ICRPj~w;~hnsKv)!8vAx|A}w={ zmHhbTVi<^u=)M$!8z43?<(!w|qMC>gn&ZBW!NV}ZPFBfXlVZ}>zT&Ns=TtPR^!6cudxx^Y`&7xF zBAf^tg$m17yt|crR@?{8=T-B_ptBsu0*+aAF!BrVbU$2v5K&JaLOIXjMjDw6$RtVX z%Gmumqt?2iZ?LKkDecX8Rtbf&gRmES=RD(sM`IfP=yEv0t@;OxFJ9hXiQRjhY@$nF z3L$;%$xszFPy$#9$~AM5Nfc&n*7b{f9lVo3nuqYt6ND7I)ebe048O-CFT8Krku>E$ zY)^_yvGGyhW||-7EdO&P&RVE_1QL+j=TSu+^Ndr>Rf?bE`Vz~xyQ#_e>+_w6oIv&7 zWJ|O7oE{Mzy_CG|>VVrm9GZ8HA!}#p3bmlt z`X*0gpC83Hp7Aq=CpSqh*Vx-!1EyXj&9;(cblb^H^go2FenxA3@X!cX|1ivx5Yl$u z^vYMB6@e^Gnuf-U{-yYF0|k=181LSNo@IRo$AWB+fmpc~}7jDD_VsvI%*2ujgH3&IdY#V&0t@tJU$FbGJm$+n99(R$1q+o#&t38nea0rY4PPe zvT%GOZpqM7Dkby0(Wd2C({Y|ulni2RS$9k-d^}}=!xzJ!JhxKKX}5^~D9 zLxCk9nIgS9ciMC#w!YyxCU^nAdUx>%2tw}HAL_`vKW(R4LQY>!)(ISkt$cWRnf8%8 zm0~1xmIaT1dFrdLEYLr?!+Tw30zY%Wfgo70Gy)XrFlN5FrqFHU~RJRdwel58z?$t?lwzrH+6T1&!29fKIeJ7aN{m>GVXPG4QBXE znB7ETd8G+6yV(F&&b4E;C`kHkSp4sns$+Xx@}M;M-uZTE_Eqqe=*`LtgS-I@da z1?uSY zWIkBwE`&;xR!BB66!U;C$dX9YX$_KyrUEAv^`sgl{fb@1e8VhIJ}lkV>ofn4a*V!eBC=oP+1mYvZhJW_?0k~nW+BDb}uLZaZr3hJL-hn5KhJe zeS?KDQ!}%oo#x!vPgKGh^?m?umVB&r>l>Gj7Q6rZ$;I;Js%lb1x_6k^QO zs;FusIQRATlBfffwEk2)e#R5Qx2+kJ(kt(!2F#Uaw5aIfX2on%dGk<@nb;&FVfkT= zz zIKQA0fS?`_)FLi^{>kEk=3iKlR9rMeEkw4SK`jsisG%0w#v}EKp65PFgwmP)tp|Py zNboy+J7#OimyGTjz+4G3WT*rnkqs&l7eeRg?tf3Z6|77vs z5_OjYFo{hsn1rt;Ov0+8G79C~N7hO@zp3@W{{aaloo~lHDfvR*U5x@1FY(d?W)UR< zvk2FLTCn^J3*1T~l8v*&U!ST}o%=mnXrrbAe!#KtIePu!yAeHzGL~_+b9WuNu|2o6 zCpE+$ObdH8{c6mmuVn%!-yO{!m{neS!SjRxoj0>th!RQUjyyEyF}>R zY%90`tXBV`gBZp1tcUuG$C+3+&3Sy3cD*byTcgy(zJ(>3<@Xu23M}Cnw2zK$avA|_ zid2lCQK3qwG8!vTYgtn`z$937$ij(uYGmr}jw$F&c4aH2%|igeBu7;N`)JRTg=B@w zz3K$x{gePa`}r3Hjptzj)J8i;bKf1aB4q>tZ-GmCdDVoTjzw}|g^ATwd zm0>VVLV4^K2<<%r`qEOY7M;(xxW4)sb=~4A*J(T?(MVO)EkFI!yJVv~qs8dE2RKD4 z;+3t%ATCbNV9i1?Qzq5tctB>sT>2hyUi6mbwtCViSO1v8sLVke+?f}r21)3gDuzMq z-#Zji(K7L6e`DNg(K{p*;{BMg5L|yKEg@v5{t|c`2J&`D{nXu-V|2KZFuub~%M_h{ zWo}L@K1-We&LM2B>6HJ~K7lmjZRGA8zS&Ay22&8GqB-+V3v#kZ4z8hb3)8V$YK+JL zG2btGlEe-X%qu?UVmRL+W1mX(*nF-VulVJDv#g~`!EtproN8hdVPj<{k|v@~=!90( zr+Q_60fX$W<5U?IE)4&ilDj&lKVP{p<>R3UjGZ%)3iKTr3z3vWU`z6ydtm~vuta0d z#YwGPZp#}9?`&5>;J(n{Aryp zfn{x~(5!?89+v3`LbHRXbD;k{SOj!|h3^2+@aS&`3n)clnUN4QI~ia+=8uhjn6%zv zO1uoyB$}jbGWhJ_kV>~r(uuXdMSeg*Ap0j-XWd1eGl+n04rHA_NMMlc!MoIMzql^W z^OOFjzQGbusu4;D>QRBq_<{lpJN%*H4hIriKrB>#xKocl69 z1_c3%R~@o7%(;xy3F9YC-IWXJrCw=(`>d=zQJ`P+a_gGpJ0!r*_@QljpFr|TxXqA;$0*xlg0|yxySNN9h0WDTC)y?+{X1eLYrE>9${OK8g6&)Q# z1bf%{a|;)7Pdi9`bigP@5C46m&-kRA5vEJRSyQDES2yeem;4~sz6G(oYPM-Z#FE0{Uv;Wwv z#`s!f$7S=T*u{IpzCV--Ug=QVai0$qr_JE?Ngy<2HApTb;C*(}a#_N~cQ%2OFx6f$-IlNbWV!Y&T~*)$b(#5Y#!LlUtx82uask z!p%(!PC{Ae644mob1&(G87)=Mc;^-V+7E2I<9HmHk-yb2V98XUeUs6>{_cI+0M)d; zn)5Qwxr6EA;u;IY%dasKgHjMU&O5_NLxEEDsT6_kRm&Mi^?~t-v5eqrQG+X>8kG!b z<83`sVvkbvM3q=mZA;!-{hgV?m%bS0kkx88+WAd@Xm6=M^?r}4;>5BA#TO7|Cl==bLsjn`8&s& zqUkjMIaZ;zU$-SWA}jo7LB0r7;--ZmV?mrM5usuY3g`6uy-6udX{PW24zz$QZRQk4 z=9@P3@!ar$kn>rs;CDPP29-hhM1jp@tH7Wm$aP&kh{`c?)4tAU6oUiJgOtOFw@U6% zs8J2LI(asQi_YMsH!!q<)0y|yg>tOmHwNZU6YUUpc>LTOIj1=t0GCUk$4k+uAGpJL z&o6qFXw;WeCPc)AXe1K_)`;;FMmuPLSY}rBSfj#S^uMyw6Uy?duR}na1RbvE#clF= ziPj@ct3`MGq0=a7Y(qsoid2Mx6LUH$!<|OHpmFmlFL|c)594p`ZY+@^W&O^Vw$Sng zHcz;eY^hT1mYBjprU7V9gPEgrCj!62;7_VHs6t6e;jt2&7*pwpzUAol{?56WWx-YH zLvB#UNH$nMCVhaMz7g*l@eqHvack;zi@?r6nyt+HYvF=1@#KvH#CoEmuKmvU{ z1q9ry$1$Bh!gD^1713M6Kz2{ByG!({y({`CoaZ8uNAQk@iGQ{_@l`jVZ3*%xvS^J6 z(yE4){xG@3(&0df(`#c+uhXHbFTX=2GBzYtDy67zDeBr0N3{$}eEmf`H$8)lzz7ei z3I7FvA&ywD#g{q+iCMy#RNc<TM#-kszo^K8-63_EqHOKxT8kYoG=E07MZYxvv`|}+OG{*rb}O( z+FF0i5MXz;a_+?ft}d(Zs`_%^mCOJ*##WFC@^etMb8|S!lF2ENgBKgqq8pvTWP_Wo zUBjVX>j*@j6ZJMr_h!#c%_n3AcX9onz6m;qQ1Th=aBpYk~vN|H<8Waa9gzt!-~dQjlh^N2v;w8sVLLhC-S}#*XSr&VPH7Q(cK+= z_LTCC8&GGlMz>n6wJWFF6akR(Df#JkO(p_w>)SWHTKBmyRiwlodUKsj>u*QrmnwP<0{i$dO z9D6wyS}IOADU@|K63$UOHu9~u^SKvrN?>e9#Z!FwaXq$>QnJwJ=|A#P@=Q`w1(sLo zVawZuAb=kdZMvkaYe%SmJMXmM{ zb^jhs=5D@I)?CKb9xL#Gu|*@BLTjUz{4Zmt-tFjvNO77N3&*wzbERST!j5{?3C13gt=hr+6#p25#Uq0RW<`cPSD2!vOex|YT;2XpsoPU2Ep4_%%dn5tIQ+d!fQm>=bn4L)#&`4WIYC+!$`2=bY1?|K8Kz z{%2=j$C%WYnc9$*RIx0gR8bl^lWLYnlzG&RTtI;p5oIx% z>my1X#gL6;Z-|g}sfAJvL5ib2D}&@*W}zH9h4wcF$+O%-rd2`8p`&R33Jcj*2gy`# zp#(aL*46~c)?lFwI)V23gQTsrP#&E@hXO(JHd@HKHb{AN935I^A!k#NtgG?&o63x5 z8MDqoah!YBVU9JJ1D!(q*JBPp=6DHnprdGi0CP0UeJ#xnGOfit&6o$RwcvTmF;5FV zZ>m&F%rv-m3VB;G);f&UYFwsS8yw0U3T%idFOYd-M5(11atYak5v75W!3f2hG0e*t zrUk>GQ)vGy7^W4&yozDaQM7*ph6&+E*@z*~QM48=d*r0EsK``2aR0WGy2>ZfuCPNH zM(J=wu~5yXh%%bGk!2Lv9D$*E3k;9Nwp=h9KgO)(xE8vmr{b_=X&kHALJ3~Spfun9E7YWoB>vrq1uuwP)k z`vo?SX4udHeBM+^mk&6|)`WkogCZM0DA)TBvaCJMvPonux!YJai)B=Ws}Hx$5!eZ;Z_WFv(?7FpXTBAY<-meoEL*$kSutoJiK z13Hi9EgR|(S?dXrjiXuC`MJoZ(7a_mCq*`g<}K_0LS#)}ifk0kvbIzBr6JpLN@NqK zL{>|QYzEC+)|Wb&6~T&3o2+9@C)nXx_7-3nFX1D6;X356Sjl zWLf*SESp4*CiizNn?*XvcZp>SmmbLWf6ub^A6PbttR(l3ESp8nB;RG0Eg%!j+ajHjTJ-xDLbG=v>g41q_tpBFSnr_MUg|}EXe2ZmEZ?kM0atT%3VcGN@k@fy5 zvUxP`+0b7iYt3UC$i~qu>nwEfp{uXXc?s#iZ=T##vIzJRo+Pm1@!V zRI4NP-fDG}K6VC0ELhEF@I5sNhS34MeM*IH-bG+l+S%;BRh7ovf03-D6C zxI=ws=O8aWoxj?_v72K$uUqfJ8nwLSBEB|}uO)ent$f+ShFUJ*)KXs{7^)O%tCy`;$LNn%tK0P*JkKD1yMv!)Hm~qF VuQ1%L4%e?eqds|jnp^Fv_z$Mr2MquK delta 2420 zcmZY9U2Icj7zgm3L(gy~XqU7kMje>6V;2N4YnOQ;?xVdhVld`3a`TyT@)^na0 zetFOKv6}5;HE*XoZ=cgxRb`nxacf~C4L8oBkFzj22qX4B5CGfdq4R>Aeh z1hoEj3k7iu| zOM+WQGj8N%!L@A{+$5TDU9Sjk7R|W&4#6#=88^67a8KTwbLUxjS zH{<4Z3+~K4g6rQSxMeisM)r!}g}n`?tZkpjCiltx@qHreN{Vb2&9ZumWeX{m4eV#x z3UY{|ud%HCb(T#bFOvHWmdzo@$ajEcO9w=D-a(cP9b{SU5X&Zzb>w`LWi!ZGhrMt8 zSC)_MytEwKNLplV8Iet*S=KcmvRO1ATm6X07SVicgYSr}v2>0>PG&a!L{xsZH0mMtN>C^RUt7Y0Sv_L;~g(JbpaE`nQ*i){9|$m%EL{-F~h zTRb7M!Oun3a*}1ECt22hie*#CA#$H)*&K41d_yc-LXJ}C49jX~SvGN2WXI34tn&+& z%^;_e_e+*7AnPe`j%6$7{x3WJmB_lj7TGMCKgjwwB3nfB2RV2_WG&x{Y#hz9j$x5a zqxpmE`A%f>X#ODkzZcmun$K+H2a&b?D6&a3%esCN*({nr$a+y^i)j8J2TLMrxhS%6 zG|M`E7TGkKKgga-*vEoeDqNaoeqv`{7FqvgmMvXo+0ZX6tNqHd31k;JM?`kZh{$F~ zL{`5dvc)S9T>Jn%I6A{Tv%yi3wOke1j;kUYzbdkhYa*Mz#t+Tm031{93^LkWi!Z|nQps%i3?SZ0g1|MQNhkUooWb zZ_bjLp>bYo6PyV%oi}-%G1GgC*9Fc*K;f)#8d1e8yJ}WS%00=v%1QYw=2b~5q?uP* zwOI)%=VbFLBjvr#yegQrDNv&v3Dl@HO^VxC@{oGn=x5oRs$`# z=WWBv*{asw+f>z2ZK-B%f4uOP81r{7jhdo-?m5!e7P`USgTw@RhOZtKG-VRj5q2|b zA!SCY|n&7_0XY=N$4(+UGB& zJpCLKMq7q;wVd=6Qrh^k@c?iO_~7*uL>fC_PQf7xZ1o{6k^n(UxJ2S9-T*?L0htZ~ z0000#4+2*vE!tZF0002Fr2}+uL&paIulbeX1Q+mQ=r%?M0Gi3tfd!c`e{HiR0ow%u E!>MbDfdBvi delta 305 zcmV-10nYw_4uB4S0C36<`1S}G6g)x0H0L-N0m5|*kI2j*Fa}Gk_@_rjA|0BHh7Ufh zKNU5OqX+B91PI@Rf4tyE2DAr9<`YLd9rRkp_X-#%C(?Fq|t}`M57#s8dFd?_idLX z5pXuAq&848IesTYWLa*sc}lkc08FetsPk$t)B*~cy&V3PVOhKOIA#{d8T diff --git a/Examples/sram/logic/sram_bus.v b/Examples/sram/logic/sram_bus.v index b5f4e1f..70af258 100644 --- a/Examples/sram/logic/sram_bus.v +++ b/Examples/sram/logic/sram_bus.v @@ -2,7 +2,8 @@ module sram_bus(clk, sram_data, addr, nwe, ncs, noe, reset, led); parameter B = (7); - input clk, addr, nwe, ncs, noe, reset; + input clk, nwe, ncs, noe, reset; + input [12:0] addr; inout [B:0] sram_data; output led; @@ -12,7 +13,7 @@ module sram_bus(clk, sram_data, addr, nwe, ncs, noe, reset, led); reg [B:0] buffer_data; // interfaz fpga signals - wire [12:0] addr; +// wire [12:0] addr; // bram interfaz signals reg we; diff --git a/Examples/sram/logic/sram_bus_TB.v b/Examples/sram/logic/sram_bus_TB.v index cb13d03..caada43 100644 --- a/Examples/sram/logic/sram_bus_TB.v +++ b/Examples/sram/logic/sram_bus_TB.v @@ -1,6 +1,6 @@ `timescale 1ns / 1ps -module sram_bus_TB_v; +module sram_bus_TB; // inputs reg clk;