From 47b7172e9896650584b804af3e984688abcd4c78 Mon Sep 17 00:00:00 2001 From: Carlos Camargo Date: Wed, 12 May 2010 10:06:23 -0500 Subject: [PATCH] Fixing Makefile errors --- Examples/blink/logic/Makefile | 4 +-- Examples/blink/logic/blink_TB.v | 2 +- Examples/sram/logic/Makefile | 4 +-- Examples/sram/logic/simulation/transcript | 29 ++---------------- Examples/sram/logic/simulation/vsim.wlf | Bin 32768 -> 32768 bytes Examples/sram/logic/simulation/work/_info | 15 +++++---- .../logic/simulation/work/glbl/_primary.dat | Bin 1077 -> 1077 bytes .../simulation/work/sram_bus/_primary.dat | Bin 41880 -> 1804 bytes .../simulation/work/sram_bus/_primary.vhd | 13 +++++--- .../simulation/work/sram_bus/verilog.asm | Bin 162586 -> 25366 bytes 10 files changed, 25 insertions(+), 42 deletions(-) diff --git a/Examples/blink/logic/Makefile b/Examples/blink/logic/Makefile index 2d414ce..aed6fad 100644 --- a/Examples/blink/logic/Makefile +++ b/Examples/blink/logic/Makefile @@ -74,7 +74,7 @@ $(DESIGN).bit: build/project_r.ncd build/project_r.twr @mv -f build/project_r.bit $@ build/project_r.v: build/project_r.ncd - cd build && netgen -sim -ofmt vhdl project_r.ncd -pcf project.pcf && ngd2ver projecsimulationt.ngd -w project.v + cd build && ngd2ver project.ngd -w project.v modelsim: cd simulation; $(SIM_CMD) -do $(DESIGN)_TB.do @@ -83,7 +83,7 @@ timesim: build/project_r.v cd simulation; $(SIM_CMD) -do $(DESIGN)_TIMING_TB.do iversim: - $(IVERILOG) -o simulation/$(DESIGN)_TB.vvp $(VINCDIR) $(SRC) $(SIM_SRC) -s $(DESIGN)_TB_v + $(IVERILOG) -o simulation/$(DESIGN)_TB.vvp $(VINCDIR) $(SRC) $(SIM_SRC) -s $(DESIGN)_TB vvp simulation/$(DESIGN)_TB.vvp; mv $(DESIGN)_TB.vcd simulation/ gtkwave simulation/$(DESIGN)_TB.vcd& diff --git a/Examples/blink/logic/blink_TB.v b/Examples/blink/logic/blink_TB.v index 8284e6e..83a0001 100644 --- a/Examples/blink/logic/blink_TB.v +++ b/Examples/blink/logic/blink_TB.v @@ -1,6 +1,6 @@ `timescale 1ns / 1ps -module blink_TB_v; +module blink_TB; reg clk; reg reset; diff --git a/Examples/sram/logic/Makefile b/Examples/sram/logic/Makefile index 147b710..0be7e2e 100644 --- a/Examples/sram/logic/Makefile +++ b/Examples/sram/logic/Makefile @@ -29,7 +29,7 @@ remake: clean-build all clean: rm -f *~ */*~ a.out *.log *.key *.edf *.ps trace.dat - rm *.bit + rm -rf *.bit clean-build: clean rm -rf build @@ -80,7 +80,7 @@ $(DESIGN).bit: build/project_r.ncd build/project_r.twr @mv -f build/project_r.bit $@ build/project_r.v: build/project_r.ncd - cd build && netgen -sim -ofmt vhdl project_r.ncd -pcf project.pcf && ngd2ver project.ngd -w project.v + cd build && ngd2ver project.ngd -w project.v modelsim: cd simulation; $(SIM_CMD) -do $(DESIGN)_TB.do diff --git a/Examples/sram/logic/simulation/transcript b/Examples/sram/logic/simulation/transcript index ff116ba..067a1da 100644 --- a/Examples/sram/logic/simulation/transcript +++ b/Examples/sram/logic/simulation/transcript @@ -8,49 +8,26 @@ # // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS # // AND IS SUBJECT TO LICENSE TERMS. # // -# do sram_bus_TIMING_TB.do +# do sram_bus_TB.do # ** Warning: (vlib-34) Library already exists at "work". # Model Technology ModelSim SE vlog 6.0d Compiler 2005.04 Apr 25 2005 # -- Compiling module sram_bus -# -- Compiling module glbl # -- Compiling module sram_bus_TB -# ** Warning: glbl.v(5): 'glbl' already exists. # -- Compiling module glbl # # Top level modules: -# glbl # sram_bus_TB +# glbl # vsim -L simprims_ver -L unisims_ver -L xilinxcorelib_ver -t 1ps sram_bus_TB glbl # Loading work.sram_bus_TB # Loading work.sram_bus -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_ONE -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_ZERO -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_FF -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_SFF -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_MUX2 -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_XOR2 -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_LUT2 -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_BUF -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_LUT3 -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_INV -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_RAMB16_S2 -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_BPAD -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_IPAD -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_OPAD -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_CKBUF -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_OBUFT -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.X_OBUF +# Loading /opt/cad/modeltech/xilinx/verilog/unisims_ver.RAMB16_S2 # Loading work.glbl # ** Warning: (vsim-3017) ../sram_bus_TB.v(21): [TFMPC] - Too few port connections. Expected 8, found 7. # Region: /sram_bus_TB/uut # ** Warning: (vsim-3015) ../sram_bus_TB.v(21): [PCDPC] - Port size (8 or 8) does not match connection size (1) for port 'sram_data'. # Region: /sram_bus_TB/uut # ** Warning: (vsim-3722) ../sram_bus_TB.v(21): [TFMPC] - Missing connection for port 'led'. -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.ffsrce -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.sffsrce -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.mux -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.x_lut2_mux4 -# Loading /opt/cad/modeltech/xilinx/verilog/simprims_ver.x_lut3_mux4 # .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs # .main_pane.workspace # .main_pane.signals.interior.cs diff --git a/Examples/sram/logic/simulation/vsim.wlf b/Examples/sram/logic/simulation/vsim.wlf index b88b2ce73ddc8462b7c9d2ba2994f1c4b844f22e..d51563c74f35e8892aa99c8191c6b8a39caacb5a 100644 GIT binary patch literal 32768 zcmeHPdwf*Yoxd}gncNxfBq5n0&mj{s$qXh#CNP9V2)Rri3{MAwC9P111PJ97AyLFf zA|x8Exbd~cR%=E?W3_^hT2M;T#dcFGC3UHx^+B}OrN#I6ZEK^27g^JHALsCv|RRE;2HKd)O=yKa-Q zc0=9jt82H^ZUXs2nfJkw`*lT=Jaq;^2k97I1;Ne>Rcd}XGBPr3PuFPT1%u$T8%&xJ zouJiZq-(XBiF$|5{fKNR*6Q7-Vs!5Il?Hc=?ka<*DHx;8)+}3AP`_E&xVnJW3xvvz zSFf(CTU{p>Jgo3TL>sJ+Q+9bG}wqEUC)38>kEvVd9yRl(|uzG93)-AOg z3&ahZ*J>Q=HVRD}Y8%&W-c+EQG)bGX;_4=0>xw15N!QN%pySAG;uuYyE>SS(uG_q2 zy<0a{7`WZ=p73Fe{){WpkfIY)gj`L`D#wQP+7!+B30g5jlI&WeX1rEcTi05*MVzb^ zG;u94F`KSit*z2&GITMUbgQ-+%=(y3+Rd@)2K|=R;?~uT23u^5f5YlJ!&=R~-dNpQ zQJbWFt**AQR&cD_w7IF#u|>Cft@uQYV7Xh|r_)`gHFP8!4VUTD+BHJ2QS-K6FXWs1 zcWAp!nsq{%sMj7Eqw#&uFhtT1;UWrMaU(x z%%Bsp*KKSd+^}xdI>JWxbqd_FI(zamgI1U<0O~0nvXd(4G?%iM(f@yAukgRIS4lrG zzKU;R??PO(f=Tfo^Bf+B7={2}i{JkgSM?}*zd<}b%J>X4qu3oCPj!5q-(-CMwY@^l zUx|=i$oc!7#)$Qx6aJCCSHFS1K3%>{zccI;%Duo7-W%#B z&Jwwfh^w-sUn-vL&-F?BkG_WBkMgmgdr+ybA#9&GOXPm1JZ0Hmov$-t`|5nLzYqM;_Gt&?uf>!4 zC~pS69+mdRuzlhz3sKu}d*S+|JY_l71XLFK()Z_~?gN8E-6wm}LvH+PmKbSY5e#24 z{y9%R^y@Nl2z{uJbpSj+*2`0|Mww#N&vCKMT*=#{3o)kb%IiHcP+=V)?|u7k@hI!( zXMm(11|k;0{Ujjg@l=@ZYz@gz1O!;=ZRk; z_Hm#Pzd0#SJQC(F17xt-U)^Wix0I(y;%y#fE&~Uu#S@s*ZRr2B7@FTS@Yz@GNBKTf z@_R6D&g}unKXNEV2r!dHepqp3btU2A6-z1zuP9uh(C7Q+ zV|_FFT<1DrJpM)IuWZt>@4tuninOE1|5y4ae>44#u>N~4q5r8b5@p&^3)hkN)KK@z z=IQdz^JA6vHJKAdu65D{dq^_Uf3G;)t@fw?#9g~fHKz7(dz<#t(bhwb@?YC%s0D->!5=UJT*e5Wg;t zAG{0WNB;_nal@lw+L4Pt8tU$X{zsM-DE7F+bXX@TBYCoTEKlCwE2Hr-!;XB_xRUj!Kz$=bsAXv+)U{Gu9wngZ>(gNf<*tTCI}rsjnFNh_mP* z$5@d*a2|BvcVkSV8TTTHXf#?u0-ySt0I82S`zMF{)r`_-4AWJ84~){sdmHs#4@iB= zy$<>iYJqmoqd~jO$CSHCkqhCpOFI4C7HJoH6nc1E)RT;LNj?1OM?JSFdQ1vVJ)`Y0 zA5*SPk<%*oUdk!sg}u&E_9#z2OQgNAz^UhUK#q?%<*u|Q%f-m+tKUo!Gd_39AS-jS z3y^*$l7KSwnNvRVRh~@9`@LmxrpPvHY6L%k`SPC`>MnUCRdk`vz8q5$>ZQiV^-RC+ z2V@~mImUsF4`136_qLBG2Gf}_@7N?70Cus-7SgPE0as2`sZaIez$kr+y$F2=N9jus z>w6}wk2v-5c|qCtqx9KSdN?2aa+JOd@abRaxMW!fuV>eRDj8(Kjw<55M*Upx?Z`>N zL9^H*y5x3N;C+4gy@Gy}Wno{H-vvI`yCMtu<=}I_5U1=ZeuAV%3H}+Ffe5#Uv=|AxuajBvxx#R>? zKkSe8zw1zyOuk|_d^rTUNPKkr%?N%?X09B`-#c%qxo1kWWFL_!gddnVE)QCz9`=zJpb=$1+A!KL?z$YJ91e{*cCa{$@{{NSyumqmRnxZ-OrH zNh6>53E+qK#;HRS*rz#WwhWah17*D0QTYh6`^Q!C5ajeTA?=c<`s|~ z!LzW8`zU|gurKNMu#DPA?eEeIcc*^KBywGo#(elD5)kwDP||GKAHjElPdOIK9Y>S- zjj`wbRTHLP4n;bXL8v7iuqn*v{a5YJ{aJxnT)@NjFXcxL`@hJt6qKZ>#<3$J}q|2cK{0hXP@rk2k8G$apAx*fD}ny5a}y1ZYS1oRW`8 zKaBX7lg@ZY(s_R&o#W^ILg7O`A2`P~ix70`BUIzWd5w(ga?Tt4 z;ab$`UQzO8q(2-N?OsV3;ZNQuy6O+@(;tN&;m;h<>4y?0K*dk+FXc~}PEhV|)X7q& z)9CozQ>N2tIiK<9$Fc};JRtody&QfruM=m{=wie7eS^UKuFlT|7?$UFThXOTXHf3j zw68u-v7f4s_k&+W;AOfNMV@|S(q4ogSz#PN@gsC!GYG%=2YygK(hoi-(GT{cUG|Ig z<7fm?0}Jea384s7!T#S3HpBj5B#8fq#t}fq95!>KiE&zNBj>FIQ^hJ{ZPlR z&Wo~6L1&>Kq2~jG@W+4P2jwIE;ByoGU_aVrzeqpcioody<>^N@Am#WR!1dt{;{b{u zq33&p@XjbdG~hE&P(IQRK1b0H^?41bQtS^Puil?0r{MnD{@GCXXFKymwi7_79}Y;V zesqCx zD?(;-j!~wp|BKMOTk<-0hTf4a1MQ}#P5+5MMVL0FXj)Oxv=Y1vTW*lwY}d$3po9B` z&r^Xd2HBEikl%P^>S68|`ZLt4kpYy#n9ao{02v{{AeTNBEAIaYeICaN5qM|2HFCoc z?nSR?T_%#1*K3|1tkfGii!RP#> zpxu1(B7f_PlHv>FPko%fV)SL)e)LJH$avhb+azxT^ng}_{>ukaMCw~I_ER0>SckE& zZwq)Yye`PS3^a;=T|hK~u9lmYrpl4H|6FLkC?7zZkh0272)8*eq$w9b`tf7#4va^{dYTv>Tb1xwcgO z+&@L(ewaGt{x*kD7b8pv%kcs0qJ2R_x*8Amp9WmDJ7656%=HC(;c=ZkAjzs-?kCOz z*9K$FHh@yHFb^L46lKqm-imp(qy9ctQu;iAxl`wz9W*UJJ$DZKoOS3Dm2^e%s0)2j z3Z8obm3bo0`{EL;Pp*IBYT=yo`Cf!C>hmq1r`6vt_Vn2@WtIEt4WTs`$uExJtNqn` zFz3euK4E=?euWSJ`1|EV+HCn%)OPUCzmO-A7pecvFuxc4?1On?4{+)v&U|P8Ro(?$ zv5)>|AOj$5v(A=Jq|TNpwY)5?9Xji4R|q zEbsg(Mj-#M;QtBzd9PIY1NdD*+z*{AVQbrvQ?5b#*QZ?~--l8jYhA6Rax@ z<L*RFT|7Q4I;ac<=0-kfHOUWC&N7RnQ2r}eY zsgo0Z3Nmb;5GG!jcj9>KxE0ep^uKr?*TVO4WgqL?{bia4?fv&?jaswLm}b+8cAZvt z*qM-!sn7aE@M>o1jxDrjX;1je^csuiU9Ij^lPBw3o6h;59OD$TG)^JYVJy>RXm7to zN;wk9`dm{W+ca4*nkv~AW7nUMy!H=PW(~!D$FCXNpq;1N(V;1BiTTc$+D^@i4!tdK zYp34k|LOji>B1U^R63CD=FQrB$7(#WQd5lg^G=;dmpEQ3iP^35R`y6sb*2qw zr|w?UfZq1vnfs+CQ**0s!MlRgRHZjcCB88_y~k4L)5R_INJ-iHn=Ri7>hkvnq^4W- zudbxfCsN6dF>4HIExMyshFR9~prPJc-eLGB$r#u4h*oOaVR)fOs;Dx&CFR~>Zqka6 z>C8>Bx&gD)6q|R(tQ(B27IvY%-R$(m2F=c_*j~w5X&uxhZ|{peEn5cr#Bl*>X`fiS zQn%hE)|m?};`hyk;yuqMFHF28>2Rm`$4;r~ZljQKQ_`EAMrV(%_HN@sDMM!qG+{`m z9z0_i?254rb{bz6q>3!#U_#90P#+^T%`zq0rKW6CiBu9yZ#Av7)&@+snVl}v(`M(R zrawqdbCYPuJY)JYtwm}YliilHZ_M1BeZDc*IQIFn1;}M;0Cn6#JL^9^qPSDwl%(=DF@8w;V7o{P~LQ77quFW!N&UE+t z%vILfpn0S1-hlZ&IADIs-GAKtZhn8OSgxh*89ywL5Cd3Gq(( zUYa2Fr`+9UTc2_OQ=f8DGTxC}E9Uo`QVnhH{#3V=Yq_#S#AK%~S-#JgdINS<^=qk* zV9ry2Gj$}8ddBSZrzKmyZ5U1j=?^dAQt%~k2YvX*D1e<&F%tNORB4`=E98n(MiXe@D(pqjA|C(+6_{GDHhsF&q5iEnQOuM`P z(ahPFh2|!gkl$~~+~n@hOuSzzS${aw`wPKRn`wH_?6i-;Fzk~hrz2tTJA3ab+b+&J z;_-``4gYHYcH4s0_7-Vo>(&DM<2ld!?7y>Z586L97umBiI^wq9UOR5xSGpUw2C~X4 zb6i>9bH8KBy4$)S%XGljly$Pr)-=H&CEb_1zHx8bgh^>a-T4VuPPEjRn|C$top9sw zgT4uSq;lU4Gbg;_ekVBL@8Pkj z!>;T%%taQ5*4FB{XQJaNU4ztIger&M$1OkGirlT4%05-gwaE@>`etU7I_`2Y+aN?T)>q{PXgo7S}JV4KCAr?oTHo z_Mc9iYFa zY<*>U;IeyidiyRr*pk1r@3Mgo=^Tr()+}oORT<(W+dM)l>S1xcT{>{<5=lMw{i+g0!^e3dG#-qMTtK1`5 zlkTXf*<0G&cy!UEXLcR*PdXu$>u$G<*d8x1<>IoStu()&aYp`q=H_SjS!OgBcz@LL zU}r(%zewdz?kh0;)ji@#NZaoy@=R-6(CYcFRJ6p=?DDi(ms&iCw8wNDmve0knhPhm zM|_2IEKBEpcd)U4S78IuA2?1Rkd6I&3J)(I3>5yw+R!&yYRP!qHF=6T-!l0-rTLQ+ zZ;|q)IAM-&vqc&gnEjp(p)rU!@A_I!GFsaD znVM>Qe5wh7p1QUTm%Ahm`(M6oS<*b!^nxuo^{qDR_99*7c3)AR?XFDw+ zIPC*qTyC0RU3&Q(g#Ype?B`q8H-4IS`EETJ z-3fEnz;NTIdoF+f7Y75=&FD7WYkS->{VLnz(@i_f`O}}6DCJv6OvX*k)BDhAkv`?e#!=(vgvmUg`!C6OgmIP)E>QB3TiTcwP zUkMnAE2S?#xx#0DzS56%8+i21kMx&!{8t=r}AwRP9~PY*bzS>}wh zb@ZTi!5UxwJ+!JK`; zd5BVAUP;bA*SwV&)V$kr_RTZx!{v26)Xn<}9?o}Ik7doDXE|15)24;~*g$h-=;ueU zTIBl_yENR*--7&YEaLAa*!IVVAb%@8A2;0n&JCqvJK%(mjTi8clF*g!7!IHhA&Uy@ zpl|5YF|q?Z`zI+f?cEH!^T&j6%Hrp~(wA)(%JTPb2n$`;zZH77LG0-MLeb8@`9SIA z1%+pqk!8Rf;`4pVSF-~m-@Tj!jg{lGqtdSWK852G@H?82V+Tw4BWWo20cV>wQcT0j z-<#zF9{(Bsh6+%^y8;!G-gfJBu^8hcpZ)pHfbGaS0GwkaZS+{#C$fb9-b3+8rAPKz zrB7Dgm66s0+cRLBVz@5*#6<^hH$e{6W zIS5^-fF9!P%k{yzl(2^A+pRv6EU=Br^~AXEo{=e9YfSPY$nl+;+HW^-A#u1{#GH60 zO_j+bZEVt1(Owe}<<3z2d~Z(?)xUZ1K;I-(zI$SObfw(^=%DRP)E3~JpW~o)z)mDy zII~pj0-tnFn2oHsiwh@Vy($ zFm$g0Ym_d()M_XQEumw*%rf@RPtN&i?%Su-EVODmwW7u?ze|z{xL$JY|0OeXsH^ zN5$2(vfyteVli^oRd;yB*s?{U4>)c9sog8OETKKZ`6Z3-x0o|1L*0ZRT`0yKkha1UBJJ!wn)L%{9XaP@8N2Z z^4x1Y$DqC;R6_gM;qDsrvx9EGd9kv#Nq-fUYl!bKRe9Q@eXbYkN!A^g~3v=r8Aka;c!d_2&XbzpA&7a;Qh~_s*TiLUNR? znN%&hK;Mr3r=CTw#u}!Ldf=*@AN<$;QV`;Uw+(m?aE^yM>VelIzq5ZA)?G3DQS&|Z zw}U@P<5g_Yj|1SdUlQg_#UFeU`mpYyUMUB=3dFZ_L-!7@0X2t(*$QButxpL(uW+1d z-nBpvpI442UwmFU0bS(t`2|3vPWB~aU&`|NqzjPyN5#QbiW67PM-6nVcF6b;K;*XLgq%b7@&*yMfT@`p+wVQQAb zUkN?zr#(DVe7#`0_}BL7O1}I4)1mc8AJ}&Q@nm1>Jb_sKrYK91BThPXQ-<@vxuoBR z&}Lue($~N8ibnuRbAAxYg)fKsHGs_D4Pl&gHD8{Q7l~c4$9%aTblTbt$b3nh_6h0C zDafjMl8`ZA{$U^LQ)Q{IIM1&1V_&e9d`aKf?&XE$hMVjjAvA{3`JLn9SShB+H?CW; zs_yEwD|qO*V%5ev`=-tK8nJ1uy>ZoshAp67)3mM;D+qBahW&B#Lw|41{KtC^^|0^^ zrUrcauFhFKa5cY2=1cy)I`bvxgL_9nEbN3RzZlL{@)Psr0r1s)NgnaYe0iv1Vm9rm z`I7q7ycU@+)p@SR7$~piOA$EpJ8>5BnJ+mXl%tN(`BK2%Dux{MrRSFy^JORUSq5uM2o&zT6F*`I3CvKLA|Km()+3`I2q=aSD5rawnM&!#MM0 z0B!1LVZLO1CJ#>$>lYU*c0-*1e5D@yd|Jbm3S|y7F_YwBK=h@9fuBeUAIG)`A$F<&M?MwzdV7mF!qkBv)`?^r%n@jY-|wU`BY<}0oT zb)Qh4`Gu8zO2B8EdxG)FWqwP8AE42nXONG$wr>QD_`($-d59~;oK<~cKlw8-p10wz zsanx70TSELM}!RZ37;%hc#NfzGr;HmOMq_rbpW#5e_R_Z9RDl8cQ2_H7eS^Me9Ec0 zllyJO*(qNe-+suQf*kiN*ClcGIa9V+6wy}hXJZzLT#MW{yq~rFEwuk#;4Akt@Y$yZ zIQOf{_XFpC9SHO5fvfwK`iXP>vrW6Z5fAEFZTBdpuo(Fa`<1bT`EdR&5Gz`JA}6gk z{o+0*e{}f>`WVNK1p&pzvJWSVVqvv1e%cv=-Z39d7SDL9mERB-zQ+kG;u`S7#z<2z?2A zdATt5(DTnJ=;3oyFVO zMb(c^*rKoey>liwU2X^dN%};QZB@o~_Z2ckgku=UHt>1=PhI>Qj$+{I-!qZK&0PiW zrA>R`F97&6Ob~E389o0u$qYsG{6BjB&-Z`P^Z)4iKi`){&;LVzzrox%`h1AA==p#2 z{6BjBA3gtPE{LB0N6-JG=l>VaOQYxi(ewZ4`G55MpXbui^Z(G_A4Jdpqv!w8@BgFU z|3|<7kAD9j{r*4t{eLj}{eSfP|LFJs(eMAG-~a!Aeg7Xl|Ht>e(eMBH#vUd7T^4_r zN5B8S`2A1x{6BjBA3gt%e*e$!-=g3DhyK5U==cB8@BgFU|3|<7kAD9j{r*4t{lD_- b>1&th_y5uF|JCpNqu>8WzyJTH-~ayyw;;TH literal 32768 zcmeHudt6l4wf~u62F?s;6k$f5BZCYu2*X1GK}C+k!|{Qc7*lMcRU*EsB0)&3#z0jJ zu~89|{79+|7_H{fNSfXh6C2Dm?Iro;LDDpk#zakXdug@kO-ze<&HaAQ%rR4%^wZz{ z{QBQ)KKrb_9(%2|*WP=bb7pfQZWpEqHG&|7pbEnLc`~kAR6XigRE;2npVF%C@+*J#EHp@Pd6YSegj zf>x6duhnYO^>&@}upC;b)jNkmbk5f0q0SK9Euo?&J6xNrS+XR1%|>Cv%4}NC7Roo= zy0WHbWsQ)%ws!T(+O;c$>`m3{R%F-K3eLt&w>nqVtrn`Y%QshVs9P_r+?2hkzIsEp zxPIemjeYF~p>ch6!`h9t*}AMOZOpP;8--2F7P+!+pEuNYYD5gzWauJ=P~9CH>(@DT z|koKCV?G^cghoyY)h*X<)my z*Qi-5l!$umsc?cnpQJBnV4FbwWe+vMGewrAve*dYup}=rn{1A8dXYm4x*TE9(hwTD!WIuzLNnTL~Lit@81g)l?IQ zA9;k|XM~mMNd-T6{US87}rGT}op7}&UZy-(7HOYp4e}uH&e-8z;xT?X6#`|d zQVR93?OVsb^}S+aV=en*87lUVJQqVXif+{}uJ1m-|Flnerb61F{XV~a;`KGI#wDRz zVUqx;4+X_%U)?uKyx>PG=+yfm^s+zoar}YyX$NFwAKu~DOZ$}P4pi-T2iU)d^3bb` z@y$EsDa&ya(q9qs9@rv&D>fSYudH8IJ=#9)KtzexZbIm#KFWsy&q1ZWX5frJaTcxz z>LsK+WjQ`|z68kAKJg!-vVR%;9c`a>z=nO_466DlZvuS{D(%Mrr+wlq3s76QZv6gI zmSc@TWuY(2A@5Ea?mc%po^A-juV#sX_7$4{lJG!=eCpRFVk`P^Jgn!z8^jo%2s6kO zqkfKypaPY*$sWJ_=@pY@pu$Uly!S<{pRCNc1(5U!fTRy>@X_A^B>e+G(u-?-^b$Zu z!VO6J-G2H#fIx*ShkW*3Xx}!$Bp1Rz)n5;2BLV(?^dsMzqu-1NYX|t-;xoj}2Q%e+ zQ9Dt0>ao57&!S#I)xn0kmMBj?x+C zLVJNDU5o*K8vP3)qsC_y+QeCGEn8*6Pq9u&3)G)V z9P#0L{<`=i&#K+Xc-MY^g8~~jc@$XRkPJP({7ikx_zTp_F?Kzx<9S6Zyf`zE?!z}De#bd}@UD*^{mW>C8ykgdUBLk}{o}{*y`=qb zp0|ZUbpG`J?Rk4uLbGr)3S%J%TWz^HE4FR3k~Aw&E|h@K4X>iMa(iw%np> z+uP5Kk#pXcm3&HH`!FW^={!-|;S$Zzkcn+E1~|1*ZU}rr#uKD6_Su<$R60W=7|OIE zF2T^8h|G}a)fn7DXq3+IurR$@@Mdb>)y!=53U05~eN}G|GBg<)s}T3N^6WZ^+6AH6 zj`fs?e6S>2vBw>z!#Z(1h`jQ8hTMHRO+F6$Y!9Xm_fozCwKgI`6xzyV;+?<3rq+jU(9r%8XNi^YJ1QCr!D@fo|Un3y( z5oiBsf4?=O^cnngRo{c7^zq(CecuP9KIL8qeat|#`z0E*%Y00^ZHk-^r(M$NZ)>1k z=uznYaZyh+)+P1u)sK39py)9wIQ5LS$9zn=7DZ00+`FWDg+yj3fc2hdj&$A@BF*g^42Dtg!+7<&YUn z8SX7Q94i*0&AuE{6zbQFkL#I!-4Dn@oN|m0<0(88urA=Y%BO7L_$C2H8SQle(w?&JphsP|opSY#N1T2!voa2wN9j}MFF@a;qx5l3=-;D$eZ;Ac?*r7=IZB@ruK;~djnc>G0P6dx zUmtPmQ~l^0rBAUJpzq`;eer&MPxN?!u_^sjh)v@H17 z^T;pUGRT4rH3s)J>gRfoK~CzrXcE^vmM+(!67TQF`wIF6?uTyh&{cUq;CiPlD`~=R zm&kQZnZ@W&KHIF^eP@SF3Qo}u?1$+8Hi?}trOAKeU(Z+mQBHpGD?Z!It&YGy`O+g}MB?m!9DP(i-w6uACyjjKYk)t0bc{MQfqj}n>Sd@zIbXu74V8}|F~zgx zR>;3I&vad$bpPWCTD~^(=Ib+N%ll?vtdQrs4f~RA^UJ7x)c!pM!@aTJGKyT+q%j|! zMFL{pzVc_pCV=k%pK>gedkak+@)l#y`zzNn>C>P{XABjpNe687^LhVO`*VLTLM*Q1 ze*0hNM+*DH9|b}#o%q-Iq441c-2WRt)>0mRe4h|}-nZ5M^y54Kq#t~~r5_4}{Yk{X z#t(%LI|lHt#{+hj(~j5O+Eo%a{gIey+R6h7oL zfpc852tlVlLN!jD*TA?g<-EZku0@^hWhGw*`onS2?#+Y&{$z}ztNzeF{ZaS<{>%ZL zekgGQRQv@0>-;Iv3CjJAI$26|8Xcc|N_09c=W{IjvD^qa0g!%?UJ5^%*NL-ebYcGc zeyG6wuFlVO=$GesccM#$E>yX1)4uvV#eS+j-Vc5ifS2f+6?yuRNP7W(B>8aw#ShyX+U}$EyK2{h&PkumJ}8!F{0iV?31SCg^+P8~mVrpdWlb zq95!>KiE&zNBp+|IQ^hJ{ZPlR&Wo~6L1&>KzUPBb;hk^rgYtoX@VSY8upjNRU!WiF z1>p39^7JDakaBzu;QDa-aR9{+-}8N_aAA}m8t|DXC?DttpQGr9`n(2IDI*;jvhDs1 zIR^LFn9SkczwF2m*&YI&e%K+U`cVk}ZE5)Z#Ls6ue9t$b!XM$s8t`v=&ey*O{Xz25 z2xHj|9@}bp@WBUTxrg`;Od4f7AhR*WAX9cQd$`v~21@4+-*04>K->1D@$dLkgsD^V z^QII{E`*(>q4L>Qjr<{WaKG?*%2OXIo1;SIcVEtbp8JLVjPz+_0HrWyb8+!NMu-TN zi=PM+yNA)|7*2@5yV?uctl{47mo@TR&f(q<+VO}2NPZ9CBG9Rb{VC5vsMt82D4X$n zgaEi1vh&~P^Oc`QLFoPbV3ExEISzi)xv%(}o|P0|7=P;H{1u`vDl*NX9q5*WZY^#cu1MxxVB#-jv z(IzCW>;!+C^Fo?(0i+*4hF_#{?jYu+8fDUN z-?Vl^)*b6t3B}Nz=ku$YZInBKv&}KGpYJ_k)cp~37VZmx&w@OyOY%W2 z_g!GV&IcZ-Uwsas-N3xewWaFk{weVH!_+DFw>gBm5MiQUjt^L0*%vgVtMOp}slZjc z=MCY?Twk~6AJ^3$NmlK0KXD$oHW+KR0hIC-<{`&6McH$tn{%c`-xTe;Fqo;_{g;ao-Km@{TV;1o91cZ<2q9{=8SJ{PTFPAU;T)SL@}%`yBER z+P}W+5czv3<+0W^Tn~NtZJBd|b)}&kn!@px-Lg1&xOc~A4v{qKv;QVWY(u5}m|eT& zLhwKL|5msfeOiI1-0M*C2JZpY-Vk9q^srJVCwenv*d7$pug^PiL6C08G>`l*evfPB z?{Os`>08|;nmXwW3X@)jgjU5s|1*`dG-*%+kHSz?P&PbeHHgX3Ym$ z-B4pr(q}EYw1aX;nwX?X6B6x)5>0~ko*zgtXFN%N)nv<7O;U)aLbit3^n+5K?N7^- zM#8@1){Lvu&eLsg(-by`d?&oRQ?sm1Z}sfz)LX@$c85&2hS-y|nuXe5=yi8%-a2hg zx~5zASzN!aQads3Jg#$$SM=7~gciNEBO=7=wuY>eaN^QyOZqbG)qd?Ca&)Ec5R>GH z%JzihdGyvbw=CB+i6PtTLaZfW^>m}^||rTQvUZkPTpQ*N97sFZ60_m$;RV}<@>smK-oKxmw$ zv@3L$rPLF;#!}iAy01I@z?jCvTB&h+=rgCGEA+kokZER9qgF39hUw1lk$w`EAr@hcmZ84>}!n#apNnw3bTDhrFm+0;fyDXb8I>hmob!M^HvQ9MCm~zD*w&3zJ zDYs0zph+I?7vJeLUvwJ;sVGD0H>90TsB{|^NC`TtxzSQ;Sa{G}I{xCK5c9=;!;1n1 zFPaxvF5XCjG18{XaTtp%)n?;z>j9(jZd01^$rfCGYyP&`axrvP+VjTGo9)$)geRw* z@PyAzIpGMu&6H~n?=aKy1A8=rF2 zGuCA(?H{|=W53`S+h$#NWb7{_L)L&>FV4*xcx3GR&VjZFePV0&fJ2`-uqGn2GaWR%VBAW}x^c#Pode^Z)|+z2 z{m!#C9E-plsc{ZO<~i!5sz~DvmeR=ETgu}j50%HeB44y0+C8%}RBALvUTkp=L`7x} zJQO;ka(h(Kd3$?B6e8Xg)oQ8sM4d3DIilXS9yk*9g_M?3ElSZB&35!K&y$LF-n89Z z?;LQMAH?D_pEl+8oBu0wpvyAGIpDG6!#B$k=YZX^Bk$@hTC?Rb>pIKAH|&Qh&MXSU z!m(U)4!FnLBEl~@2W|{2JL4EX8(PQLTB^qz@3$Tpe+mO0|9cEL+9;*%b`Fe5tM)|a zLTU733^=;UvMxIEC+2l4#zz}pEjC17O`n~Lu*JlmpHXR!aXANKjNeN+8nZtxBEb;T z1BYYI*$3MGwQ=`a*16)IHm^IZv%c#bu*GX5#xH1@Q5m1U^n@$kgS{4i z2SO14V=TA$Us+0z#9xvO-#u~MAT@dtqL!X;CrmRhC_i(f0qZ=Wy0h%6BLT5qlW^j6 z%}H~@+orSx;}_NgR(pEFf$}rkBTFi+^M$fA71mAI%$q*6K4e+fWqkn)%{o+Ua8DSU z&^SMPr)H~TLIKQASPJtKc4Dhfc-*?qG2u-tvO_%1*?Y3`Q_axbx zrJ1wB3v9=hH*`K?d(FDVWBbHZ;7&@A3UqZ7*M6Z}|AjfJ)KZxg`8}yLWL1)JKiWNU z{WBVq&b2r%ObpGu@ZE-^dnRT%FSsV&oY=ml;i!4yol8$njC=(0$0izIwjP@J-z}!h zXJSO?)EtW0G@0to+cCD!($(}A1SfnPq z(POEy-_eqC(*Cfe%4PqxwW-VgXKPbiidl+kI5EkbGTnJG#eSD1yo`w@C_bff* zO6itLT~TQ%1D3k3lrK%0p41dmraN`Mlxb?3Q#}w{=dyTHH(9&7QXjHx@ua>`zQmC_ z|j_FYSrC#}U&>rrsp-hH56oUq z`VXvKj`ZUxZ<^Czw`@r_{>8d?lC{NDFsV!`SR^$$CatqnnJ2YdswNpv;&R>~r6wQB z2#f1Hcr+s`U5ff~N`Awc4CBpCZ^oT-?1K^Q(cU-^9%%vLWlNna<0I>_uFP22$G=Tv zx-(bXpR;)9Og2bOU733;_joe@&9cRjc^3AaA*KSSaS|>!&WT={TbJp5#JSn&ZF4>> zm1cN7&R;vdZs(t+)G1a+mU-!gBU#g>sGl5ttKn5g)(WTBp0zu%Yi!e=hF7zma(Z1^ zgHCT(me*3}$xbz8I#mVDyL`@faP9C;sE>dg7Emb!c+BAve)>pOq1{ki*!oBsXVW_we< z@tMl5{P(b=3v}gMTm>1Xg06yvrh>MD?@I+zld+%^ORL~Nq|%*HRRzN-eXgk%d!H-B zI(3GnDrxEpbJfia7adb~FZJ4|K9$&&hDcwWI=Iy9n(9TQ3)3o(wG}Qf*MKA{O1WIhLwv3+v2PZy)_$!zbox9py`>wf81=WqTVwshIYMrQWXTCIoqUo~6z) z{T5TEdHQzivFQsRl`?N`G8<}}rW^aMUDN-HtsK=cV?s;Hn={I>m1nGXdXr`xh+ev9 zX44D=*)`*WrK+pgu+-aDoFk>iTRp{9mMVAgUFNDkr0h2e|Mg5|Me)({rCr6Zm~uSD zAEyjDX2w~TnrF_kES+gwV~U-*uf=(3<}((LYvy~!u}LMmggd(8hf6Xn9#6?aJhzo> z*&vxqx=d0@UZ3sK&q|D!EjD?43ogYLn@g^-R(8ohv{riLpIIv%@;my==2=4i*;&Rk z{pDE;T5xI9Uv|wpsK4Ab>x}-gXV%3HpF3QU5OWoQk+(djCc>3>w|w=q%lsrB4}aT| zQtbL1wKUmuE~#{`y|^o*z4W%?S3IR1DLIbPUi2*egZ^@v(S%DL43sTtyyPm|0Rv@^ z!9dv?`pb^85r~y1>MxfYD{$G+;&hhZ2Xp1mL9G0P@>87^hHh!jjTJejbB>BC(>Zg+ zU8Zvt#-q5r+G0Ihan*Wu`|Nnz&RMauU2!?m3$xd@n9j{^gNoU|FrDj~{eHgH?u$1coNK&jIyWy8M&}hlY~FH+&AZ#$>zQ`~V)Nd1 zo-)t-!gOxF(T>Z!7ZQ4{+Bn~TpBf+Yy@3e$J*9ira4+8l`EJ}X)7Ks>^Fh8Vm7#rM zYq1yuIPoLHb=zl4UDv(m`)z~RtKGSxt;F|E)#n!q_d^xbmHPPnJ>`>KZx-YQ_F19Fxuu`h4BM~3HpfUA`t|TLPO%mAsF0ao zy?^?DGoaice4xMdy902?&z*{|>NxsA<9Q=t4=P{|arR{_IF|>phJXsYTt>MKZC0)) z#=T=kqG(xclrKPzzp1JH9F&`l{|Ry7H<+w^nLN_QMdgb*BYVaE)B6>FUGGm3)&F_P zfxawM{`SQ7=t{eFe%p!QM?g2{rv@||<~|V5omngvf=@a`m3d-Y#Yv<8zH+`~iTf*m zRjLZ_gdSB+r4gF3))_0d35VbZ=Y?b7dEf|e#(>xpWy7o0eItO*_4Wm1$rA(Qh_f(W z)H95@5|>cv<9XnWJt67T??HdYh4JCWvdX%VQ*8I+-JLXfT(bv!De-yb99mj;Z5dR_yKmHMJ;@NEYQ^9%i{zf>glU_B4P z#;@TIZG4V4Wx9c@@hz+v?%lgL?(ck%{qd$f_EAd-=(MMdo4(;43zx31;MK^KMc@8$RuwCp3->3MaLh@M{FB@PtWMfd(c#+4V`ErVw;Md>h zBPqH328@H1@xcGj<$P0q@4@)kP0jDRd0*xCR_NuLJbfTu=2%mQB>7;XNtweZuSs$Y z#z+6S*U0aI{I^yYD7c#6%Ye83biYV>?ltCS>Kj2N?1m2Efq2;ldd`IXBKN8T^%d~g z=5Lr!&y7{&AD$B{@0lMfQ=WP_CazWLVV^62s=th@>M!*yhn+sZztlWW|99@*xL=W@?9kaZu?O@m=r0tuixJpkiVfhZ z+#vXG{wMxF5PYZ>MCdvVoa>)DM!;W#{7!x$=A{q*sQI4yV<4ZU$y0364>#tQ{T_j= ziXVJDTkHmp`dLmM&lY#h@Y!R$)EuTT{TJrhkxJk53dgDD-6PP$=am}p)aR9E=pvsu zfJmL}OUS;I@(5>1b<4%l$<6tZf1CmCaq%)3O$6P-uj;2!f zf{)bgRdGV*MzuUZoj};1>w!4eYhY=c@B21@`D8O<%KWhxG*D0rR-3lG_m9-6fn6X;}`MUfhg+Bs4?5BNxrucUDbn%a^(-k{E zeA2i6=mY!KAwKL&ook@yrGg|yjyUPmO&QJu=ko0@^2BW_{wH7V16e5|=)AvCo@Z8f z0jmB|-w^y$^W|ndmli@d^QBBV@M8d(FL^JcJ<{n+9c0x!Nl4wQztaV~GG5xGzQPQf zqK|#)6!YbLf4h$amaW@tIf8GlN9T9OgtjTB$TzHAwxZ_N)ysJ3xNOCS8e8o~e2v(+ z+SagQeO*0hw>7S9Fe-8CL%;F!egALH{Ksbs>S5s-%npi4W8{10#)*FA;##2?8H|j*#oHNzOY}}Uql9P#CYD%!2iSIT@UgTK@)Gl zxFJJ*5eN1wJjRkagN6IA6EgJ64aoh+wZX#izYP4!+r$pgxnJvc?-RKexo>zsYunSNtmhu^xnId=pCRDfuPT2KIQOf{ z9|5lJSL!FOtbep=*RjxNd!;Q$DY=EnXV|ZdCCvNsv5+m6?R1Hpw8e-Y>EBfDqCUj2 z^Uru!f{i7YCX0n{>{rH5e_Nq9e0Z{G1C7rB*T2W{E971pgp3Pz_&pNapH}$3N3wy& z`C?(*`oQBHG0&4;2ivNB(s|F~nLA~}@Zmc?evgvp%?E~e67(hPGV}Lul_4UWgP;gL&;OP8FZ>TjAM~mJ&qNt+?h(+`O4?UN`aV+1 zwcz=G@cch`{vSO551#)A&;Ntx|H1SB;Q4>>{6Bd9A3Xp6|9$?i;rT!Q1K{z%kNAV< z|1#glg6IFi^MC&S7d-zDp8xarvf%l@?|%iD8%LiHk;XIV;Q4>>{6Bd9A3XmLp8w;l z$X|lz|H1SB;Q4>>{6Bd9A3Xo(xpeUS-}nCq!SjFL{{X1Z2|gG+{||ouAN>A5`2BzI z`~Tqg|H1G7gWvxLzyA+@{~!GRKluIszvlb@;Q4>>`~U0jpTY0{m2aSf-~ao*pA7t7 zJox>8@cdu-e<**l2%i53zyI$De*Yi*{y+HrfAIVN;P?N*@Bf3}|6hNNVk7wdfAIT% S_51$d_y57~|Nq(V|NjSj_1$Oy diff --git a/Examples/sram/logic/simulation/work/_info b/Examples/sram/logic/simulation/work/_info index bb1b16f..4f0ce76 100644 --- a/Examples/sram/logic/simulation/work/_info +++ b/Examples/sram/logic/simulation/work/_info @@ -11,24 +11,27 @@ L0 5 OE;L;6.0d;29 r1 31 +o+libext+.v vsram_bus -IhWan4YkPClmK5z;GkOZUS2 -V7bnNHP1kz?3UaZfjPj4WE1 -w1273543976 -F../build/project.v -L0 37 +I4L5C3LJ0 +V7R>S0^PdJz?6eY;E[l1^E2 +w1273543761 +F../sram_bus.v +L0 2 OE;L;6.0d;29 r1 31 +o+libext+.v vsram_bus_TB IeNSImUgW[X4l`QoUVUKI`3 V%elGAR*9rl+f$O9h|SGcC{ zzFXv3_LLd6E&MS|k8^8MT^_=y$uFc+Q1D*CS-d;y>opxV9Ta5DzCE8y=W4{c%a=X> z0)C>SNMc?s@P!);lu%>DV{CPLOTz5zNXS0D%%a$?zd%%frvvaIoB9w@4Zan9jc>Vj zA3gG^)ct@FbH-1jfoodQ?!bpRFRa|4@^UkKyq=)y+zs%7RpcDfL&Rz-I@|g|X;`Tg z0KT_p7M$~VsndTHFwEeD2s`3Fg2Z-bex^E~xqHb`I001sjmIAg_gjne+`c4kVNka! zuxee1KsrZ%2u{MSoPMze0P#(qd3?SkZedtGim+;3hF(Z#2u{MSn+%Z#0P#(p4LrUi zZec}LVcxk=mYS9>jg)iv9vu1G)>x`wm~#w}4elp&2OqLY(Q^eRI{yWJ9lTrX=t}ld z-S>h@Hz7rmO51t4Jh_or^#GTo2&HPfc3I_X_Dk?NP!xcqQ+NQj(gAUo0RR91?>qwc tN}7}b0000vI|6h~MHd7BuY;8p0|XdZF!Erj$(LFL00WBm2D7sPe**EI(yssj delta 452 zcmV;#0XzP+2(<`*0C36<-o{@ARC9S%1#RVJd+@P980{^M_{UgqS2o}x$oXH{5nX0J z_Yv#oRS+hhtMHA8Z-=lY*Eh_WiT)QMKe~b8L<~yf)gaEwE5XBjc0I%+#x-;RC9Ij< zU8`S&gBSYc@2QH-BOH>JN0Dp`leQ}ZXJSRCP+WbE3FMD|-+0H$|LVc(p0KqSkzm6S zlnzs8ZjB{!c`uA5EDil#m&ns1L(iz4oWo#4*9M~({;LNe2<;;k)Y;}B?~W%|_dR48 zfN5e7MRR?aYtAp-UZ&igz+w5U$(h;2e1xHAwnzWBz*lMbbP2e&DRNm`kb-S(gjW@# zYklD9?=d`oPNAe9;eQXVqNCDTTabcf=OkAZqh(`~$?q{dPNvpB;eQXVqt}vITabcy zkIy;Au63tOfNJZF8zaV7JgKHhT|(XK@AC~BuBrIVw=z!Oh~0quogilQ441SOZwFar zHOazwNaQ>QN8f}?_7Z<-M>T)MTnVQJRxITgFY049nY5w+cxM6a`~d&}0N3jRhva(5 u0RR91`GNxUK1DAB0Iy_~+XDoISqSnFsVSFQ0ssR__lC2!0e=Dm{1G$L=Gd?R diff --git a/Examples/sram/logic/simulation/work/sram_bus/_primary.dat b/Examples/sram/logic/simulation/work/sram_bus/_primary.dat index 6d153ca564d8a2606d6db61333b33e68197bfa1f..dfb242b13fb945df328c92e89513a9d031870355 100644 GIT binary patch literal 1804 zcmZQ5IK^ivv*#BJkEp#`is$c}%Nm^Sw(!jB{TR`^wd(EZE7^ZPcwRrk!g$PFdgWEe zmQBE=}_|Gtcwps^r7**DdyKuz#;2taH5k^%9Bdw8ukGK7wVEFcGJ6`Gvkw^R9y)k;-b2UiTT?oM0@Xbx-{IKGT$um+tI@3| zrmUxP=e)aCUCgTUfA4pLP!CUo;0lIqmlzADGcqtRytiTc?lo%)h-qofT;l5_&cd+1 zd8#N2i$swk>$$>LpV)OL^=f%~^VQ0ye%xU+P>o=F(QLfqCcC1{|Jl3pcT#6FH zs@EfUr#88=e&=Qf8g)YCg67-kt97glv)6t1XFam!p9jaaMYlpY=gsm`;o4Wt^^9Bd zzx=2Ak7*B84)Xvt)V6Nq22uHus61TZMcR3q-jq{gl zf8$>AG(Pk{{kw#P;ZT1PFW)=ORZjew+vX=EU8!Cz7Y;Iwb8TcaNY{g{s#OBz#}_wA z33My}4C6gF@45|0{7;qa6G8Uh&i|BE*com;4Z0~bv$39k5%(fi;Yy4B70?;xW=gx=NCSF%?4B*{2*<1}IZsR|Y4wO2}qhg6p#G)@3ZK^E9Vzp zxWmNI8kN3HEvNRT@&eY(;+3y_5A5zQk>Y#8c150ZDo?uT8GZgKxh5w$LKi;wJ2OLY z3bTnQTd3r7yE7F6Qx2Ona#>jxn_R!we9VBKhLw?U2`yW&px5LxVPMs zU#eX`yj^%rjNS^NvgBFUMf~RoTiO}OMN+L=>YK}7sbwdgNJvl)^Ka)X5 z$f!7F&k2qOSvzCKbdU_^8IX)*mzC=tofUm z&|$fYwm+&Ho+;}6Q+q2S`0~_ckmiL;I6Z(AgTrJ$wbMRlX1-8T`d8NWM>O!1*Ho~) zCT9>>ez~9DxjA<%zgFkhvopN-CvoMza$8T7{{={zTz zxtJO1VvvWixfm=DbTL>S?qVUBi&g0FVpgb&fw7F$#b9}$i^1}67mLDNtV(|ug9<@V zs$j$6Vz4~W#b9~3izR?A-uCYDJ-`SSBS zds$;O?gh?W!{U=Pd!IUYaLwH>JKm_(yq-15zYlom=(&;mkAoJ=I)1XVve=sXgw~lL0w^&-y^w P*{6+j*cet_Vp#?N)V#2M literal 41880 zcmeI5c_376|He&rvdfaavQ$deP$Z<1r0j*1HAQxnLWH77MM4RM>}y0(+0sIYDAFP+ zNlHq#-^_iU^UTa~o^!m<+xy?|pXa%!^SQ6@^_@9$&UNmYGicl&vcIa|O|MjZ$dsPY zaI?_l*Rky15nm0z&KuMrT)eq`SL+6$g2oQFG7G+WWi}oLoOhqG8;$p`n2hDM2ur`< z_wDm|Z}K_U_VWRsen;wDk2{w4Z4nnt|CK&c0O(OvLHUs zL;onNqr}zm*XvhHugMI%`s=n<4Ri0M>2#-CQTh2_FF$SxT69z8jtHZgtY%V>gx=yM z>$}ymBwmIJykA$qkTTD?O+KVTJ=#(I@U81S)zdKxNNMlF9>oFQ*D4fQpkMP?1^+RMxiu6~}CnN)x`9$f63MVowWHBn5$r z_8Xw$kVsN_jPB({^t_FZ6S4RA8TD4Z9cF*2smPq2wRu;_9-2PYeTg%xQ+CL*m~XY* z`rct1=aW9M&ui{2d-40Q$@xR-JB)OlTlXrg;GB9_8hPo+snJ%N^VWQ8i|Vd<)7d!= zi;C6w_%J41I8wdfN_J(RUG~#Y>ZbNADG@v4#C^rjS}QWHXWivL!tG}vnk;5J`BQ#D zUH;=!?}e6d*IN(7+csBySTPcnktoNU8y_~cJmhF~QQ0zWZjt+qR$+E~>DoC2_|Lp` z?KaJ<{PlI?Q1HEFm(HwZSowNq(B&zGYW5c&&u0Yl_&=ygbsr2~SX#ch`{6g*YlmF7 zF7b59UexnuyRBBjj}rG)B5OP>V`-Lh{Bn5UAEZT_+HTK&&PBoHgs|3b;qUC@zS-Y3 zLij(GNiAu&r?pB;bI6(S9W>YF zi0|j^9+MWnnw)Z3{j#G?&ZmhTJhY1*6U@Er7OF7&)L(w*wbrzTWni4`%xc}kVZr?p zd`h@Um`hPtBX6tj;{Nk45?Ci@Y zZmx+JYvORRs2t%ucPo8GN_5Is&32s^_G~SNkGBiDC#`F`ZoQ%2NdMW(&(iA`FUen} z#UQV~+EuA#pwL)dK3L>(_KIkKlT^*0hwpC?3o)-MpR^bXF>P_HFqWxT9nfB3Hl`%m zegCL}qQ|DU!=I#wLUy)Y5Yi!T`%n?(IHt7hdCkFjq-~!kRu6^j9=!tZYFzb!H$eR;oN#!yB0~8;?{xYucn-J8-MZg z7|XUZM729?U8k9qe_c%VhNy=@nz&4-bAkGuRW;t5?`kz>e9zCS59q27b?fdtsNix< zG(CI9vv4ElRimL#=QNqNOg>H+Ft{WnEf@dRH!_6I>@@R>tnUHRqWcJ&Lv{7mtWKI9 zA#nRLf5|92ld_FV?(x$B{dcQ&-@NLtuKHRjEpYz1gTFYXt+uanPBB(AvDI05!d8dN z_^^Zt%b~k`i~JZ?xfGc0<+5iK&#P2?6z<}~c8~L`vBXYQyD|;KYkPJr^+k2klL zt&7yuqd-8uX$6mvztBL%f=f2 zC8=p%S68V>4>&wyl`WBZB*&d|;?;}rwH7XmeH!Q#_KUx7J?PdTZ=BEjpth$*Bgux{ z&ihqkgNE+)Ht#ki9lzlPZT`zjgir5Y&>fOD@I!d_!X*qN3|>c#-lkXxjCs>$I?WU$ zgzTDFp~k-|G4Gt4SIb3(lD+aqiQ8jE1MiBJ3mM;f_UcmcA;CZ|-=E4_`pTs(Ru&Cj zzBBw2-*1GA=2&Z(&?F6gHS{}|p)%rWq}#bC#zLrV*Ti!3nWD9wB?V?_7 zrJ`Pvp0<~~ZoOC&x-?C5Ac(bS=~=dy#j3{_{^yT0&XwdJJs$m}JLiqnM9*|?S=kjD zwyrBfuP*sC?$vFPOrv%8?t+=Pp9|F*rqtIM{18Y^ zF}HL2)}*p}JEwY9iM;o6&o_EG_xL>oQhlO?e>vY9_)r;IIr7fsQ`+E4x|PzFZKV<6 zf-K)=2#a53YuP@Gx7~Q^zH95yubuX`%3T}WT{k}#bp5=vm)1shG{0c#xUtgl)w!ID zSmc&gCul@VB?k4&_=)h$s|jTJ!O7~kYwrhBeevEl(}xp|7knc=1no0sQ|76gXTRl8 zF1t+HI`^lNYV`AYY7ZZc+;&CETK)ZvC+9U~Qh#l99ElBD*1Yd-kHk{1V~ckTl)HS| zowEDulW#u@S=VlHIC3{RhjZrfV$XI>Nyq34BXdO!9{!A~Z@Yaflh2;r>2;sGe$k5u zdb_sorm?8AS=p%lWipdKY`xE2(-Vbq0u2!jg)#?@zh`=Q!+JD{HUHZEA4jt#=}-Dv zNeUjkcxPDb_L`xD>j~j0?OT4lQs9W2c-`faXtt!#^Yi!4W(LK;(J-ynfph#bD<8bC z*IctDJjVQV4tr}YlMj0eUxw9!#JmS=9M2Y&()Qg-KXY~_rbYZcZ_%-&M$===Rgy!_@@Lv*@K=mpys z`{w0vGxCi$uN0`XxmIi~bo^ICn7k3~sO^hA7iup!@r~D)yK87(D>gavF(4yM-ZD0+ zzrrn1V;;xZOZ8=^vdtE?nFMnVG}COiOmJ{-{rGzY+Ze-$R>!4l`6ZRD?`S@##Hsa8 z_yjIL8k2aSpP8xSj$B=JrMB+Ln_*Iy?ruI?Bf7?Op9rIovq0B|8+ptxc5HE9HFWmZ zQ$6PmK4*9X_Re(B=9Ta0FpDniTjsQF0pEE?=|J@}tO1QY12-mQno8+UD+SE6ow;}` zS0hpTSVBtY^{||lD=J&suCM#}Y=LQ=x}m$gvEWY!9^3i5!{a8$q_hrnKKlGq&&P1JZ-&6?%87jm`acW|70&TSw)c(SoDkUz-jio41Z=b=@LmuX!d zzNxdpY0c#;(F-1h935zHt#f%T^W;f>jQjmM&7t0J%M;!(bv8fUl$`34eEseZ8+Rts&~%hY!^$u-2S6zHs3eS`L8d#sQ4 zwGM>|{X|o~q^nJBosX47b34+tTDq!!P6wvWFc`fyP+s*gndh)unMUhK*y*(25$T_e z9nMHP6xs;>EWa%!b2Rby)y69281;YXAuhmQiPS^+^fsfUG4GNc~jYgQ3^2v+!q9^%}+Ye_xC+xe1u zc=_9e)Pv54ipqOe0#h0tjXPwO6(?snx3QOL_Qix&)Qq1_|7>JDMCxJTy-rdO@sIBk zdpNiDpL+208wEYs>VY1{?LiNH5x4H=1*SYSGj11FR-9?(G|(*5{E!lQ>-%^Vu?M3b z(8K)!&_nZAQV*K{)PuMC3DAR+3g}^)7xeJ%cXi#Xz?3Ia#;pgG6@OK8ZZRy=eBBaS z6*7L3*n@d4=%Fqi^za~v)Pw3j_2BDO0(!7#06k1C0X@8ac&GkLU`i98af_9*;zTs( zrhqcdcW*-P6pu#`doZ~SdZ^C?Jv=HP^`QO_JzVifb{VUtJLI@R+&<#+ni-DNedh+! z-hO2G;?4C~k%P-hGh{r=;AFt7@$WD9NA#5}90)xr#&vjy$&)Rgd@?I^0ttt-ZshBXwi&d-$jexMDEzOF4=!kfXbDQla0=wX&Ga=20y(K@?@JoZ++ZU_ znb%{KG06Jte6c;>w(`k&cVCE0no_NgV@RW~O6lWet#p^V&2h)d`qXCEzN|0&LPtk4 z6d%X(*(WH}6T0#aST>|SRlfC#$MoW6U-8Kpi|DmqG&t7cZia zojF3wbQgVoSJK%l{+%$&aBk}Y7j4%ioLX#RFWeie{RY2xe@U5$D$A!)s9#(wnQ+Nt zH$hJ(_-9|%W2SRiN((x@dlc-Xl+HKkZ@YCMJ>7=6Bk@ai)$*7}KH51JJ>ySyjZK|u zEjE|VGBYg|6)8<_=968UTpnH#CEuoyzh~pmB&OEKZI&rB#dY&ftPk~XjH znT-~auUZ?{uD#SbE-`4K6nPCF>u zwR4VaR9K;FW3)%cHEHby#={&R+pH!m9{9jT}3l^yL?I)v~oeT%eYI=6oHEwnVt6dF_K=6Q;@xSw~*mWaYcw&PwK2 zs?HiLx|0%k_nl9w|CrPJ9fmy$&VEbi&BlXum|PR})Y^B+y$Vuu-?HWNsd%ybCd<1b z`-~Fa8J^DnAlq8;Yy5YOT;BIogX%ce+~@&*)*72rr!QEUyZd)%UKAHv(fDzyc(If1 zMT=kkjP}A^jGOw+BvoJQC|_#fw4O4|{Fq|oLbMqt<-pNJ*gOWdDV-v1Gsx}&+d9%o z+i0og>F3-4+gdtE+vut0ZOXp{wzc+?wlQw{zE3~7fp2$#opoZxfzG?8##eck{wn3M z95FQsRkArP?6QphXr=!5OkWtb$UaEQfrmDcUpjy&4KB{>Ke>Z_`;U%PPoK*8%$EU%z z6DLU9xJ3)roYlXnAog6pl(ujnw@@S1VEXd67tIYTW}2pNRrCjBU5XqlviEplYKl-<#RD?YFq);WbBn=({I=Mzk(i{x(-b#_gv{%yO$! z4r^(doa?eb$O|3&+PARSlV85x=wO4gvg-X6oLV$SN9t_X`*CV9R)3IRzkaV_)Hfe~ zTDoP`>5Fua7=(u}_Mtr}%f?Y<9$CjFZ+Ok{b^MgZ-EZ%mAJ(b$v?mPDTwU_isn6;4 zt^*8(*87}CwyS^L=uVq+M`Hx=99SnVV;+c?Bxv^J-RpHJP-22Y2 z{(SRf(mK`Zs;JY)1vaWi4#q@f3Km@8?AjS{wMw|6&v9KvhTjJ!m%`8UXG&MO(M1Y zZuBp|)Rg!6eq}?f)~}8FA7^5$dsUxwC!98Q=G#!Sr#7rAf6JCf;}tWdJH+D_-1K-i zo>{x1=s<0l+{mVUC-?Y_FU23q(j{&<3ciT^u%>`bS0#L(t57H(dx*Eb{k+BduLR7v zM65mAk-xOzj9I$N>B zlt(NlB2A5HhG$VXQ{m1A{&f?cD$7O$3HoZ4O9Zw*OA0E@DLOFFlaSLe9Mot0m~q>k z^4Erg#~wV1`r>D;x1q)<{d(lgmMu>bFFky}L;S2?tZwmm=KXCkmKQ?giVi35S)7@A z`|R_gA6z*~>Yt)YSW9<1F$Akyi#}J@43j=$Z?u8wq;OMU&z3iL9}CpC)ohviYT5lT zlaFiidBh%Z-KD=C<_cvfiH&^~;9RCYRwdDNV@Z)!h)8s?Mi=2A7vX*QuFXFT%#D&u zR(-Bx5S}@~S0$ZyeR%%u5TW7fF{d{N`S*M@cz@@ou(_29*9QZJzRY6(w(a(v3+!3$ z9W~zTx@jM#u5+J< z=)!jfozit!b@}2Yj$FnQzG2HZtWywC7GrKuSmvBNug}Sua92`gIz7N|>Bis%<&QNg zJ%!99zEt0Iacf#jD>}5jUXMwz_xEbKCAYub-E`~@mt>)(%S-{Y(Nf(LCatNzQrs^m z*N<}5)cS^aSA~pOD=#!#8}&sdm$5u<$TUb&F~#~9&%TY}`da#y6P}{XUCuAZT=vJ* zh6N-@)Chh}zH^d)nZK@ghPrjRVb5w!f!2b;{+(z9YcIijKG#8Az%M#yLY^D2g`>Y zSbP&tq*%+v>&$CV4a|+*<+ochX8x2>?{lu``q+Av((85|(xam7rwLAbCXz|!_l;NyhyvM_U0(((=p>`bXCFc4{~mmS{psJ@TAeB^OY{ArnG53 zX@m@J&gZi5<9hY<^T{JWgzK++$6l}$*sekdHhIb9|*FKUGBIWYCP>zK%et!J{DR#r7| zezz#}>RB;#M`gXmnB~j!o?QN?+2|B$6clRDyxU{8VCPWT=`ij<7azg$5-a9wN=F7` z`Q<)tFD*%>Ipjnbnk3NB&^#ZU7v6W|0ckiMOgpOSAV*7+Zy)=Tj_!mz-CH*LFEUwL z3;{(EK8%{3EGbNy9xUIPHQQM#Su{7WB(iGKvk1-SW@cm9Ie(nDcx}dhdau6hTK=Tr zWeo2eBk!5V9n@rew=MF#xxztTrgy!O#pa#|$Cyb2JxnAC0)}^%hpYvuU!7262hCD)Wi|bjMA;o3v?FWvJ8q)su@y>!;WU*d*R@JiKze zij#dkce9MBZdD@3dOG3~fpFDw&h_@qwW3K?%emkIH$32h2fXlL0X*P?2mJ6r03Ha! zgN5)w2p$N-0}*&23J=8KfjB&nfCr1@59Hv%DtI6d4;0{mB0Nxn2g>k31s<%12deNu4IZe&gEjDAEj-YG2b%C;9X!y2 z2kYU1HayUQ2fFZJ13b`!2OHtRCU~$J9_Yh^E%3kq9&CjNhVWn;JTQU>+u^|ucwh_< zOyGejJTQX?=J3D*9$3PIo$z26Jg|ZX*6_dv9_)q(w(wvNJlG2l?4ZF1bt!KF;ydK< z;H~GRcZzt<_09HvSJMpYPn!$rttP#{Zn>(x0GrCL2RisYPP%-9$1nlCLq9c84)zNE(nFcs->;0|KRbqClLzv+qn>kAAl$~q_JW~SX z$8$9YKeNYBp1A-lv&5G%fXeJPgjp%FSzyko@Jj?ZW$0CCd$$d=_C(~{8~XO=-!wGP z$!oFdC=Cwu{^D0ZJl3?eCa=XBdco0N&_EnpfmF7P5Vj=IY>{@;|JGIzj;$anTiX$~ zmY~@py>I?oTgP#19jCIj17T}1nl0KtU@I8MRxp(X%M4*l49ynlEcV~-@?ki(!l-PSBW#JH z*<$(ww!(32g;UwGK-dyNv&H-eY@Nihb&|@KCBl|4nk|+;VCxi)ty5ICb|P#Eq1j^n z1GXY?Y(-Gn+J&&S5X}}T)BU%*d?c1FVy=j!vW3hQ{A63Gxq|o4=8Dr;W{J7tG?iH^ z#B;ccY!>7S=RcJz&fwTOLuJbvVM`9p)}P1~Q8>1usBGCFY^_AI^(S&gG>)xkDqFh| zwpO6o`V+b0ERLtL8{zR@ghhys;m94!9Tg%XF z{fS(09>>;sDqD64TT9Vw{fS(00m~NgAbWwz7V;qLT-X$mL_E~f=&6&he~yx_f7r>_ zKdz+fAIHMH2rEGTiz_9p3%XdG24e9W07tYrHSlkbeOL{|;WQA3-vBuF&8dNZdz8d# z;37@~7x5baN69%g@NbW&SPjJEG!T#9063n`seylc>5>5k`@EZU}-Z?e!Z;#Pf z4J6<+kbvI+I7ZK@fq#26$7&!Er-4NL2EfsLP7VCq<33gcNjME8VK%UD&gTL9|J8Zm zGEM`R@f(=ac>px$E!D-+Megktl4}b>#7w3UwoCcEd8<^9105tHwI1gOKY2Ye; z19LhLfCl~-=YbTQ22$`FnA3RxH1NMT52WHWkc!{HoX!KFf&ayMAPuL1H2enUbRGZ= z{4dS}*KiuRhTi~KSHwG!fd>BV3MAHv>^e>Z*YO(wE0A+);NPxQVl|MC(?B|Y17NLk zP7VCqRZXl0GH@Emz;6JoYR;*Ff4lyP)j%dr1DW^@fc4KgHSlj&O0gQafz!YZ{07+O za#0W7#DJ_IU;fYa<4>#xvTz#6!f$|m&J6(a4>ypF(?B+U0~~X10FZyUfgGF$a_}4A zoO1(!{KF08;xv$p-vHN~8vx`VZXge*fjs;MxaZseApdX!`8W;a<2S%F=LP`zhZ`us zX`leV0p2+`0LVYwKp{>8h4>9Dm~#Vw{KE|t;WSW$-vHm78vx`VZlD;afnxjyNZ)3| zU0w%gVnF`k21;-mD8X-l^i93FH2}yz*Z@sxDV^g@sTrkjdS53;%KrLR-}dVrdcl{x z7nPH~m?usCVC5F+>tg%RK6!N|eWKwapBG_GY=uUzT-$x-^YbTP5@XhgdzR|fSEN@f zdFg$d{884?*>Tln#FM2DaF4X(+GJZzBdYD)%KL|JHp!4b6S+nDOhlgioiOmJuM4R* zytFja_q^vzWsJZ0w@Tgm+i-g7*)y^N@T`L7dA1_ab0e%s_6#^Y z&#Tcq!;3*wo*%*$$exiEfald{o@Xl(JwJjK$({j+=UElaGrayo<@qsOf$SMs0eDtL z^E_LT==lk(NcIdkJkM%qp5Y}QD$h-D1+r&k1>ji?>$UqUUBT(Xz!k`z zkrjYv4K&ZQ6^Wjo!-{0jfWz~wiRKwzv7z$Z30EL{MpgixHPJlJRwR1vf)&Z00f*;# z9hzr&k%r3i3%CN=GqM8kybjItY(=8ym#`w)GvM$%YoU3D*JY?Ycf%FPo{<%RXDu|( zvlWS+dtgPfXTafkUXSJ(UW%de{0go>_Kd6mJg-OdJX?|IxffO>dj=eyXKggk@ahYd z=hturvS(xk;8`2Z^K3<;=Qpq-*)!nqJnNu&h8J9@Jimo2kUb+S0M9yTo@Xl(J->q$ z$({j+=UErcGrYz^<+%^8K=zER06goWd7iCE^!y%HBzp!Np63l{p5bK`D$o6J1+r&k z1>kuDn&;VyM9&{!MY3nW;d$0W^9-+~PCQr{z*kMBWU9Nl2r6BDti9N4wh>m9c>}6_qdW) zV;1ViAAJa9FGoF|HyH#K`6xN<|z6GP0Ko;?p-r6T8vBj(M_o(HbTk@F;|=lRkQ zq@tC$^;S)KkY5O}{D7Re2r-d>G7+q`ASW(HOq_=@5iBerCoVxuq(zwsR?(0XB@q+p zP$q&!N#sN+^2Ft-a*p%_-~6O)9<({SmK1M!9R`BTdy#t8Q(wGk=n3_9qmSxCCi%b- z#eT$vtp11)!g-JfDH^6Ou>z)o%d`yOUJMuP8fQZrU1MwCk6SwmK$SVBar z#DrUk38fNvVUd+67XHvGG2>QZMyUk$J!B<{eB4U&Q7VB$46+i%f)-jOHrz^VD3!oL5Lt<0849fuJ8mU*luF<* zjjTkmc!XAo1Gf?fN+ob2K~|zz@U(HbASyhg*pcr4qPsMpmM@*hj0xk6Vc!r4qQ@MOLD?L`SP6fLlob zr4qPEMOLD?utuvSh+9bzr4qQbL{_4>97e0O5Vz7oluF=&5Lt=hA{MQZ5N;(QluF<- z4q1uf(i5$cFm5GbluF?84q1uff)TBf2yP`2luF?85Lt=hLK3Z#D7g~p^cDhL;^~M% zdGD4u!F!b`p`Lb>wVw8`z{iWY%H#g~Dz8I=P~SWj#aFWu{;3miP02%X*>`RcfzEL; zK`LKqhhD*7`P-g!0fm}P_o05|uDyt$Tfc;mKCINHS2XG4L;6UZEyQ#C`;L0j$NJ#n zQILGeM*O`TJxNlRGg1WFBwaNcVpt7v2UoH+(x6SAOS?HG32{1B=`w^6Ib9n-Q~_c) zk?0Y*$#Z!3QzB7gmA(|0ScXe1$0cNOi50lSN?bw?mso{M$m0?UxP&4up@d5);}R;k z#A;kZ6_-%MCDd_=HMqoDTtWkv(8MLy;SyT7#Clvp8<)_*C3JC#4Y-6JF0m1p*n~@L z#wGM|i7mK<0WPr>moUU7w&4;+xWsl`Vh1i^j7P+Igox>Cg7u65a9AJqlo=A~;JLNi z2s)~p;3=e=0s=@tXNH3a2{T*?b6mm#m$1YocH$Dda0x41!Wx&b!6kO%61KR+9$aEC zklPF28Z1#J-{X#r4WeultbrARA}6k^0j#D`J=BhETh?kBkzw!VPUx>D(GJwK z12sJ(_1yAHam;?!`v=oK2xD?d0mNu|MOOptPlv$%)JEK&>O{hL1b1_K;6_U#ffFqu z0xMcV1Xi?!2&`xc5m?a@BCw(*L|{crh`@@L5P=mfAp$E}LIhT{gb1u?2@zP)5+bmo zB}8CFONhXVmJopzEg=FcT0#U?w1fz(XbBNm(GnuCq9sINMN5dlik1+86)hnGD_TMX zR1a-ffX$w0xMcV1Xi?!2&`xc5m?a@BCw(*L|{cr zh`@@L5P=mfAp$E}LIhT{1O!I3gcPu%B}8CEO9;S?Eh`@@L5P=mf zAp$E}LIhT{gb1u?2@zP)5+bmoB}8CFONhXVmJopzEg=FcT0#U?w1fz(XbBNm(GnuC zq9sINMN5dlik1+86)hnGD_TMXR1a-ffX$w0xMcV z1Xi?!2&`xc5m?a@BCw(*L|{crh`@@L5P=mfAp$E}LIhT{gb1u?2@zP)5+bmoB}8CF zONhXVmJopzEdhZMEg=Q0XbBM*(Gmi%q9sINMN5dlik1+86)hnGD_TMXR1a-ffX$w0vRnmaib-19!|7`l(C{EL|{crh`@@L5P=;nJ^w#i z{wL9rv_eV|ElKO6D*qfU$ux+TWSTNsdf`S(FWhKJBygf7L|{crh`@@L5P=mfAp$E} zLIhT{gb1u?2@zP)5+bmoB}8CFONhXVmJopzEg=FcT0#U?w1fz(XbBNm(GnuCq9sIN zMN5dlik1+86)hnGD_TMXR1a-ffX$w0xMcV1Xi?! z2&`xc5m?a@BCw(*L|{crh`@@L5P=mfAp$E}LIhT{gb1u?2@zP)5+bmoB}8CFONhXV zmVm&BmXHEgw1fzZXbAyW(GnuCq9sINMN5dlik1+86)hnGD_TMXR1a-fsB@3xY3e04<}ke%2?47BCw(*L|{crh`^4PUjH90|C4A*`e>da zT7r+}|2bNcX%H>RG-b5(CPhm_586CkYtqNx-lBh57OQPC>euRqcYWeQ_4-xt<_z?Q_OD^e2PaZr> z=(yeC%sTRxr54S^aGrd^>kL8 z%McTbP$q)i0y%LxVq!7MM6f#`C(0rwmY_@oy8&|I3dF=xl!@TwM^0Rcn0OOqB6!)6 z6Xg&S%TOkQmm4{86~)Bhasr*+E$SCiAMtYoY~*`aXwDIN#|rBEW#|#SJ|$mCbe8B{6j8AA%}o@bvqbNqh=Lt+ZlVC4C3+u46zsHf z69woj(Hay{utU#H6ri(2Yf(hO&OSF$fKrLp5qGJ2YSGPzd$?FA_iNI9V-3{%H5=l6 zu!Vw8-A`xsfFRYZbdK~h58&^)8<78=JIyjTI=#lf--TfFR*KCFiPd}`Qop~E)eI@B zfxFrsVY}Ogj!{*JA z{4;Fc0?D^y^Oi`y1DoH8w`Nw?XnRvH9Idz8jmjMe;q^ b{2nC#3Y*`H~F10VR153FUD3mpHy{p>yW+{3*y zw@sGIyB6&1*Z~o%TU#7pfta3?5rSjP&mCEZYKrl}<;U?tluPx}dy*67Lcv)TTC|dF$etnG^a)TU+NWPhDk~ zO213-7tXZP!=l9blKHi_Qy+1LEpDgr;9chSN1YxPP5r>ZZ?*fu<99h!cqg467ES$B zzWI&1A3T0nK;=i_(8Jc%g?U&c#-Ld%MQT;yhz0n~Na!ylMp*~WVo!iP| zwd2M*z0vJn_Ilvy*D9#+Mv3ozBX83y4sj!IaATcVUvN24z_s<3zs&3AgY(_;^Yyj! zUUn-ScTmqrF+dt`XuW_8?8BTwOGn(P>+yG~(|2@pD`kg$S7sk`k3OAy;xa$j)9;x3jmVWxobO`IuFijs zxyL`9d*+9Fx2rDZ&*n+-4PSA%FQolDBRl$Ld1$WjOXq>}pLpebcedN}K=HVDu|ICh z;_bC~wfW+?k;dbEC*Gyq0Vi1U*5z^>bIZGB{C z=b~_rX1L}0&DismI^*1;kHqPBJnO6CbV<%!RdjJn=k!_2`<0m!#FyVG@o9gVN8)Yt zcs8Gkcb>)5^U>{@EBv@mY$)z4;vO2$@eaw+@0DE*|CO2L_48_ zce_2$f#~;K_8Z-v=R5Iv{?BE<#qG;;Z~lDlcYE$-t>k~e?YURAVt>T#xfiu!f86am ztUt~3pV5mxs2$elhlsCrU>||=Devz_>ETZj*VhGlz59K`{g`j;9&x|8FLmhNFqdC5 zyy47mv_-sa^Y}HxJCymYYZ33nJbuma&SZWYTEttqsJ@?de(L`w^xCg+?^D>>{-NpXLC0%tx$W>fhu3)1vsp<6q884WIdH#leU2O9^hpoSv!dh)ANL39iF<9o+dZL#xv#-z450 zKj8jH-5(x*qltYp|3UZP=Kk>b8*RaV$o<*S=9-%`5~ zw>xQe?d|a37)|W0PG8T||2p@7#{4_m;lVj5n%e!C+Z{5y_I7x1j3)Mh!>2Jz&-%<az-0|SCv(HadM|E+@OKklMz46j>??~%p zuED+3ap4=)`A)a1IxakR+{dVMI>QaU)OB^ItS7tgi!0^17%h1Eq&sfKIiwCdY@!;{pGo71T z-EP?N;IZq3s=n?FFZ5EIp8G_)j<-n;?rO({C!UV$I^6fT-KgWjWA_@Ua5rVRp_jU@ z&fCRd66b{ZRt zdnCgRz0`Ge{P{rMt;f7x7x;j539=zR-2Tz=QUhi_d`yCG+yX8>f z?a1&#FSY6OdEFv8xV$gr`3c{s&M~)}c3gPuE`|#CP=*_Nsq5+-7Z2V($AfQ#H{o{s z9SN>nh@!&n|c<_zzrrhp;ce~wT$A!o4 zLa5>nXSku4x~|TThzIZUjt5Vid|p55c1Ii!9=q2;g|{Wc3%%5)&*yc!AtMguu^SI-|H^Tdv+dbiU@Ysp9I*w*|p_jT2Z-;p5JLY)s zjqpD1cE=qLKJ2LPWQG@dnbqO#^f*sB9(++$?R!n)#XS!{;=ZW3%+u43y9;^LDQeG? zpqg9s*spc_-Qub51q~+W4ZPjgrH?q+-vlo^KL3Qj8D977rB3;r7yW+e@#95)GoIgn z=sf3Mb|3PfvPtiaWyW9bcv0sb!|QA}+6+(m=W$jlPUJ=Zy0f^vucALj zo5ht}>02D5igTUEP3tE9jmVWpH}!}zM}bfM--a)~-=^z<$=5j*u|C}7`NjNw()DsP z`+WY;6L+l)n1@e^XZ~JPqRbyWc?^3V#KFGV{EcEK`)2d^!K{A|5MQ`P)u*%`aAN*U z-(dbWdS3I*-!{jM`P=KbMuoc;UX)jgYx)NBcbns4&${Jvvp1{rLG08|qs{Qtw@rCm z#fiMY`E(ZdA&+acSzO8U`8(io)4J37+d>{q=kN1vdfZ!HqfSMv51;e=V*Y;8^$OQ; z{?MoMH!Ys|JMHraPafO6FT|N^{&slY&F1fD*1w-3zUI%U`ZNkJ$}61%(>IvE`@xg_ zeDn9DV)f5z}S+l@BEQ=j+eaTO=>0_Wee zxcfY=(PnWa*K%4Mql)vN9yhH!oxcO*(RBW{>K8<1yz8BcSRYP%eldSP>w1MV{QUew zpU&T>#WQ~|DN)Wpc=9;peId?V^LN}lmX!YST>3fUYYvR6PiZ~r{F%PN{2lSU z=9|9@95?1~zvCJe?rL~ZUMa5W8_eHP$Hl(U{9T#V`SaMRpGKSEsn1X3akKebmBsx9 zk88A9T*>qK8&n+XP3unQ?>Kohn?K3zxfF5LpHs?%zHN2C=e&+`-fO?t3jK?j{&eR5 zlIv|<75_}8f7x*?f91pPVp4q8nfyb~_s^-G?~$oL@;jdDC0BUU%gokyo%idFE*CNR zJCO^=;)y=*c}4x$fm_~N+S;_77WWdT=;`~reO+VU{vz_oU-{(w7Cmv-3Xgls!{XVu zB+kBt$3N{8_I7WPJnawiPk7$c0e=sCaZTGo;t1cU^1RdYyw~l?7k#hia}9khKF}8V zhTr>}__4m=nV<6FeFpuQaQh31C;2g#e>jsr?(&ah^2c0$ZzkvcDDih?@<(0%iBvAT zPm0H$?`g^NQ`klS)bFMAC(&<^p7r=8Di4@OmI=%Wvpc^_6&cY{7m zdOmp{HoH9U!<{bA`*4fP^FFM1dESTBE^pR{6Z7;T^wEc3@je(;ouQ9DT<(1sZPJH+ z&nNH0DwpSdSmE-#50|<;@53^e=Y3e>@@9SD`}Vo!A@tFQ1KtOt>O<(G4_9~});H=y z@vSy(N83NQIu)_G+3WlEY30lQ`&H!HUj=cr58=u45!XK=p7XLWzOx;k_wD@N2RlAb z;CJG$lbk)yOMg#L@HyC;Vv&9R@mlR;Ubg?C!h2l)#MuZheVl_>5|?$kQu+Ow_%_ww zAqs!cd8YR`?@#k?-Cw$Uc~0(bMTt0r-Z}2 z{I>JlTSmnH4!m$pE*^XRok1yATwZO(BKsa-o#Ym8R(?U{4brE$3adOT<@W}fN4p1$ zPD{?b?^oeaeosT4#{_}@Z%NPZSh45tE7CgJii_;`dc*~f{qnHWX{Y(`1oODqEB`F+ zc@~%7#U7R({AsA_TO|t4KB($G?0nQiJo2X%Y5v%gr``ADe?NZezdi4TPo2b_7LEGh z8DuE-$iF5&-LIEOzNT2%&b=tsU;nfh`Ep(`4_Au9D+OEc--Q?c3(o(Z^Dl~$SBDmi z`mlqd2mh%xw@ z(5H1(#S@QpSXNZ*-mCR0xUaEp$md$OtKDC&hX04~%I78Lk2rr?lzsb0@XEvFqS&)< zO|Cq6wH05mzpr8)nP>7NKK1bVH~q2aPSU6NJ$3Zy+Qg5(d=Xy$N8}Ix$Id?{p1*5) z3_k2!&$(gp*}TrHRAo0T{hye9XBk&|g|Rq)>hjQoLmZRO#_6@+iEk+GvA^qDQ%qZ2 z>U|sjqEYV-1?G9dD`>iY-EPl1QP-crtIkpR!5?*gz4+_I|2cff-5$HYaJv<<8x;Q~ zv+H#GPSa!exZ71_$9n#y+Zm4Q>E~a$JmO%7{L3!4IO+@e66Xn*haP|WX7bs--Dv%i zs_sSKq7OXxn3Ds_kA8`~s&C=EL4W_q{fqv;Nt8H!qDsepUqQWJflvDRRp+ChPr}R2 zU2b~s z1NPr=d8ses(*LJi9{S_r*_S4lKd-i;!~SlDJ`KT(a<0?wx#o03v2?c2D`byGWAB??03xbW}WX;yLw<>HT-KnO|!69Cp&1z39{M!s8Xs zE$sh`yp?~m^O`u=abA;8hx^yXRs7w^6`ys8xU2`b>HYNE&M(0}=288!{6x{?&;5XP z{wM4`KK&wo(>&yleWN_SLmbbayvQFu@~}CTKX$Ai`pARzx*2=X^U%k7i{}-64SUx4 za>?<#N)$ilnCCW>=k{BSE@}rqp4-^pDjs|KOrHM?FFfYHSNy-gD?gLVZn=2o@?TwU zddcY%{>&5p><9e6>vkqj{Qu46rgwklg!iHJgZNPFsB=>CW%@TSy>BSqYV>^jyP%~e zMSgxk{cDN^?VKOXI`g@{0U!Y?3e^Etc|KLZT@w4xj zRA*In!XK6?aXuv4$Zpi_n6pN9C-GCfEpFGbppjkezG(MB;a=U)u9n|^k9X5NcHDoc zW4xhV4Yzz>U;f=kqdHE=pE^!?yfaBW-bc{K+IlT0t`X0A$!vDLQvE8)o4qzK6o_7% z7cO?nbc$b8evi9(A=8h(sBo@8g9mT3>a9X&<3zmhD}Tqid0}~uDkx#SDjJ;Jg?1*ipuy{ca4{PS>^k6{Pox=|NEig*NiIO?eBQkTH^K$-gO84>8(J) z>5;#N&*S7cqsWExMc0co$Fq37b^1KsI*-SB*Gk^wV|{gYdAtoC?@TN4RA<@u^1K2+ z)>H0vI6o}U1ku-t?H{d+_XeG2X}#Q!=<98AVE$MEsQKsfsCf78nX-7z;&2|c6lY@B zoN@NG8s}qk=ksWbaduAanqnSzS45Nj+N@5_pLy$?-3PVx<{p-=H_t)%pkj>=8uFka z52`fG{(Pv`XKZqE=jKI{LKkwnl={35P8Qa^Lkr$|9kp}I;tFrq&ar#Iy=!u8H~kx{ zh|bYJJ70v`o#EO!*kInaPfc2VdH%Lv6o>B@()?q7%ev`KS$|1u=VazVd4SqO#|%U7C0G7dpFcuU;4Kcf{d7Q?skB z@1DuE_pxtwRYcXlJdcaqpTBQyxi=8n&=nTv^!-FGi^_f$D=c|SK|r~J7OzN(+e zxz$zq@47s!Z}KajleK;Eh+0SfUAmq_Bd@S8&$+^%r$yy6^VpZ?apCJ!RBG`X=Tkm! z3;X*8@aMBHpR0wv|7gX&e0~=8IZ7+`<#VyH_j|3_m*;n3e@BD;LF-TLoX($@Oe%Ik zqu)V89yH`ZV_wRA(EPa^{z1b(Xvl+xJZQ)Rr`h~#46UA^rG0JvLmo8Ow^~p52hHPz zJZP?OwH~`qYJGyTBUrDXArBhzpsm&u{z1b(Xvl+xJZP)+#QUM3c5hNWK|>xiRpbXrJml@4EY*9h~di@1D5Z&i8Bg7~MJ5 z)ywme?kqL>p5t1-vVB*;bC3QcQd@_&kSlkx+**(wtMSa^^sc&w)9_D4F($$mRAM^DKyo0Ua{r~Ex z)i-SQaXK`fQoEA_R|&!KtxxsE)v|1Q;F%sZbg;0?BjxBeXA4a|eL*6Z7Tj_~?h z#5;J7@N|C8xgVmwW9JA@=VwcJ&z~baou6~!jr#g6(F5*W%%{$?mhk$|9&g0nhfZ~k zr0-|*^K6aRxB2Yxh8=IX6})|Ck2mCaL#^OFcJ_FKjyKo}-m_@S}^ zUcck@w}Q7qZ(PoDKlC|X-&}aBeLinFdpz9-b?e|>n0&sI?}xzKefD^I-Ws0vJMW(8 zSE1k2)ql<&c#obvUbo|Qw}SUnt9X05R&uW{KabMqmme6q4u0^1S9NV(w4gGi0}Z|_ z?4}yalh5hmbHA(1Z*asup9%l&tGcw$>>j3lmc5@(aC%a^ME;Pz*dVUd<@slHL8bel ziufc?`+SUg2CSZbuV+vfmO4AHCzm&`XRu*Cx|gV~8h+%T%ai=e^QLRC&abu(eU^7` zPb*N!gL%lDR0 z>34MLJk|G^tA@&c7%eL6Zn;_cE~wn_Zk-3x-*Lz7M&Gf1{p}Xt=z_}oZm5V~P+9*@ zr?)~4SI<4$AA0W9?(uQH%y7=gZua|^@%wgJT+s=P^qLsyuJ-g-AE=H^O;iUar}VD} zs=ND!#vT+M93Ou0!Rpx7t%;_;)J*kDGu}OxXu5~-8S0)u(=!zs6Alt+ysx`XIASsS zk{CS$-DbYE8}t4|;~5S2SoDF(2&7!gjE4Iy+Td`aDKi=#G@qf_`hXZN!G{OK+=Sz1 zsg}%k!ZR8!>m5mP%N~uC^^PY#p3z93Wi&osi`G|WG%{c~6SI8KX3W|KuU2&q|r-2i(;XDT9$-)V+PI`NTlK)LY$qK(na` zJ%badtG#8ey<__4_*k{CSN}x77w&6IWH=s(p%4 k_wSHFQ=6^B^?YilkV?}=FlsMRdI!|B2ib}H@2XV(7xX9S9RL6T literal 162586 zcmd3vabR3U74ElffB*#o6bMitK!E@SHfh?XP$87krcfY4fPmGcP%uh?SW*aBF-X;* zRijpnS~Y6bs8yp@jaoHg#i&)IR*hODO2wd6B2MWVM)Pi+xeRP_9XMY1iHxFflLxg>)AisV861KB=}TiKZQI9ue~ z$b2sxoXY>00G)Nv3opPwRZS}I4CFuPzb}+>TP<6}e?j7>NJrV2xY?3t%9KNl2ItZ2 zU(0Q?iUU9Vl8m$U%$IuM*T%Rm6$d`VRWS}4ehV1a@G7cJXdl}c| zh&zOF%NYlaxW6-Qz!7&SZp;xUhr8jdXB;%*{>iv<9G5cxdOQzfTm$2v z5jV!T21guTlbF91jDtqpzZloyh&zIDO^ky^+`k#u=ZM4k0Dh|&2aPx!SEy^m5r@}1 z;+h#}U;lq&T&cW3qQ>PY#;s-?H2j`pT&*JxuTS{3FwVSQC2k?-t%Y&=^?>sM;#wJJ z&JPl|kAB?_zhfBJ#yDtj{+Drsj<{nPw~lepi2EPo5^@5F8kgf3*UmU-#J#|{q$94B zaqAfejX0dp;nU=ZJDzbJjDtqp6vlNr;!a@P2F5`nZYtyY9dRczu9I=lh?~Z^QAgZN z#%*LAG~zB{T&bKGQKz2wlNi^5S`T95mvV zFfQqco5i@TjDtp;y$&@w;?7`PALF1AcQE}r9dTzeZX4sE5jTTz{f@Y^7}w7@XvEEA z+^8e2oN?P32aULs7*{42(x~w~n{fk-gGSuRjH`3Rox`{tjDtqpDU54(#GT8yLB>HN zu8eWLj=0&3+sQa+#GT5xVMp9~j2mJcG~!NUT#4K$M2*Y&jN8RHXv7`DxEe>?1&kYJ z95mt%Wn7CRF3GsvjDtqpbjEc%;wl(7!Z>Ke9mcppM_eW2_Am|_afdT5A?;D)GKX=a zjDtqp5sXVZ;;I<8mvPXDD`8xdBW^C^#ux{UxFZ?Y>4=-hxP6R+M%+=1!|N-mzWIzx z$PFFt%b^i>G~-ZrR9rRViWmotxMLVsChbvi3m8|-IB3Kj%eXp6+=Yyr&Nyhq9mlwK zN1VJ3Gxs4SjDtp8DdTz_aSItYgK^M^JDzdFj<^>wu9R`mh%@&wa=k2-8(@q}wQSSh zKU~bXnT&%*oH=f!e}g0L62@V?F@MmAyB%AJ>ttN7@~dIoEXF}2?lNp8ZqVU(DdWl+ z2aUM97*{Me>WJ5Vix@YXanOic!nhj7>2U!M?jw_oGkg+vH{;qIev27b#W-mAEn{4- z!|!s&RWlA6aV?A+armJQa4uw=sY~LPGp%NYj^ziSzXhZ<4!UCB7Su5o-qBkmr?RWnZ4 zhj9aEJ>$$cO5F8~YjOBp#kdB>LBp?=aXk*ds~NX~anOisVBE07591BaCdQfZm$DF;2f8Ud*^=#z7-)1-5v-JNz(D;9Si(Gj9@S-hW8k zfWz-P#H09g;A~@@nQw`E8U5NFem5{~ z9pj+kXWkEpU!TM8M#i->4jOTd*h<`(!w<&=IM*}I948WI?&~Ekd1NYH*LM@+Iv58H zzb0%Yu7z=WJa1;)2F5`n&fK3%T#v)=C5-E295muqVk>bY4nG`EsBa_V%<(00<%}yk zDiyEm!*PMQF2M!~F*0wlNMG<7w{G z#IMcahrEdEXPohoxMuqGIsC96aoZVZ`b(U--x9x3hu~jL zjN8FDXvEcED{;+?)31j+7&pi`XvCR#iR*Frt!CU##z7;_#7o?WBkoSd4KWTHaq==x z9oKS!0dG>a>Gz*^F>V**pb>}970|!d5qCG^h8YKqxVemLV_cW=Yhm1O#z7;loN+yl zxK}Z5gmKV_o6Wc(N8B35?O_}=;*yLjK2EgMsX6aq+$iIq5%)7}rGK>}u9b0n83&EH zpD?c35x16cV~m4F+>aU8<%qkNar+ntjkrHBZomuRiH8_h&NyhqnfF%`H|B_Y9ph#*4jOUh{f@*{pOEs^eh)J) z$v9}lU4gB{wK?L}Gp>qp(1ljyk zVk%zO_eRFmFb*1VH!!Zv5!b=E#f*bS+)a$@bHqKuxLU?RBTioCsrnpoZ(`hX#z7-a zhQq{F&rEG~eQ#!59pj)8cM{{;9B~^MSI;rMh z95mw2WL&iXWBzr0Z)02&jdv7`L8r(1^QYO3J5)aRahVzt4IP z<2o1zjkuR!D}JMlD=C{8_g=r2!o?%>4`XgS)y^nF7jDtqp9~sxcxHj3Qb8eFT zxWC@WIB3NE30v{$kp9@}xc4)zi*eA1`!nNu9dRFE+$P3BBW@4lh8%GpWL!7npb>Wh z=b`x26z8b4r`OlbxXp}%M%>r1mAa}K*C5;UxDPR|hjGw|`zqsF9C06J+!n?`Bkn7V z>vqI_gmJx$gGO8*;|3jZn;Ey2anOkSGUM=|0(I*8KFYX0#z7i8;y%W>ZH$9P z+!qvY8RFm5~Jpb>Y#6xm8$eT*BDZTkFuf^h?kgGO8x<3=2D zpJdz)#z7&@zs?c&dB*Kw95mugof6mXi2DNLMi~cnt* zO5Cs`4zCx)jWN!=ekATw@RhidGg4bUE_i(+ZXe^!>s8{+aVl{&jyRkT5SN&qy5BYD z2Z=MU3yEuS#NqsdxFW`x^O3}v*P+C9JK}J@LmWOQ!u_>5|4H0tX-9p7jyRlO5jUN2 z=6ox0v-o-#V_d1+u%*A=aXv>}3FFN9UE;n^ziR0ZU;Vy+8{=j$4jS`5z_>O?+}9ab z$~b7mJIB3KfUWw~-#DNF%Fq?6PPvXqDO5B(u?%Rw@G7cJk=5;S|$?{Zvb+S#LcZ?hS zsu*X+QR2+&UgBCDao=HFHRGV+_XBJtu9tCx$`A7kzlDs0M%?!pH|B`@F5~d|CteTG zh%;O9t3EsBtNjKTx0rFzh%>wr*XD==4>)TXXZR$}jH|@;IpXkoLELi2nb(iRnfaHv zF-IK64RLjhGvg?6<~T)t=S0`HgK_nYgGPPk^@REyaX(~S1LL3(cLvWBJ&YTWZTj)@ zBgU;@95mwIjIH>MGOna-V%(1z*Tgtz#2wDK@^e%1)uPk=1{t@CanOi6jB!njYgTbT zVO%rgpb@u$aovp5oIhpUYQ{k$?q0@?IO2ZBxE97iBkn%NC1;D4@zH)e8Q01*MtRjktA;>vzQcf^q8@2aUMbFs}H#XwF|UuAOnvhr2!9%5Yi`JyA{;Wv!yWE?c&UdOl=N8B#P zZDbrY;@TM3=ZJfPab1jqM%?|3!-I+_&fhX_6XT!}_W_B`Lo(>1kUnLGaWwHeiG#-gJ+aH z<>Y||4|E6*&ZpVts}$$0h~wvP5{L1bEnAEaG=kk zLVOmUHV4o15{L1rk}bvu8a&V;JX3LeWZ~&?@a!c|HF==H10BL+*70ZI8FKLaojeQ4 z0}USN5FYb=k}N#MazRFZeLlo>1oKrRTg;cat_Tlw2#@)`Nfw@J2hTsn7d(r}1C8;4 z4&ga7$MMtb;29%NEqS2910BLsk%Onx!GqT&#%DQs%c|6) z@qrHEG2b`Ka{P=sc>Y74dh$Sn2RekutoO>oQ&yD9uU}vL$kRX`Xz)OX@LZo`zUmx2 z|0T}~@<4+JI)vwCIe6L~JpUt4lWcMRfd&tB2+zxN@bo%(UJzfrzE;T=Jka2Q4&iCZ z!87dO!5b>@G?ND!JkTLL_vhd#kvEvgua9SZ9~V5U$pZ}@=n$UuIe4laJh(3aPYZd> zeS+{nhw!{D2TzlO2j3qCPb+z#F+R{CJnzlH)8XJLB2OE6puqzj!t>D_JiQJcd=8EA zSw|l8xwP;=hw${~;2Co897vva@<3yJphI}RmV*aBM1uVK^>q+=){_SsJkTLLkLTbi zckmRGr-MAu;DHX|`AH6*ItLHFZ;j(;19{B%uZ0IXglAU{o^}Thz6T7RPV$)V1q%;! z2+tpL@bo%(@V*2*8_8qdrw9*p2+wmlc!nK3_}(&jy2t~K;|Dr~=f634O5{N(^6S?Z zz7GwaP2@4(j}{*25T0VZ-^+4;SmWTq_pHIwO&;^TYvF+o;W;`7Pm6;G-`@t$X7ZTt za|;i22+zqmc)A@t_+B`8ddLHf;|Dr~=j#;M4&iCa!PD>H!S4%zr=L9L_XdOqI)vwr96X~A9{g?r zc(#+r{EmU}K!@<$n}et9;8cG7`oixafM$NZjKw)-Ck4}M<=Jj3KMzc(a2 z&>=kE&M`hM4j%k&5qNf!$NY|w@IZ&~{3r(xzNZ~EU-&&F@QjcL8pktq2+yx_@Zfv7 zQ9SsaCGhMa4>Wk7LwNS!d2W{Dr})@tp3}%PN*-wNK!ayQK99z>v{JT`Uv`_V*kxUx z@LUd_`3|1b$+MR{(BOei=P8THv#=<|?fU$*>-bcD{d%88o-y)3g9kdDr#vRlq8vO! z4j!yG#PPF_Jka2Q4&kZI!BcudD!(2dd@ckY92j`s3k@FV5T2`Z@H9Ah&LR(<(}4#X zJm$Gw+VLEBzUp)EbUAp+$%E%U;DH8@c@7l7b8`-!AqUUd5Dc!nH2=aC2ZVc>xVkGU@k;AzXjQ#w<8B)>j>&L)?R~4|K@+ z=fNC24GtcBj|#^Rt`p#a29LRJ1dPx696VhPo+Np2-T@CZc+7byfags)c!nH2_}m`j zgX0)H(BLu0bpVg~ecLSeKcy$7jt71GRFVhd0v>4am~jf=c{IoPG&p$Xkf(|~(BOd% zIi5G=;OTPkz!&pXO&-G`JkTLLAI`xuYzkp{Ud7v>q&>=iMIe1D>PUY9f&ph(f zkOvw(&>=jZ$-&d$;KA?LV|*5q2O2!kAv{}i@N_wNaGZdrmOSRT5gzCep0DQM8FKI} zAkT90Kx2HMLwNdg@RXjC%CCo+-g>dI1in3YZZB*!2=z_^IK1zQN@GvFnF5DW6sOM z10BNiq$kgq;<=POtH}e6@qrHEG3%eQ-2d3)IWcGA@w|vUE#!d)4|E96?>xt+Sn*s& zo>uZeg9kc<=l7mGC5i{vO&mXMFDN{U4 z$kR?9Xz)OX@chA(r(E$YCC_^DK!XQ5gy(5bo}}WzeGZPF4)Q>Q2Rel3kDff$if0*l zHjoDzJkTLLBc41pisuUQbdm=eJkTLL&v^3GDxNFJvynW|;DHX|`I9G4o#I(eo-Xo0 zg9kc<=g*!z4T|R~@@ygxGZ^+~Rr(N+}OP*fxK!XQ5 zgy(Ob=i~ zJ$bqn&kf}1Cl54uphI~6?#a`mcy1)mcJe@j2Rel3AD%qDil>1*1LT1Q4|E96KRtQ+ z6wgiM*+Cv?@IZ&~jCu0(E1sLlGe{n2@IZ&~{L7PPK=HhUJUht)4Ibzao_~At3@V-# zd$bGo*N4N}gThfd&tB2+uxGo?*rFGV%olvxhv;;DHX|!4I1F@Zb+W zr9aQSg*>C=fd&tB2+tHxo?^vwD|z;k2O2!kAv{w(c}f(|D)Nkx2O2!kAw1JOc}f+} zZRFWU9%%4Dhwv16@{}o_+sTuV^>Fz76dF9xAv_0o@{}u{my@T6Jka2Q4&lKc%=USG zB^6IIdGP%fj1M$;%=cXaJ})@Plc!qoyn;N_$pZ}@=#cR#_TWj2 zxc)Hi?;{=T$y1}o=MM7BAP+QnphL#z5Ko?3#j~0`_&pUIKhWTT4jG?AJ$bHHJa>|3 zCV8O2106Cx(>-}^P&{{$r;I$%;DHX|In0yiWs2u+^2{O+GWk7LwL;h?LD6V+^cxjkS9qVXz)OX@EqwmJ`XCMd&qVsU{CJc%VbZ=V(u!w5uIwwsJm^-DaEX`wr&s)_a_Pisw#z|MdWQ>c|5P9_WzqIo@-8N)*ql z$x}}rXz)OX@SNbuQ>u8@k*9$?(BOd%;W^Qhr%ds@hCD0C0}USN5T2QyJmre#LGm<_ z2O2!kAv`B}@+1|{Yss^UJka2Q4&f=!!L#16UaOrv&E$aw4|E96*`7Rlz1BnISxp{j z@IZ&~oa4!(*K56wJT2sb1`l)y&$*sFdcD@e2`JZ~h=dh$Sn2Rei& z>B&>7csj__K^|!EK!@;Dc=D7fo=3>DfjrRQfezuR^yDd5JZ~aTCwZX310BLM$CD?i zc-~B&jpTs_4|E7ml_yWN;@Lo+F7iNw2Rejjt|w28;&}^sHjxJ!JkTLL^E`QK70+AA z(@h>|@IZ&~%=hG}Q#@}Y&t~#Kg9kc<$NYX@miHIydH>U(csj|`Lmp`GK!@-w@Eo5e z#q)OZY#|Rcc%VagF7)JSRy^+@PcM0(!2=z_bCD-ci{g1FdA5=V8a&V;JPSQ}+7!=5 z^7N4h8a&V;JTLO(X;(b&BF{GRK!XQ5gy&*Uo({$HD0%wH0}USN5S~jsc{&x(yUDYi zJka2Q4&kZsWk7LwGLr@tY@w}fryT}6#9_SFB zC7wJ3isu95872=jc%VagmU{9GDxMFLXE%AE!2=z_Q|rkyqpuqzj!n53yXH4;I zCeIjopuqzj!gG};k6y3!QS$5~4>Wk7LwK(C+Cy!pQ^(peqAP+QnphI}-J$dwctu5p!B@Z-sphI}B_vF#* zwLVRrndE^64>WityI$)CPaeHq>oepjBM&rqphL#zMo%8SUhA{unMEFG@IZ%*PlG3q zUa!?lo^tX)g9kcfd~WjO(d)H7N1oZ_fd&tB2+z%)JbJy>=gE^K4>Wk7LwH`|$)ne6 zeSthxe!bL_N3YlVB6${)2O2!kA>;Eh zPaeHq>r3RRArCZophI{XJ$dwctuK>jF?pcD10BL+{$7j6^Jl$YtB*XjY||4|E96EuK7jz1COBQ%4?X@IZ&~-0I1r*K2)^JoV&(1`l)y&nizI zyWk7LwK4!dGva%e)2Sv2O2!kAv~|}-Sg-YM^0bl%8a&V;JokF?==ECL$Q2Rel3)t)@XisyUe*+3p>@IZ&~tn=h4Q9R!#PbYbx!2=z_^BPZ{QpNKF@@ymz zG7`3ZTpkOvw(&>=kQ zJ$dRB&riwIOCD(OK!@Wk7LwGiL@^mVmUz2B$Jka2Q4&ix=Cr_8+`3-q? zk_Q?*&>=i;_2lVRJiEv_4HK!@^6ViGG_4HK!@s^;&--PYHRT!2=z_^L|er zyU&u3)Jka2Q4&mwcxlH`E~4|K@*eAJUiuh)8>JXPd@1`l+|_-^sI(Jka2Q4&mwXWk11IOnJo;-TJ))exrCJ!`tphMVKK!@-=mV;-|v0m#y^0bo& z8a&V;Jm2=@(d)GiBF}pAK!XQ5glD@ak6y1;Or8$%K!XQ5gy%b+JbJy>!Q|OM9%%4D zhwwb^$)ne69YUT?@<4+JI)vxDo;(Tl{P0lnY$Ojfc%Vag20VF+70-0?bdd)dJkTLL z-}B@tQ9Or{XA^m#!2=z_^L>v*` zc%Vage&NZ}rg%;u&meiA!2=z_^Gi>jcExidd3KTq8a&V;JVTy59g1frd4|XX4Ibza zo?m(LbSj>c$g_()(BOd%;rX>EPnY63nLNYffd&tB2+wakdAb$PDdgEr9%%4Dhw$w3 zWk7LwKI>S z&*|jZOCD(OK!@-Qd-4n@o>}A>BM&rqphI|m=gBjuc+MctKJq|=2Rel3_ntgMiswx7 zBqSc|f1tqw9m4aJC(p3rIg30+G)t8a&V;`E_oJ`2O2!kA>;F$ zCy!pQHIF~d+dqt|P_h&(IE0}USN5T1W~^62$i7n7%nJka2Q4&nKaCy!pQbqRS^ zkp~()&>=kgJbCnbts3$)lLs0+&>=kk_2kj(wJs&kYVtsX2Rel3f1W&gz1AY~w2%iH zJka2o?0T&iJbCnbt;@*MN*-wNK!=P^;y{U?IyF(2C^p-K{DYSCCa+!JqStFJCQloA zpuqzj!ZXE_N3YkqoILBu0}USN5T2=?JbJy>67sZ@2O2!kAw1JOdGva%rQ}&p9%%4D zhwv16^62$iwdCm_4>Wk7LwJtJ!86A7TKfIPGV*L74>Wk7!P7g*zqfuu4xaK;Q~9ga z-;2D0Je}l$1`jlNYNWpzCv4^QD$7aDR=)0-C_e0k7uKS`wBuj;_T(HqjSikG$+M9> z(BOei=V^<{b6O6bP6y9&@^q008a&YHJnb=g&dkBn@8G$LJe$Y^4IXIlba1}O!o<8zGg9kdDXEY|yk{moq2ha87*+w2{ z@Ia^YjK$=+A_q@{gXaeF^pgh~JkaSpiFu-9T)(c)!PD;Gxsg2E$pZ}@=yaaqm^|0! z;OTYnG>~V2Jka2QPUk6!$#X*vo?!>iP2|}@9%%4Dr}LD?stZAkPqapuq#3&XbJEb9)Y+ zZU@gx$+L?*(BOei=c$g#^U54NgASgTk!P4Z(BOdvkG+4ciOF+U4xYprsr>qQZY0lc z@<4+JI-RFBCeNB2JV^&n6M06+0}USN^!cib$#ZWGo+by+O7iR>4>Wk7(|H38tlN}j#sfd&tBI!|*4*N)5LfiU0}ht0z2Ct5WPC4ligdVL;*oEksVAk; zuSoWzo?g}=;nH@9>2KdxBhPVSfIKf}9z0jWx*zB$zh?Hs^Ap5Dqu(6*4JtpoAO7in zuV9{H`awtey^{T=(+?W`&ZOUn^0WK3-yO_TLObR`kha|Qsrm&Yrnghr;L8kQGPA#H;aDI=yy8(lFHBS*M6^J zo^tv@BM*+>^y704`^}~wH2PgczYgVR_rnjzZ>{V{zEU2i_b^|QzR<{bnDT99zbg7d zqu&nZ9a6q_zxC}_zIZN$*I6}v?Q<$AV?w?6vfo1bLc{k!);p$r?SA;Czdr6`o*Mc= zBailLW531pgGRr3^ed}QX;YURuXexoyPtV#=?5L<_W=7Xryn%>olUzc7DL=bk`@M#F8t4Zd<@X@_t)L$?`c=@cUHRGl+V8c@(?mb$ zD8F|0TSY%;^sA;{xAL?5wckU`(@a0;D8JXS-)j0nqu(<6^(#NSU;91GJT3Htj`Ca2 zey#L_M!y%)Z&>-+{o3#K%+p3c=qSH8u-`iRL8D)ZB*gh-O!?XU+V73b(@sC=D8CN& zTTee|^t+Jtl`cqW^gFi!{lpricW#C{v-2aSGL(yv5xbpwVw9{koN(-LL)L&OANzgO2ih2m5WIA2j;?lzs!s&+gZL?_{1{`awteZDhZ# z^n*sfLHdmoU_iMlRG0!0VpriaYvENSm zL8IR={W_JO-LL)L&pbo)gO2k10Q>EtA2j;?mVSN8&+gZLA7q|k`awteb+g}Y`az@L zF8U2CKf7Q1eTaER=m#C;_hI(iLqBNr`!)TFFG^|T$MI?RYrl^$&nW$%qx?3r-(LDb zqu&tylFHBS*M1*mo-z7CNBMn>{r1rh8vTAjzXs)J_iMk8GY{V2;{70WlwS|~$y;9Y zeh?b{enh`^qD3Fv-`E*rHlJhk+Lj`I5|`z@y*H2TToCo`T6%FpiCeqUprI{HCJ`E6sr zdip`5pPb%|UyJgy`?cTKnWuq%&{2NhV80dggGN7j%w+s}l%L(N{l3XOP4t6~^6O{6 zRrG^Kzsu=2to-bL?e{I_X{H}^l;30Qx0-&?=vPa>(if#P^5gYj_iMjzGfxZsprib@ zvtKLypwaJ2`qe2vyI=c#hk4rQ2OZ`2IQy-mA2j-1O}`H1XZLHr?=nw2{h*`#2H0;s z{h-nB#q{e}es;h1`yTUj&<{Gw@B8exfqu~FS5Ln&LMgRepBA_WLFC^wSSI%5RAMw$l$9 z{btdxUHRGl+V5A)GeAG+D8FB`-wyggqu*Kd>r;MqzxMkL^9<4tI?8Vs`|YG3H2R%G zzft99_iMi=m}iK7&{2NBWxrkYgGRse=vQ8o(#VhF#qNhre}4TW^9<7uI?8XD{dUt2 z8vT;UFZX9nH7Sk!@U#1&)BS$OJR|gjj`I6G`|Y70H2TTcn2ldoO-ds_{Oo?{bib#V zXOw=>QGUDGZ!i6z(N7+`7{9@qltzB|+5OPzet%$|G5SGA`8~~k`{)OaesUXO{E9D4 zY2=5W-4C7a_ebWz8v(rkgO2hWVZS2NZ|YQN^pnHV_|+&syI=b~!#u_GgO2k16Z=i4 zA2j+cqFEr<{J!QGU;{ z-)#Cpqu+<=SG{PWpWUzho@bsU{h*`#_Of3U{h-nBDEiecn&@ZuYrnrUPc{9Zqx}BC zehcXbZTn&Vnioy_*VxC(1 zK}Y%hoBfv44;ua6LchU96aDOd?e`z%siPlsl;1w~tEV3{`n{EY#g|R=v-`E*f0?I& ze$Y{V|6{)u^n*sfchIj!`Pu#2?*-;*q91gWA0AxcbLCa^gGRr1(yvYV+5OsY3iCA6 z4?4y_-`nZeq5SNA?RPZu^wJMH%5MhyZKWSH`n{Ka{mReo*M7$^ zPaplDqx_C#zisq`M!)ybZ%p~w{n`)f(r{kvryq2bAJzq--*);zqu(a_C6}Z$^5b~1 z`?cTk%rihg=qSGv*l!2@pwaLB^lMgrcE9#Jk$DE`2OZ@%ll^wm4;uYGK)-I~XZLHr zlbC0Se$Y{VC$rx!`az@L2kAGY{Oo@1cM9_i(+@hzuZ;b6(+?W`y6IQ4G^LRr^Jn)% zr@!7$Wu6iGK}Y$W#(sO~2aSFoLVmp7m7m?O{Z40|QTjn6&++84_h+-%Z!i6z(eEJM z&vz+5yB~hwX_Eb@)2@FzgZakj3ypjtO+V{o`S3vv z-VZ`Y`IfU^k?A*eDm40COy42pYxlc+&t|@2`a&b0Uhj7f`%R}WH2VF8<2a^#?SAX4 z*ZZBzd?oaSj;ePy`^}&)H2VFAzNNJ(ZG2?h?0)O3$N4Bn;g^Oe&V zdP&i-Gwk|mX*T(b$+3?2(KcT%{A7JKJ`jR`TiSYH_`FK>A7KAt&;G;gU*g$+jQvYJ z`_OE7tye^{pzaan6E-!17=Ru6K%tOgR zvXyygkvzz6?@MM#KkT1akBR*^Kl-NJXHs$d~x56I&B@bil<5ObmW<50ePw&JT>&QWZWKL6u+(ztOPM78617;l&3;;%d|Yv`kog9b+(i5PX9C*QBAl=C6JKVq(H;wx#* zeGu9US>Lm)Z?U7kCP#f(p9C(Sc|v`2RDC6^5AB7l?|If&>!`2UQQv6H`l?iYxSrxZ z7VU+sZ!hax?x?TDQQt_+`sS+o%2*%T3t8XaSzn!_zBWgF!!hfdr|K(beP}Oaeg9y6 z^^W@59rX>xtZ%-m&wTDC$0yngS>HcdUxTB*4o7{1G3%>V_2Iq)uRpXGvc56ax580h zr=z}snDs4C_0_OGv=_3zf3dzMM}1w6`ubzmccH4Umi3{%koEnW^{sN$*X^jUFJ^rg zsru?zAKD99AMU$wAKUDxug6heZ_N4@s`?sOAKD99-``l@YDay&j{16H*7qV+UlZ#? zdm-z4j`g)T>eKiAooRJp-t~Qd8~0D@eNx)}v+KTpA@BQJ$&2F;_tW-$Q=el#8e-fp zqplguZ{H8(`@Vl4$Gy!l?){EB>SEM^`{IjvT%jJ32}x_NTWBxjarIx;x6V=DfTOMLP=XfI@a|6_gaj`{{2_0`0zuSV5p@B7hS$ogJjed`_d4LRzoj#=NOs=hML zAKD99A09a2b>88qZ`e^^GG={?RDI>F5AB7lZwl+%;HYoJQD1q?`Yu!TC0QTZ3t8V( z*4OE%Z`4s=S3_|>ZMv-!~; zmA}yAcPYoOJ5S@cOpTwtuA@DwzPRIeg&IGbAMH{33q5{|IDVV+G=5jA@hju&7wu8? z#T~!pYW!?|v`6JH^!Q!I@$1Rc_+6#OubktD_Ne;ej^EX4{A_--N98Z{_$}u6ZOPO4 zU8Ba&-uIwAs=m16SEt6$=0|%}{z8x6JdNLr)%e-_Dzrz{7kB)wRpV##qdh8r zp~r6t$8T#M#t-jXW-^by4~sKS$bFZA(rFvo9Op2qKbHGcMf3+++$#T~yJ)cD!_XphQY=<%Du@$1ji z_}!?+&)#pLJ*vLAO=|q?{TAAz>We#mH>>fp`OzMg zztH1%631^KPviFzHGcMf3+++$#T~yDYW!?|v`6JH^!T03@!OH7@q4KnKYPE0_Ne;e zj^E4F_}TnukIG-@@jHd%H<+jKYgFTB@3+t%RbSlkYf|H9^P@c~f1$^(jN`X6Pvf^z zjb9DlkD)!PzPRIeiyA+hAMH{33q5|Pa{Pw!G=8_L@vG(dp*^a;xZ}43E#e!KECez&RdtK;~gJ*vLA<9E9nKbs%zQTYo!eur@UhVwLjFIVH&!0|(SRDE&B zuUUaYRDE&BuSJcY&5!n|{DmIBBRGD0^E7_1QsdXo@k4u5eR0Qc zjT%3jAMH{33q5`%9KW$Vjo&?L{5m*(XpgEd?)bH;@w55S9+kh)<98&-Z(p9qZ><`? zPL3biqw0%0e)p>Jv-!~;mA}yAcNE7DKi~=fQK=W7mmdKhe2&g_jBA#qeU9!}UxDYt z_pv_v{JD$chxVxY;*MV%^V{doHb2^<@)vsij^_9k z1#|d^UOygEFs7cpY(UFZ=4m?Uq0_W2J?Z>-N{=mKg2zLzaaU*neJo#BlAff zTi=8_q`isjQ&G?O`aK6P?mHglyuv5_b8ob#^O(A&jw;qs=BVTQG3&6rKlJ2XPhNYU zRLtWX?NPk9lXn(*dp*V-^$DEHhDBlv+hxQC#nUBj@UwMrAKz(mi zK6V_@p5Y_)**bjZse}3LJlQ(Xo{?YbxQlhnjyX?{s5-0<+B1Bz%u`Fud3uxbE#*9+ zJ;PV(Tf+L1G3V*c%E#7+_6#4X&(`5PPaBxu&XcVJ?HT!{j=Nb$Rm^#Mi>kx=pgqGU z%RIHkoTs-c-!je<+B1BmJ~<7U^K^C0d3u}jvGt)n!$<0~b@M zzVoz^`RzQ}I?$exU+Q=j>sTCfp5CSEus&$d@X0by9Wm$WQRSQDJfS_qSL(Zl_0`6l zr*|tKTOZmpe55{GhwnUfF~6NBTL;=R@=G0SSjY01^Yk87hxI{whEJAx>Wn#0?^V9l zoF}wr_)2}(vc9^Q^YlLDW9vhEhL6-|>+qeYP0VlS$<~4PjQmo^J*=ZX<~+S$)nR?m zp5c>ap1NYr(+8Aq4d)5%8NO2A^{lTU<~)5+`Pllp*)(eyL+E>sS?Yo<6GTus&$d@X0byJu&C$W6HOV^Mv*cU#ag{*4G?! zo<6R8Y<+0Y@R9m#9lrC_!~AxhY#nIN$S-xQU>&Pt&eJDU9o7f!89rI&sW;|4eNy?F z*NeQK(4OHd_2G9X@%*GE<~)5$`PlljX6)B zR&`h(v}gEanWw&(^Yj_z+XQ}@C$wkyN_{g~Ut7$1`mFM?^`Sk(N9wb6_|8)=^V@l{ zb)Y>Xztr(E*0C<;Jbg~pVSUh^;ge;a`eV-1=ap|W_+_5Zp5ZI?ox=LsW6skTl#i_s z?HN8&pRL1pp0+Z-ohMrd+B5P?9gVDGeaw0KqN>CCpgqGU%RCLloTo1--xkgj+B1Bm zzSCG=N6dNpvhuO@p*_P#>a%tD&Ql-r+j+8epgkkM)M1Vj@!1e_p1wjK`+VB^pgqGU z%RCLnoTslU-!{$@+B1BmzB4#aoiXR>Ys$yghxQB~sn6EoJ5Sq~-_Db*1MM04rH+-X zV`I#D`nsya`k+0-C(Aqy#hj;aDBpI@6WTL;rM`03*A;V~zNvg{eQ3|{k@{>MzVp=2 z{C1vf9ca(UFLm6)IyS|er*Ek`tPk2Ve6q~baLjpnO!;IZxkHbyy#? zXZU29r_q@6^nK;q#d$(|hOg9D!TNe*&eIQ+kF5{w89q{+qeYLFTvfWa~hCMt-T|<*Z{{%z65Ws>AxAJ;NuMzVoz``RzQ}I?$exU+QRP9ou8h)6Z2M)(7nwK3V3e zxH#3{cbw>~zdi)cPo_$9Y24VSUh^;e&bNeE8P!TUAFtIOY6?_Kf^e z#~rL=D5?(eujBgmL9F)@-9Y_w>UL_gPEPXSAJ(HC3qR3EGXE~-Ps)S%@%3<$uUX~q zQ2Fie2)ptx=lZ~5NB&~wyO+FnJ)|q&T;|)&d|isSMCG&NlJYvKgr{)O7e?LG-00QI755JaVGQJ zlg2T=UQyzH#^YmeO#fl!-#~w~NBQ?U{C`6Kv6%k9Q~q`IM|+fipTqyh^xqfL|M$wj zmi}mu^6z)}|AGDqSucsW3CDYu`F~3J*U%sBQT_uC|EK6*6w`mV@~@^p+N1mj9sa+g ze{oFzKPdks{m~xfKjiR#lK#_U`aiAw%ju8yDF0!H{}c2tiRu4GCykNW_e_oIA@9X>y#&n$<}Ag<35*O@=FPWyc}TPNDn``h2&ZHn?2 z-Wmx+f84jE*FTqB<>Z=hekHbWxH@8T)sbsqOs>BvE_>ZYdlXk^Os?z5RTGozImK0jJaT-YJ&LO zdBs&rF0@B+b;snoiCncYx%Mh9b3Dj+p*@PLCnnc%+g!If#ZetD6Za^TqlsL zE+*GM6ju|u&>qFr7nAEGa@EJ=`lsS*CKuYHxcXyqm659uhqZipljK#nnMBv`29b$K;w# zuI89r`xI9vxzHZPH4>BS0&=a6$@O2w)kQ9}M{$kDWz=&~_~LlUs8{a$jgM?Q#SeMx z_rCFYLq>mjF8UJIyMg{CWoiE6Q$v3HT)R6*JFqKee-(}T$JlPirHK7)yZt_XxxCSf>c5ZUKB2#i;~!b~ zM%LY?#_|7k-ASp(9uIh~K8>#<)Q{)tCE%C)a zYMhcBC$ytJ+h329ZMWl8tj5XaL3>6X8K*rQr*6kM+4I+g^NsLComcU?IGFzS^VxpZ zfp+81^M|QJ&QG;G4?WCwd)_MJc>?F-@#lcjztF#9assa>n>ik%!k>OU>6dv+ufvY} zA*_40)PvWtxzCdG0ov31o4RHH*EsGy^l3QN&*xC(W9Jv`Q9fU#&z6`z)0Iy-=Mn8u zK3}0vZ%m)VlusFb&>rPu?xW;5*c#L4aOG1Es`IOKH?NL5oqR+ON zJ|)UWUM}XmiuNd6~sPX?e z$A4E$pQDsd1CJZDNBMk=KEpA6j#fT(^g(-+&qwLAJEqSJ53K0}|;m_ElTAA21^dz89aScPpR^;*AcWw`D~%j zSWKVel}{P+$n_WPQ9hrd&%T&GCnz6#9YK4P&nM}Vn4a1{;e40nd~l-jvDXo_NBMk$ zK1DHoW-1?h9YK4PPY->HWBA}Y68Sl_Tn{!g-}I<_5?Agx&(7j`wuEt|XQo|8vfQ7Z z#Cr988rn1J75_56f1Yq3D%{^E_YC^`uE#l9`Plf`&{xuH&$LK#RrvGWm-@dNV z9_3%_@b9O8c})M)mA`$xqdm&M&f)(J`p=Hx@4TOs*I9$ZXB&NzQ9d|7NCDus&)4kx zRr4HAUZ?nn`%k;h^>fTu#e8-00vLaPC;9AstIcQgyYhXW`KqJxSuWXj@_np*zc`EY zV4wTg>pa?{=AoVE!R_hy(~{S4MdUw2&9lvq_Kf^8PCsBB3t7it`nY7N<4jeDJ)faH z!$-z9ZXIW-I&6NlXXKYUzQ;OhV%AZv>X7oyc?sv%=c+nveza%gmpZ<~I%;FqFv%=czhueza%gmpZ=9 zI+n+*<9tR1}!)Fq8T%hW(`O%({U+VZ4>!^!aM^e>Mj68DPMtg?OBAN%=(Js;cWka5mS&g+pb(d z6Ir6P%z8=qf13B`& zp82+T<{QkB?+wh?>zQvTN4_^Q-&W6j!#VPGFkhc%zL6aH9$~(1p7};|LJJC=0ksteQd*l}R8n3x}#eq{3mnX2@pMUAq zizPnw{yxg*oyadfSij}xbMxgZ4xE;__L_ujixT(Tb?ZGV4wO2vP2<${Y@*NI-abo~ zn7obH!sou*Qa-XhKyHSZNBiLO5!Ca3?zjCSw^GM_wPRORq_fZ#1(b0vN7eY_B#IXQgl z>4VR)LVT)n_`H-pb%8!}bNJj&pY}kXc{zOUrcZC6&-@%dZS)!T^to@Mnh++z96_x^kpk@?=reATh@RYvA}5A!v}&NnAA-)83Ph@G!0GT&#JuQztS zxsmz4%6vny^UaIQ_Z{X-$Srxi`JEq`Z;<)0p1~*It#_}z3&&rp++gp&uXevb_zm;d zO)`J#e%hV?Y39ds^2zY0?xWrL|Hk~ilgyvGe|G2J$Na;S%%8e%cIQ6`_b+mN#`iEL zGd`*NWq1Cgm>=sACX+vPpX|(1ZE{GF4{KL_{K?)0R|AOrKw=nO-c{56x!pX2rWL*{RpZ2lat zU-SN6u8-Z5&7b4-`xN={dzC)pzv8M}@5cSr+PhlK^XiHBTSbXm?_Ze2^WckDB$C;l zUpzzKggn^y@oi|l>U!jDs7%PVDB;0TL5_-S@7tdx$0eQ|xqRF1Ub7H<6*2&*OTYiw zOTRWxzlO$!Ys~ZY%7kpa>aJwnmD%e4H#xrS$>CdfrK9c_=!f<8KJ%T+PmZ%WtaDDb z;|!06aDQ1Y9~|Yw*Us-8N1cbz59>to;U{%gvCgV&b(YW54d%&D z>YU3u=Vq((MEVxX2Y>mjbFQP#GWylz$xrH>$2#X_tMg3yw&ls!&gVQwo#)c8H&1?2 z=X};VKUwqt1EsE0Yg)^Ktx0oz<+fI$NC!>D!PeUt4Fjqs~j|*O@0j zsdEAAT#&8KrSu)hldr9Ffuqjl^h?MG^Ld(2xo;>+BprU&(yuyCezv{}F*KiNU^~7( zAoKp=M*6qp$zSHx)a$xmc`5yR^W-;PuNazovCUHNE%YDBlfSLkb)RBBC&K-LEVRhS z@ibnq7@B&q%~J23thXso{&}zkc)en1>cuuoy>0X#%9FpX*LC0WApJ^@ z%=7$;p{W$;EGNWbEv z@;tv{XzIl_OTF)*e@&kJZN0AhnGe#hJx_k)^DBm?UTm|}yP5uddGfdQy6$T}Nx!i? z`Hj~rhNfO@v()=p`X`Uh&+%pJb=}{5k$x?C@*A&L3{Ab*W~uk9^zX@&ztk(wC*1c} zW?h3^e@F7yubPm>n)Q7qxrc0+j?F1SNQv5cs|jTC%^G}J@2mu>ED$ne_OBX z{^}R>8_JX4c)gzYSNMB6=JUp5@;kq-`z!pt4EWXM$#1+~&-<&V>EDrWf6x0J{Ej{9 zAMo;@mZ+Cy9@#$6!tZb+U-7Yi^ViV0+&nj~=X2xxZfi8puh%4#<~en;0?(=4pI_p4 zhT%8g({Igf_sF_rS%1*DY!TisU%w==C|f=Fomu2>_RQbVxJc^3dSYygu*}*#hhMhn zdRbpAAJ$`=W!)ftcNBgf@btUu?%N{r%ZJ5|&tvgBe#k%In_ngbbxh=U=g055A^(_X z{*|)88u=S5DkFSkFdTK@cfsIOE_*T_E{Q1l0heZir|EuUK5z5)zsb&j{`}>0Fn|9> zJOBCfm(QpC{cp4Le`4O|Up_bT_rJr=fByXC^BjNwSK0Z`pFclmX_Wi3X^B=j0cE>S zzR%8op8YH21mNTU8aw|p^EUsc{z^~(hwc35*?*3w|08z(^Xy;c>Hju6|9SSG>*@b4 zJO3x;cmC&j`oGW4f1ds4d-{LK&VQc$t3CZcZs$MG{tG<)KW*p#cQW#E|2O^|Pfmci4$Iy5guMFw^$+a) zd-}^`l{=AlZ5y6*$@6x3(3Q@u^Z(S&uV?=8=W28O)?=<-+q%$jT%3BpbM+04!iD!8 zvd!}S&0mn~$KG7a%FS=A`>C(k*t&2rovPoFVQpB4A4F~7%f zXX7ffZC&ZNe(fasl%MK%e8}_LIvjVGE>6f+*7IiirmYW@MYHk`uUkDYr_#64(>KCL z-v60(+F93IoX{ge0cS`U04eMTqmBiC&+ZnD05igSJ{=u>u@-*FK! zKXRNKA34t5K6B|)H+dg9FXmpazkojNo<6s(zC*5S_+1m(X8GRyi5Zr%&p0m8pqJ`6hEV{>z!S_;kN{PJNy-H8Ce4pL=8(mu1YG^v!EN zN12+a%8~af=56rIyFwm>;ykrxVMW?;FBb*JdFjQ>-yT1I+VSqre?9Z}#?PO2oV)Yi z#Qejt^UFdCb3Y^7EYDG2%KRm>{EnCX<1Y(SOn%vB$-k2MYhve@g+3;~Y_sIQjrm(* z=a+?3CckX6ja8qoxlb5bJob~z0 za-4Fe=kb+sf7zINACcA9{rUS_=-)7TfAhIR_PX8vZ>N9Pd4InD&UW0p=l?_W@0z^7+y}^dkVzi@AEp10ufN;~ z8$Yu?rzo+s?gGBvm!@9lOJtj6{pBa@e3$#pcSGZ4SHjP%*O2XsOn-ZxS(-YZ3P6_g z>8I^{d-{8v=gjw5g|D9e_1XMCPybF&e>v}ReCy3~k_aAs{)@%)Wjp_#JQ4o-{1?lA zn>`-R_B$RT{Pp=SmjAcx@i2LReg2E(|2X}-ChxD$f3f_3VCR4G{`&kE%YV?$|2dPM ze|`Ro<^OX#|DOJ-`>UeFHRk*$@6WN#a=!bOonO!Vsnk+m_3Ha@{#$m}y=EQe z8u=k8b3R;s_pR98(Rh#feW+XSx!|(Y`A4={c%C57k3D(B-kg8LE_L1?zyG>rE&T6k zyutWhCcpHX?S9kz-jX~gEjib3o^$&yHP6KtCuE!DKKCisTkGlTJKp!*mOkEM;&^`W zNBXzt%|A8nx8I&R4jS$AjK<^zc*=^Wz$y zN-v)0$x~Nwo;hAT|0GXW!Fj5@c>Y74;ezwb_2PMfJmu#V`uLgW#WPKA_3?R7OTl^O zd+{7Zp1y+fRD1CpN}j~|g`TekUOY#Tr?%ic73Mxgt{PcCPd%DEorUKy_ied&jw8=d z;d#t`Y%ZP?$y0VgfsUV5D_e12v(oc=dJ1`(3(n(rJw2T~y#?p-yPlp!p0R@S_+3xW zB~MMV(8rJ8_4ESrbQGM&?|M3iJc9-2@w=YRCr@cbq36r*dU_Fgnml=yUA;`63(5OL zY{%DkOf`QG2Jc(&2``?D7jtXhM@)8W^Vqx-^X&J1LH?!tPNTowPK+x`*mk?s`wwP( z5x0kOYx^Cp^dS?pn@fhv=gGF5hXzT-PS+K(?qGtJ^q`lT_n5M~#2-(uw&htCd#yE7bTVm99|ZTdC%EjvBu? zs@|mbSNW2vf2HD|qsC{B%2%QGS1SI>)O=lc?G3W7aB5(O+0VUReHe$?dFoN z`3pQsnio2chiPt?^)CuLyv z&zJBiJy-RwRQs!xo~v}V(t^~?j$6!Z52u}$3v;=ieOvz2_Qb%s+DtqJ*khqTX2 zb>6cPCwaGf=9P7ZhEwN}j~h&$-M)G5zAZA3JhnD@_W9@g zO~^8Ed9E=vu~Pm5RJQq+$2_Kn8O(M_7k1-vi6SeIfJD_RlZR!?BJX-@}m)l@Krd zdfqN#-sPToBfhT{nRg-cuJ+8k|Mxu7e+LNTF7Jo3jQfk|vmv%m`tJb2N8Yz&@wu2j zTVwmA{|*p*pj@R+|+5dY$DIfLs zPqfda^qC#kXaDa4rF_)iLD4>o=u;opXaDa58J|k^_fWLYW%OAW*JuCl1f_h`-$l_r zi|MmDuFwA83rhK@zmKAQE~n3qxIX)THz?(!{!WVaSwf$^aeemxeo)Fs{k;_Jvy?tF z<-`{2xULxgou8DC`nyNkr>a?F++!`!c@BA>rgW!8i5=cpiu zY_o7QkYiQ;ILx~E{T!9#kZl%@o5-;#e;jGQ%O%IhoH^u>Z5EE3$+0tk9BIGL1&%6m z$TkbdOUN-@Zs_xLe5CzO7dYmUL$+BsR*++H{y5BeEcJUe_i4|BQ6=m5z#-c#94pDOBF`MD-}N#a<~fCMWPMI? z3pqCCnIrZ4UWP-izh*q-cO%^Maw|D@ut7?7COH+v$sOyM=jHdFF9_A1Gryv4$69jK7oNkspLcQGOO6eN=P>W*T^#q3V|(E_%=>v4M;kfz6`sSqpLcQGPmU`2 zK%&6Msd+!|;&^}@s|(Lz-p{)@UQLe8h381UpBE6bt^(UE*WGpG+Vy{ltHP7(HRPHp zA2b#C_%Y*E>B;pVxmFa6%l=Mf_Uqzn$+4;M99gf6?c~^5c#f>s#fQi-eMzB?m+^VY zdR=@SITjb5BkOhXVREc1JV(~+;(BsyEj&lo>*DLlv8V7HS+9$4Ajd5Ez&Yo6ZG64Q z{`Iwbon$HUNk6+4X|&zicDuFhc8hlasrMhUmHY6t_l<0yxW4v{=r8LDJAL>i-)Ey)<*MtE_biJtCjO0dTQeSgtTWle%>O9aa^{?s;?+< zdg9+^9xT@x%#&I_UW8_|{~ZF1*NLltYF&8I`1crd^-rz;F5>$1qC~F#sde7_zkisk ze`-Bf4;QG zSuuTOW9kdtn!LifY|;N|un zyxcCZ%iR>qg(VOlOeFI?D*qNAM$69rSlCmOvCo04M2%5bX{`DRe$+P_gJm2}p?at5 zvhH&m=OZ;uexFC8AF7Q!)%WZDHp&<4YA&DOc5~_9j`LQKjC*EWs)h5p9=DW#(ygvX z9_BCqEbf;$%!Il%t$WjU({}UUIM%Oe{hPL%wwtp!(8UEmjFT2N2QEY}HULRc+asU7T