1
0
mirror of git://projects.qi-hardware.com/nn-usb-fpga.git synced 2025-04-21 12:27:27 +03:00

Adding a simple plasma example read write char short int, adding simulations

for this example
This commit is contained in:
Carlos Camargo
2010-04-30 22:21:55 -05:00
parent 0348078440
commit 622f59856f
16 changed files with 212 additions and 630 deletions

View File

@@ -415,7 +415,6 @@ package mlite_pack is
component plasma
generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED";
ethernet : std_logic := '0';
use_cache : std_logic := '0');
port(clk : in std_logic;
reset : in std_logic;
@@ -427,8 +426,6 @@ package mlite_pack is
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
mem_pause_in : in std_logic;
no_ddr_start : out std_logic;
no_ddr_stop : out std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0));