diff --git a/lm32/logic/sakc/system_tb.v b/lm32/logic/sakc/system_tb.v index ec3d895..9ea8c06 100644 --- a/lm32/logic/sakc/system_tb.v +++ b/lm32/logic/sakc/system_tb.v @@ -47,9 +47,13 @@ always #(tck/2) clk <= ~clk; /* Simulation setup */ initial begin - $dumpfile("system_tb.vcd"); - $dumpvars(-1, dut); + + + $dumpfile("system_tb.vcd"); + //$monitor("%b,%b,%b,%b",clk,rst,uart_txd,uart_rxd); + $dumpvars(-1, dut); +// $dumpvars(-1,clk,rst,uart_txd,uart_rxd); // reset #0 rst <= 0; #80 rst <= 1; diff --git a/sie_fs/etc/init.d/start b/sie_fs/etc/init.d/start new file mode 100755 index 0000000..fb71fef --- /dev/null +++ b/sie_fs/etc/init.d/start @@ -0,0 +1,4 @@ +#!/bin/sh +/usr/bin/jtag /root/scripts/jtag/load_ADC_test +/usr/bin/sgen -f 1000 & +/root/binaries/ADC -qws -nomouse & diff --git a/sie_fs/etc/inittab b/sie_fs/etc/inittab new file mode 100644 index 0000000..3a3ae8f --- /dev/null +++ b/sie_fs/etc/inittab @@ -0,0 +1,8 @@ +::sysinit:/etc/init.d/rcS S boot +::shutdown:/etc/init.d/rcS K stop +tts/0::askfirst:/bin/ash --login +#ttyS0::askfirst:/bin/ash --login +tty1::askfirst:/bin/ash --login +tty2::askfirst:/bin/ash --login +tty3::askfirst:/bin/ash --login +tty4::askfirst:/bin/ash --login diff --git a/sie_fs/root/.jtag/rc b/sie_fs/root/.jtag/rc new file mode 100644 index 0000000..eacc985 --- /dev/null +++ b/sie_fs/root/.jtag/rc @@ -0,0 +1,8 @@ +cable jz47xx +detect +pri +instruction CFG_OUT 000100 BYPASS +instruction CFG_IN 000101 BYPASS +instruction JSTART 001100 BYPASS +instruction JPROGRAM 001011 BYPASS + diff --git a/sie_fs/root/.viminfo b/sie_fs/root/.viminfo new file mode 100644 index 0000000..ce4e69d --- /dev/null +++ b/sie_fs/root/.viminfo @@ -0,0 +1,61 @@ +# This viminfo file was generated by Vim 7.1. +# You may edit it if you're careful! + + +# hlsearch on (H) or off (h): +~h +# Command Line History (newest to oldest): +:x! + +# Search String History (newest to oldest): + +# Expression History (newest to oldest): + +# Input Line History (newest to oldest): + +# Input Line History (newest to oldest): + +# Registers: +""- CHAR 0 + / + +# File marks: +'0 2 32 /etc/init.d/start +'1 31 7 ~/jtag_cmds +'2 9 7 ~/load_bitstream_jtag + +# Jumplist (newest first): +-' 2 32 /etc/init.d/start +-' 1 0 /etc/init.d/start +-' 31 7 ~/jtag_cmds +-' 1 0 ~/jtag_cmds +-' 9 7 ~/load_bitstream_jtag +-' 1 0 ~/load_bitstream_jtag +-' 9 7 ~/load_bitstream_jtag +-' 1 0 ~/load_bitstream_jtag +-' 31 7 ~/jtag_cmds +-' 1 0 ~/jtag_cmds +-' 9 7 ~/load_bitstream_jtag +-' 1 0 ~/load_bitstream_jtag +-' 9 7 ~/load_bitstream_jtag +-' 1 0 ~/load_bitstream_jtag + +# History of marks within files (newest to oldest): + +> /etc/init.d/start + " 2 32 + ^ 2 33 + . 2 20 + + 2 20 + +> ~/jtag_cmds + " 31 7 + ^ 31 8 + . 31 7 + + 31 7 + +> ~/load_bitstream_jtag + " 9 7 + ^ 9 8 + . 9 7 + + 9 7 diff --git a/sie_fs/root/FPGA/ADC.bit b/sie_fs/root/FPGA/ADC.bit new file mode 100644 index 0000000..d1ad2ab Binary files /dev/null and b/sie_fs/root/FPGA/ADC.bit differ diff --git a/sie_fs/root/FPGA/blink.bit b/sie_fs/root/FPGA/blink.bit new file mode 100644 index 0000000..44af986 Binary files /dev/null and b/sie_fs/root/FPGA/blink.bit differ diff --git a/sie_fs/root/FPGA/plasma.bit b/sie_fs/root/FPGA/plasma.bit new file mode 100644 index 0000000..fc3aa38 Binary files /dev/null and b/sie_fs/root/FPGA/plasma.bit differ diff --git a/sie_fs/root/FPGA/sram_bus.bit b/sie_fs/root/FPGA/sram_bus.bit new file mode 100644 index 0000000..ba74f4a Binary files /dev/null and b/sie_fs/root/FPGA/sram_bus.bit differ diff --git a/sie_fs/root/FPGA/system.bit b/sie_fs/root/FPGA/system.bit new file mode 100644 index 0000000..39da0cb Binary files /dev/null and b/sie_fs/root/FPGA/system.bit differ diff --git a/sie_fs/root/auto_test/TestADC b/sie_fs/root/auto_test/TestADC new file mode 100755 index 0000000..afb03fb Binary files /dev/null and b/sie_fs/root/auto_test/TestADC differ diff --git a/sie_fs/root/auto_test/jz_init_sram b/sie_fs/root/auto_test/jz_init_sram new file mode 100755 index 0000000..d77a2b9 Binary files /dev/null and b/sie_fs/root/auto_test/jz_init_sram differ diff --git a/sie_fs/root/auto_test/jz_test_gpio b/sie_fs/root/auto_test/jz_test_gpio new file mode 100755 index 0000000..df2f071 Binary files /dev/null and b/sie_fs/root/auto_test/jz_test_gpio differ diff --git a/sie_fs/root/binaries/ADC b/sie_fs/root/binaries/ADC new file mode 100755 index 0000000..be2a9db Binary files /dev/null and b/sie_fs/root/binaries/ADC differ diff --git a/sie_fs/root/binaries/bsdl2jtag b/sie_fs/root/binaries/bsdl2jtag new file mode 100755 index 0000000..8f159af --- /dev/null +++ b/sie_fs/root/binaries/bsdl2jtag @@ -0,0 +1,48 @@ +#!/bin/bash +# +# $Id: bsdl2jtag 1467 2009-03-28 00:31:24Z arniml $ +# +# Convert a BSDL file to a jtag part description +# +# Copyright (C) 2009, A. Laeuger +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# + +scriptname=`basename $0` + +function usage () +{ + cat < +Converts a BSDL file to a jtag part description. + +Parameters + bsdl-file : Name of BSDL file + jtag-file : Name of converted jtag description file + +EOF +} + +if [[ -z $1 || -z $2 ]]; then + usage + exit 1 +fi + + +echo "bsdl dump $1" | jtag > $2 + +exit 0 diff --git a/sie_fs/root/binaries/devlist.txt b/sie_fs/root/binaries/devlist.txt new file mode 100644 index 0000000..8ea6f2e --- /dev/null +++ b/sie_fs/root/binaries/devlist.txt @@ -0,0 +1,20 @@ +# IDCODE IR Length Text +0140d093 6 XC3S50 +01414093 6 XC3S200 +0141c093 6 XC3S400 +01428093 6 XC3S1000 +01434093 6 XC3S1500 +01440093 6 XC3S2000 +01448093 6 XC3S4000 +01450093 6 XC3S5000 +05045093 8 XCF02S +00608093 5 XC2S15 +0060c093 5 XC2S30 +00610093 5 XC2S50 +00614093 5 XC2S100 +00618093 5 XC2S150 +0061c093 5 XC2S200 +11c10093 6 XC3S100E +11c1a093 6 XC3S250E +41c22093 6 XC3S500E + diff --git a/sie_fs/root/binaries/enable_rx b/sie_fs/root/binaries/enable_rx new file mode 100755 index 0000000..1250d58 Binary files /dev/null and b/sie_fs/root/binaries/enable_rx differ diff --git a/sie_fs/root/binaries/flash_kernel.sh b/sie_fs/root/binaries/flash_kernel.sh new file mode 100755 index 0000000..055c50e --- /dev/null +++ b/sie_fs/root/binaries/flash_kernel.sh @@ -0,0 +1,3 @@ +#!/bin/bash +sudo usbboot -f ./usbboot_2gb_nand.cfg -c "boot" +sudo usbboot -f ./usbboot_2gb_nand.cfg -c "nprog 1024 openwrt-xburst-qi_lb60-uImage.bin 0 0 -n" diff --git a/sie_fs/root/binaries/inittab b/sie_fs/root/binaries/inittab new file mode 100644 index 0000000..1d00333 --- /dev/null +++ b/sie_fs/root/binaries/inittab @@ -0,0 +1,11 @@ +::sysinit:/etc/init.d/rcS S boot +::shutdown:/etc/init.d/rcS K stop +tts/0::askfirst:/bin/ash --login +tty1::askfirst:/bin/ash --login +tty2::askfirst:/bin/ash --login +tty3::askfirst:/bin/ash --login +tty4::askfirst:/bin/ash --login +tty5::askfirst:/bin/ash --login +tty6::askfirst:/bin/ash --login +tty7::askfirst:/bin/ash --login +tty8::askfirst:/bin/ash --login diff --git a/sie_fs/root/binaries/install_binaries b/sie_fs/root/binaries/install_binaries new file mode 100755 index 0000000..326e9ee --- /dev/null +++ b/sie_fs/root/binaries/install_binaries @@ -0,0 +1,9 @@ +mkdir -p /usr/share/xc3sprog +cp xc3sprog /usr/bin +cp devlist.txt /usr/share/xc3sprog/ +mv /etc/init.d/start /root/ +cp sgen /usr/bin +cp sweepgen /usr/bin +cp inittab /etc/ +cp jtag /usr/bin +cp bsdl2jtag /usr/bin diff --git a/sie_fs/root/binaries/jtag b/sie_fs/root/binaries/jtag new file mode 100755 index 0000000..e9398d9 Binary files /dev/null and b/sie_fs/root/binaries/jtag differ diff --git a/sie_fs/root/binaries/load_u-boot.sh b/sie_fs/root/binaries/load_u-boot.sh new file mode 100755 index 0000000..daa40c1 --- /dev/null +++ b/sie_fs/root/binaries/load_u-boot.sh @@ -0,0 +1,2 @@ +sudo usbboot -f ./usbboot_2gb_nand.cfg -c "boot" +sudo usbboot -f ./usbboot_2gb_nand.cfg -c "nprog 0 openwrt-xburst-qi_lb60-u-boot.bin 0 0 -n" diff --git a/sie_fs/root/binaries/program_rootfs.sh b/sie_fs/root/binaries/program_rootfs.sh new file mode 100755 index 0000000..7bdd7c0 --- /dev/null +++ b/sie_fs/root/binaries/program_rootfs.sh @@ -0,0 +1,4 @@ +#!/bin/bash +ROOTFS=openwrt-xburst-qi_lb60-root.ubi +sudo usbboot -f ./usbboot_2gb_nand.cfg -c "boot" +sudo usbboot -f ./usbboot_2gb_nand.cfg -c "nprog 2048 $ROOTFS 0 0 -n" diff --git a/sie_fs/root/binaries/sgen b/sie_fs/root/binaries/sgen new file mode 100755 index 0000000..e73be78 Binary files /dev/null and b/sie_fs/root/binaries/sgen differ diff --git a/sie_fs/root/binaries/sweepgen b/sie_fs/root/binaries/sweepgen new file mode 100755 index 0000000..749b0da Binary files /dev/null and b/sie_fs/root/binaries/sweepgen differ diff --git a/sie_fs/root/binaries/usbboot_2gb_nand.cfg b/sie_fs/root/binaries/usbboot_2gb_nand.cfg new file mode 100644 index 0000000..3406934 --- /dev/null +++ b/sie_fs/root/binaries/usbboot_2gb_nand.cfg @@ -0,0 +1,59 @@ +# +# usbboot configuration file +# +# Utility to respond to the Ingenic XBurst USB boot protocol, provide +# initial boot stages and ability to access NAND on device. +# +# Authors: Ingenic Semiconductor, Inc. +# Xiangfu Liu +# Marek Lindner +# Wolfgang Spraul +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see . + +# [PLL] +EXTCLK = 12 #Define the external crystal in MHz +CPUSPEED = 252 #Define the PLL output frequency +PHMDIV = 3 #Define the frequency divider ratio of PLL=CCLK:PCLK=HCLK=MCLK +BOUDRATE = 57600 #Define the uart boudrate +USEUART = 0 #Use which uart, 0/1 for jz4740,0/1/2/3 for jz4750 + +# [SDRAM] +BUSWIDTH = 16 #The bus width of the SDRAM in bits (16|32) +BANKS = 4 #The bank number (2|4) +ROWADDR = 13 #Row address width in bits (11-13) +COLADDR = 9 #Column address width in bits (8-12) +ISMOBILE = 0 #Define whether SDRAM is mobile SDRAM, this only valid for Jz4750 ,1:yes 0:no +ISBUSSHARE = 1 #Define whether SDRAM bus share with NAND 1:shared 0:unshared +DEBUGOPS = 0 + +# [NAND] +NAND_BUSWIDTH = 8 #The width of the NAND flash chip in bits (8|16|32) +NAND_ROWCYCLES = 3 #The row address cycles (2|3) +NAND_PAGESIZE = 4096 #The page size of the NAND chip in bytes(512|2048|4096) +NAND_PAGEPERBLOCK = 128 #The page number per block +NAND_FORCEERASE = 1 #The force to erase flag (0|1) +NAND_OOBSIZE = 128 #oob size in byte +NAND_ECCPOS = 12 #Specify the ECC offset inside the oob data (0-[oobsize-1]) +NAND_BADBLOCKPOS = 0 #Specify the badblock flag offset inside the oob (0-[oobsize-1]) +NAND_BADBLOCKPAGE = 127 #Specify the page number of badblock flag inside a block(0-[PAGEPERBLOCK-1]) +NAND_PLANENUM = 1 #The planes number of target nand flash +NAND_BCHBIT = 4 #Specify the hardware BCH algorithm for 4750 (4|8) +NAND_WPPIN = 0 #Specify the write protect pin number +NAND_BLOCKPERCHIP = 0 #Specify the block number per chip,0 means ignore + +#The program will calculate the total SDRAM size by : size = 2^(ROWADDR + COLADDR) * BANKNUM * (SDRAMWIDTH / 4) +#The CPUSPEED has restriction as: ( CPUSPEED % EXTCLK == 0 ) && ( CPUSPEED % 12 == 0 ) +#For jz4750, the program just init BANK0(DSC0). +#Beware all variables must be set correct! diff --git a/sie_fs/root/binaries/xc3sprog b/sie_fs/root/binaries/xc3sprog new file mode 100755 index 0000000..7e4ca09 Binary files /dev/null and b/sie_fs/root/binaries/xc3sprog differ diff --git a/sie_fs/root/drivers/blinker.ko b/sie_fs/root/drivers/blinker.ko new file mode 100644 index 0000000..3d2b099 Binary files /dev/null and b/sie_fs/root/drivers/blinker.ko differ diff --git a/sie_fs/root/drivers/irq.ko b/sie_fs/root/drivers/irq.ko new file mode 100644 index 0000000..eb8a301 Binary files /dev/null and b/sie_fs/root/drivers/irq.ko differ diff --git a/sie_fs/root/drivers/irq_main b/sie_fs/root/drivers/irq_main new file mode 100755 index 0000000..2d475ca Binary files /dev/null and b/sie_fs/root/drivers/irq_main differ diff --git a/sie_fs/root/scripts/jtag/jtag_cmds b/sie_fs/root/scripts/jtag/jtag_cmds new file mode 100644 index 0000000..bdb6ad9 --- /dev/null +++ b/sie_fs/root/scripts/jtag/jtag_cmds @@ -0,0 +1,31 @@ +cable jz47xx +detect +instruction EXTEST +pri +shift ir +set signal P44 out 1 +shift dr +usleep 1000000 +Get signal P44 +set signal P44 out 0 +shift dr +usleep 100000 +Get signal P44 +set signal P44 out 1 +shift dr +usleep 100000 +Get signal P44 +set signal P44 out 0 +shift dr +usleep 100000 +Get signal P44 +set signal P44 out 1 +shift dr +usleep 100000 +Get signal P44 +set signal P44 out 0 +shift dr +usleep 100000 +Get signal P44 +instruction BYPASS +shift ir diff --git a/sie_fs/root/scripts/jtag/load_ADC_test b/sie_fs/root/scripts/jtag/load_ADC_test new file mode 100644 index 0000000..48bebd4 --- /dev/null +++ b/sie_fs/root/scripts/jtag/load_ADC_test @@ -0,0 +1,7 @@ +cable jz47xx +detect +instruction CFG_OUT 000100 BYPASS +instruction CFG_IN 000101 BYPASS +instruction JSTART 001100 BYPASS +instruction JPROGRAM 001011 BYPASS +pld load /root/FPGA/ADC.bit diff --git a/sie_fs/root/scripts/jtag/load_bitstream_jtag b/sie_fs/root/scripts/jtag/load_bitstream_jtag new file mode 100644 index 0000000..f5f4206 --- /dev/null +++ b/sie_fs/root/scripts/jtag/load_bitstream_jtag @@ -0,0 +1,9 @@ +cable jz47xx +detect +instruction CFG_OUT 000100 BYPASS +instruction CFG_IN 000101 BYPASS +instruction JSTART 001100 BYPASS +instruction JPROGRAM 001011 BYPASS +pld load binaries/blink.bit +instruction BYPASS +shift ir diff --git a/sie_fs/root/scripts/jtag/rc b/sie_fs/root/scripts/jtag/rc new file mode 100644 index 0000000..eacc985 --- /dev/null +++ b/sie_fs/root/scripts/jtag/rc @@ -0,0 +1,8 @@ +cable jz47xx +detect +pri +instruction CFG_OUT 000100 BYPASS +instruction CFG_IN 000101 BYPASS +instruction JSTART 001100 BYPASS +instruction JPROGRAM 001011 BYPASS + diff --git a/sie_fs/root/scripts/lua/gpio.so b/sie_fs/root/scripts/lua/gpio.so new file mode 100755 index 0000000..f66a6f3 Binary files /dev/null and b/sie_fs/root/scripts/lua/gpio.so differ diff --git a/sie_fs/root/scripts/lua/test/README b/sie_fs/root/scripts/lua/test/README new file mode 100644 index 0000000..0c7f38b --- /dev/null +++ b/sie_fs/root/scripts/lua/test/README @@ -0,0 +1,26 @@ +These are simple tests for Lua. Some of them contain useful code. +They are meant to be run to make sure Lua is built correctly and also +to be read, to see how Lua programs look. + +Here is a one-line summary of each program: + + bisect.lua bisection method for solving non-linear equations + cf.lua temperature conversion table (celsius to farenheit) + echo.lua echo command line arguments + env.lua environment variables as automatic global variables + factorial.lua factorial without recursion + fib.lua fibonacci function with cache + fibfor.lua fibonacci numbers with coroutines and generators + globals.lua report global variable usage + hello.lua the first program in every language + life.lua Conway's Game of Life + luac.lua bare-bones luac + printf.lua an implementation of printf + readonly.lua make global variables readonly + sieve.lua the sieve of of Eratosthenes programmed with coroutines + sort.lua two implementations of a sort function + table.lua make table, grouping all data for the same item + trace-calls.lua trace calls + trace-globals.lua trace assigments to global variables + xd.lua hex dump + diff --git a/sie_fs/root/scripts/lua/test/bisect.lua b/sie_fs/root/scripts/lua/test/bisect.lua new file mode 100644 index 0000000..f91e69b --- /dev/null +++ b/sie_fs/root/scripts/lua/test/bisect.lua @@ -0,0 +1,27 @@ +-- bisection method for solving non-linear equations + +delta=1e-6 -- tolerance + +function bisect(f,a,b,fa,fb) + local c=(a+b)/2 + io.write(n," c=",c," a=",a," b=",b,"\n") + if c==a or c==b or math.abs(a-b) posted to lua-l +-- modified to use ANSI terminal escape sequences +-- modified to use for instead of while + +local write=io.write + +ALIVE="¥" DEAD="þ" +ALIVE="O" DEAD="-" + +function delay() -- NOTE: SYSTEM-DEPENDENT, adjust as necessary + for i=1,10000 do end + -- local i=os.clock()+1 while(os.clock() 0 do + local xm1,x,xp1,xi=self.w-1,self.w,1,self.w + while xi > 0 do + local sum = self[ym1][xm1] + self[ym1][x] + self[ym1][xp1] + + self[y][xm1] + self[y][xp1] + + self[yp1][xm1] + self[yp1][x] + self[yp1][xp1] + next[y][x] = ((sum==2) and self[y][x]) or ((sum==3) and 1) or 0 + xm1,x,xp1,xi = x,xp1,xp1+1,xi-1 + end + ym1,y,yp1,yi = y,yp1,yp1+1,yi-1 + end +end + +-- output the array to screen +function _CELLS:draw() + local out="" -- accumulate to reduce flicker + for y=1,self.h do + for x=1,self.w do + out=out..(((self[y][x]>0) and ALIVE) or DEAD) + end + out=out.."\n" + end + write(out) +end + +-- constructor +function CELLS(w,h) + local c = ARRAY2D(w,h) + c.spawn = _CELLS.spawn + c.evolve = _CELLS.evolve + c.draw = _CELLS.draw + return c +end + +-- +-- shapes suitable for use with spawn() above +-- +HEART = { 1,0,1,1,0,1,1,1,1; w=3,h=3 } +GLIDER = { 0,0,1,1,0,1,0,1,1; w=3,h=3 } +EXPLODE = { 0,1,0,1,1,1,1,0,1,0,1,0; w=3,h=4 } +FISH = { 0,1,1,1,1,1,0,0,0,1,0,0,0,0,1,1,0,0,1,0; w=5,h=4 } +BUTTERFLY = { 1,0,0,0,1,0,1,1,1,0,1,0,0,0,1,1,0,1,0,1,1,0,0,0,1; w=5,h=5 } + +-- the main routine +function LIFE(w,h) + -- create two arrays + local thisgen = CELLS(w,h) + local nextgen = CELLS(w,h) + + -- create some life + -- about 1000 generations of fun, then a glider steady-state + thisgen:spawn(GLIDER,5,4) + thisgen:spawn(EXPLODE,25,10) + thisgen:spawn(FISH,4,12) + + -- run until break + local gen=1 + write("\027[2J") -- ANSI clear screen + while 1 do + thisgen:evolve(nextgen) + thisgen,nextgen = nextgen,thisgen + write("\027[H") -- ANSI home cursor + thisgen:draw() + write("Life - generation ",gen,"\n") + gen=gen+1 + if gen>2000 then break end + --delay() -- no delay + end +end + +LIFE(40,20) diff --git a/sie_fs/root/scripts/lua/test/luac.lua b/sie_fs/root/scripts/lua/test/luac.lua new file mode 100644 index 0000000..96a0a97 --- /dev/null +++ b/sie_fs/root/scripts/lua/test/luac.lua @@ -0,0 +1,7 @@ +-- bare-bones luac in Lua +-- usage: lua luac.lua file.lua + +assert(arg[1]~=nil and arg[2]==nil,"usage: lua luac.lua file.lua") +f=assert(io.open("luac.out","wb")) +assert(f:write(string.dump(assert(loadfile(arg[1]))))) +assert(f:close()) diff --git a/sie_fs/root/scripts/lua/test/printf.lua b/sie_fs/root/scripts/lua/test/printf.lua new file mode 100644 index 0000000..58c63ff --- /dev/null +++ b/sie_fs/root/scripts/lua/test/printf.lua @@ -0,0 +1,7 @@ +-- an implementation of printf + +function printf(...) + io.write(string.format(...)) +end + +printf("Hello %s from %s on %s\n",os.getenv"USER" or "there",_VERSION,os.date()) diff --git a/sie_fs/root/scripts/lua/test/readonly.lua b/sie_fs/root/scripts/lua/test/readonly.lua new file mode 100644 index 0000000..85c0b4e --- /dev/null +++ b/sie_fs/root/scripts/lua/test/readonly.lua @@ -0,0 +1,12 @@ +-- make global variables readonly + +local f=function (t,i) error("cannot redefine global variable `"..i.."'",2) end +local g={} +local G=getfenv() +setmetatable(g,{__index=G,__newindex=f}) +setfenv(1,g) + +-- an example +rawset(g,"x",3) +x=2 +y=1 -- cannot redefine `y' diff --git a/sie_fs/root/scripts/lua/test/sieve.lua b/sie_fs/root/scripts/lua/test/sieve.lua new file mode 100644 index 0000000..0871bb2 --- /dev/null +++ b/sie_fs/root/scripts/lua/test/sieve.lua @@ -0,0 +1,29 @@ +-- the sieve of of Eratosthenes programmed with coroutines +-- typical usage: lua -e N=1000 sieve.lua | column + +-- generate all the numbers from 2 to n +function gen (n) + return coroutine.wrap(function () + for i=2,n do coroutine.yield(i) end + end) +end + +-- filter the numbers generated by `g', removing multiples of `p' +function filter (p, g) + return coroutine.wrap(function () + while 1 do + local n = g() + if n == nil then return end + if math.mod(n, p) ~= 0 then coroutine.yield(n) end + end + end) +end + +N=N or 1000 -- from command line +x = gen(N) -- generate primes up to N +while 1 do + local n = x() -- pick a number until done + if n == nil then break end + print(n) -- must be a prime number + x = filter(n, x) -- now remove its multiples +end diff --git a/sie_fs/root/scripts/lua/test/sort.lua b/sie_fs/root/scripts/lua/test/sort.lua new file mode 100644 index 0000000..0bcb15f --- /dev/null +++ b/sie_fs/root/scripts/lua/test/sort.lua @@ -0,0 +1,66 @@ +-- two implementations of a sort function +-- this is an example only. Lua has now a built-in function "sort" + +-- extracted from Programming Pearls, page 110 +function qsort(x,l,u,f) + if ly end) + show("after reverse selection sort",x) + qsort(x,1,n,function (x,y) return x>> ",string.rep(" ",level)) + if t~=nil and t.currentline>=0 then io.write(t.short_src,":",t.currentline," ") end + t=debug.getinfo(2) + if event=="call" then + level=level+1 + else + level=level-1 if level<0 then level=0 end + end + if t.what=="main" then + if event=="call" then + io.write("begin ",t.short_src) + else + io.write("end ",t.short_src) + end + elseif t.what=="Lua" then +-- table.foreach(t,print) + io.write(event," ",t.name or "(Lua)"," <",t.linedefined,":",t.short_src,">") + else + io.write(event," ",t.name or "(C)"," [",t.what,"] ") + end + io.write("\n") +end + +debug.sethook(hook,"cr") +level=0 diff --git a/sie_fs/root/scripts/lua/test/trace-globals.lua b/sie_fs/root/scripts/lua/test/trace-globals.lua new file mode 100644 index 0000000..295e670 --- /dev/null +++ b/sie_fs/root/scripts/lua/test/trace-globals.lua @@ -0,0 +1,38 @@ +-- trace assigments to global variables + +do + -- a tostring that quotes strings. note the use of the original tostring. + local _tostring=tostring + local tostring=function(a) + if type(a)=="string" then + return string.format("%q",a) + else + return _tostring(a) + end + end + + local log=function (name,old,new) + local t=debug.getinfo(3,"Sl") + local line=t.currentline + io.write(t.short_src) + if line>=0 then io.write(":",line) end + io.write(": ",name," is now ",tostring(new)," (was ",tostring(old),")","\n") + end + + local g={} + local set=function (t,name,value) + log(name,g[name],value) + g[name]=value + end + setmetatable(getfenv(),{__index=g,__newindex=set}) +end + +-- an example + +a=1 +b=2 +a=10 +b=20 +b=nil +b=200 +print(a,b,c) diff --git a/sie_fs/root/scripts/lua/test/xd.lua b/sie_fs/root/scripts/lua/test/xd.lua new file mode 100644 index 0000000..ebc3eff --- /dev/null +++ b/sie_fs/root/scripts/lua/test/xd.lua @@ -0,0 +1,14 @@ +-- hex dump +-- usage: lua xd.lua < file + +local offset=0 +while true do + local s=io.read(16) + if s==nil then return end + io.write(string.format("%08X ",offset)) + string.gsub(s,"(.)", + function (c) io.write(string.format("%02X ",string.byte(c))) end) + io.write(string.rep(" ",3*(16-string.len(s)))) + io.write(" ",string.gsub(s,"%c","."),"\n") + offset=offset+16 +end diff --git a/sie_fs/root/scripts/lua/test_gpio.lua b/sie_fs/root/scripts/lua/test_gpio.lua new file mode 100644 index 0000000..7fc76b0 --- /dev/null +++ b/sie_fs/root/scripts/lua/test_gpio.lua @@ -0,0 +1,28 @@ +package.cpath = "./?.so" +require "gpio" + + PORT_A = 0 + PORT_B = 1 + PORT_C = 2 + PORT_D = 3 + + function pulse() + gpio.set_pin(pio,17) + delay_s(1) + gpio.clear_pin(pio,17) + delay_s(1) + end + + function delay_s(delay) + delay = delay or 1 + local time_to = os.time() + delay + while os.time() < time_to do end + end + + pio=gpio.open_port(PORT_C) + gpio.gpio_as_output(pio,17) + + for i=0,2,1 do + pulse() + end + diff --git a/sie_fs/usr/bin/bsdl2jtag b/sie_fs/usr/bin/bsdl2jtag new file mode 100755 index 0000000..8f159af --- /dev/null +++ b/sie_fs/usr/bin/bsdl2jtag @@ -0,0 +1,48 @@ +#!/bin/bash +# +# $Id: bsdl2jtag 1467 2009-03-28 00:31:24Z arniml $ +# +# Convert a BSDL file to a jtag part description +# +# Copyright (C) 2009, A. Laeuger +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# + +scriptname=`basename $0` + +function usage () +{ + cat < +Converts a BSDL file to a jtag part description. + +Parameters + bsdl-file : Name of BSDL file + jtag-file : Name of converted jtag description file + +EOF +} + +if [[ -z $1 || -z $2 ]]; then + usage + exit 1 +fi + + +echo "bsdl dump $1" | jtag > $2 + +exit 0 diff --git a/sie_fs/usr/bin/jtag b/sie_fs/usr/bin/jtag new file mode 100755 index 0000000..e9398d9 Binary files /dev/null and b/sie_fs/usr/bin/jtag differ diff --git a/sie_fs/usr/bin/sgen b/sie_fs/usr/bin/sgen new file mode 100755 index 0000000..e73be78 Binary files /dev/null and b/sie_fs/usr/bin/sgen differ diff --git a/sie_fs/usr/bin/siggen b/sie_fs/usr/bin/siggen new file mode 100755 index 0000000..48b7390 Binary files /dev/null and b/sie_fs/usr/bin/siggen differ diff --git a/sie_fs/usr/bin/sweepgen b/sie_fs/usr/bin/sweepgen new file mode 100755 index 0000000..749b0da Binary files /dev/null and b/sie_fs/usr/bin/sweepgen differ diff --git a/sie_fs/usr/bin/xc3sprog b/sie_fs/usr/bin/xc3sprog new file mode 100755 index 0000000..7e4ca09 Binary files /dev/null and b/sie_fs/usr/bin/xc3sprog differ diff --git a/sie_fs/usr/local/share/urjtag/MANUFACTURERS b/sie_fs/usr/local/share/urjtag/MANUFACTURERS new file mode 100644 index 0000000..baacc24 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/MANUFACTURERS @@ -0,0 +1,48 @@ +# +# $Id: MANUFACTURERS 856 2007-12-16 11:25:37Z kawk $ +# +# Manufacturer ID database +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] JEDEC Solid State Technology Association, "Standard Manufacturer's +# Identification Code", September 2001, Order Number: JEP106-K +# + +# bits 11-1 of the Device Identification Register +00000000110 lexra Lexr +00000000111 hitachi Hitachi +00000001001 intel Intel +00000001110 freescale Freescale (Motorola) +00000010101 philips Philips Semi. (Signetics) +00000011000 toshiba Toshiba +00000011111 atmel Atmel +00000100001 lattice Lattice Semiconductors +00000100100 ibm IBM Semiconductors +00000110100 cypress Cypress +00000110101 dec DEC +00001001001 xilinx Xilinx +00001100101 analog Analog Devices +00001101110 altera Altera +00010101011 lattice Lattice Semiconductors +00010111111 broadcom Broadcom +00101010000 broadcom Broadcom # or "Sibyte, Incorporated" ? +00101110000 brecis Brecis (PMC-Sierra) +11110000111 arm ARM diff --git a/sie_fs/usr/local/share/urjtag/admtek/adm5120/adm5120 b/sie_fs/usr/local/share/urjtag/admtek/adm5120/adm5120 new file mode 100644 index 0000000..999daca --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/admtek/adm5120/adm5120 @@ -0,0 +1,50 @@ +# +# $Id$ +# +# JTAG declarations for ADMtek/Infineon ADM5120 +# Copyright (C) 2005 Marek Michalkiewicz +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marek Michalkiewicz , 2005. +# + +register BR 1 +register BSR 1 +register DIR 32 +register EJIMPCODE 32 +register EJADDRESS 32 +register EJDATA 32 +register EJCONTROL 32 +register EJALL 96 +register EJFASTDATA 33 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction SAMPLE/PRELOAD 00010 BSR +instruction IDCODE 00001 DIR +instruction EJTAG_IMPCODE 00011 EJIMPCODE +instruction EJTAG_ADDRESS 01000 EJADDRESS +instruction EJTAG_DATA 01001 EJDATA +instruction EJTAG_CONTROL 01010 EJCONTROL +instruction EJTAG_ALL 01011 EJALL +instruction EJTAGBOOT 01100 BR +instruction NORMALBOOT 01101 BR +instruction EJTAG_FASTDATA 01110 EJFASTDATA + +initbus ejtag +endian little diff --git a/sie_fs/usr/local/share/urjtag/altera/PARTS b/sie_fs/usr/local/share/urjtag/altera/PARTS new file mode 100644 index 0000000..8974d8a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/PARTS @@ -0,0 +1,28 @@ +# +# $Id: PARTS 897 2007-12-29 13:02:32Z arniml $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Rojhalat Ibrahim +# + +# bits 27-12 of the Device Identification Register +0010000010000010 ep1c6q240 EP1C6Q240 +0010000010000011 ep1c12 EP1C12 +0010000010000100 ep1c20f400 EP1C20F400 +0111000100101000 epm7128aetc100 EPM7128AETC100 +0111000001100100 epm3064a EPM3064A +0010000010110010 ep2c8 EP2C8 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c12/STEPPINGS b/sie_fs/usr/local/share/urjtag/altera/ep1c12/STEPPINGS new file mode 100644 index 0000000..08892b5 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c12/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id$ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +# bits 31-28 of the Device Identification Register +0000 ep1c12 0 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c12/ep1c12 b/sie_fs/usr/local/share/urjtag/altera/ep1c12/ep1c12 new file mode 100644 index 0000000..30cc756 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c12/ep1c12 @@ -0,0 +1,1074 @@ +# +# $Id$ +# +# JTAG declarations for EP1C12 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# +# Note: +# The signals defined in this file are generic for any EP1C12. +# Signalnames according to Altera's package tables are set in the +# package specific scripts. +# +# **Testing Differential Pin Pairs** +# Refer to the note in the original bsd-files for issues related to +# testing differential pin pairs! +# + +register BYPASS 1 +register BSR 774 +register IOCSR 4454 +register DEVICE_ID 32 +register USERCODE 32 + +instruction length 10 +instruction BYPASS 1111111111 BYPASS +instruction IDCODE 0000000110 DEVICE_ID +instruction USERCODE 0000000111 USERCODE +instruction CLAMP 0000001010 BYPASS +instruction SAMPLE/PRELOAD 0000000101 BSR +instruction EXTEST 0000000000 BSR +instruction CONFIG_IO 0000001101 IOCSR +instruction HIGHZ 0000001011 BYPASS + +signal BSC0 +signal BSC1 +signal BSC10 +signal BSC100 +signal BSC101 +signal BSC102 +signal BSC103 +signal BSC104 +signal BSC105 +signal BSC106 +signal BSC107 +signal BSC108 +signal BSC109 +signal BSC11 +signal BSC110 +signal BSC111 +signal BSC112 +signal BSC113 +signal BSC114 +signal BSC115 +signal BSC116 +signal BSC117 +signal BSC118 +signal BSC119 +signal BSC12 +signal BSC120 +signal BSC121 +signal BSC122 +signal BSC123 +signal BSC124 +signal BSC125 +signal BSC126 +signal BSC127 +signal BSC128 +signal BSC129 +signal BSC13 +signal BSC130 +signal BSC131 +signal BSC132 +signal BSC133 +signal BSC134 +signal BSC135 +signal BSC136 +signal BSC137 +signal BSC138 +signal BSC139 +signal BSC14 +signal BSC140 +signal BSC141 +signal BSC142 +signal BSC143 +signal BSC144 +signal BSC145 +signal BSC146 +signal BSC147 +signal BSC148 +signal BSC149 +signal BSC15 +signal BSC150 +signal BSC151 +signal BSC152 +signal BSC153 +signal BSC154 +signal BSC155 +signal BSC156 +signal BSC157 +signal BSC158 +signal BSC159 +signal BSC16 +signal BSC160 +signal BSC161 +signal BSC162 +signal BSC163 +signal BSC164 +signal BSC165 +signal BSC166 +signal BSC167 +signal BSC168 +signal BSC169 +signal BSC17 +signal BSC170 +signal BSC171 +signal BSC172 +signal BSC173 +signal BSC174 +signal BSC175 +signal BSC176 +signal BSC177 +signal BSC178 +signal BSC179 +signal BSC18 +signal BSC180 +signal BSC181 +signal BSC182 +signal BSC183 +signal BSC184 +signal BSC185 +signal BSC186 +signal BSC187 +signal BSC188 +signal BSC189 +signal BSC19 +signal BSC190 +signal BSC191 +signal BSC192 +signal BSC193 +signal BSC194 +signal BSC195 +signal BSC196 +signal BSC197 +signal BSC198 +signal BSC199 +signal BSC2 +signal BSC20 +signal BSC200 +signal BSC201 +signal BSC202 +signal BSC203 +signal BSC204 +signal BSC205 +signal BSC206 +signal BSC207 +signal BSC208 +signal BSC209 +signal BSC21 +signal BSC210 +signal BSC211 +signal BSC212 +signal BSC213 +signal BSC214 +signal BSC215 +signal BSC216 +signal BSC217 +signal BSC218 +signal BSC22 +signal BSC228 +signal BSC229 +signal BSC23 +signal BSC230 +signal BSC231 +signal BSC232 +signal BSC233 +signal BSC234 +signal BSC235 +signal BSC236 +signal BSC237 +signal BSC238 +signal BSC239 +signal BSC24 +signal BSC240 +signal BSC241 +signal BSC242 +signal BSC243 +signal BSC244 +signal BSC245 +signal BSC246 +signal BSC247 +signal BSC248 +signal BSC249 +signal BSC25 +signal BSC250 +signal BSC251 +signal BSC252 +signal BSC253 +signal BSC254 +signal BSC255 +signal BSC256 +signal BSC257 +signal BSC26 +signal BSC27 +signal BSC28 +signal BSC29 +signal BSC3 +signal BSC30 +signal BSC31 +signal BSC32 +signal BSC33 +signal BSC34 +signal BSC35 +signal BSC36 +signal BSC37 +signal BSC38 +signal BSC39 +signal BSC4 +signal BSC40 +signal BSC41 +signal BSC42 +signal BSC43 +signal BSC44 +signal BSC45 +signal BSC46 +signal BSC47 +signal BSC48 +signal BSC49 +signal BSC5 +signal BSC50 +signal BSC51 +signal BSC52 +signal BSC53 +signal BSC54 +signal BSC55 +signal BSC56 +signal BSC57 +signal BSC58 +signal BSC59 +signal BSC6 +signal BSC60 +signal BSC61 +signal BSC62 +signal BSC63 +signal BSC64 +signal BSC65 +signal BSC66 +signal BSC67 +signal BSC68 +signal BSC69 +signal BSC7 +signal BSC70 +signal BSC71 +signal BSC72 +signal BSC73 +signal BSC74 +signal BSC75 +signal BSC76 +signal BSC77 +signal BSC78 +signal BSC79 +signal BSC8 +signal BSC80 +signal BSC81 +signal BSC82 +signal BSC83 +signal BSC84 +signal BSC85 +signal BSC86 +signal BSC87 +signal BSC88 +signal BSC89 +signal BSC9 +signal BSC90 +signal BSC91 +signal BSC96 +signal BSC97 +signal BSC98 +signal BSC99 +signal CLK0 +signal CLK2 +signal DATA0 +signal DCLK +signal MSEL0 +signal MSEL1 + +bit 0 I ? BSC0 +bit 1 C 1 * +bit 2 O ? BSC0 1 1 Z +bit 3 I ? BSC1 +bit 4 C 1 * +bit 5 O ? BSC1 4 1 Z +bit 6 I ? BSC2 +bit 7 C 1 * +bit 8 O ? BSC2 7 1 Z +bit 9 I ? BSC3 +bit 10 C 1 * +bit 11 O ? BSC3 10 1 Z +bit 12 I ? BSC4 +bit 13 C 1 * +bit 14 O ? BSC4 13 1 Z +bit 15 I ? BSC5 +bit 16 C 1 * +bit 17 O ? BSC5 16 1 Z +bit 18 I ? BSC6 +bit 19 C 1 * +bit 20 O ? BSC6 19 1 Z +bit 21 I ? BSC7 +bit 22 C 1 * +bit 23 O ? BSC7 22 1 Z +bit 24 I ? BSC8 +bit 25 C 1 * +bit 26 O ? BSC8 25 1 Z +bit 27 I ? BSC9 +bit 28 C 1 * +bit 29 O ? BSC9 28 1 Z +bit 30 I ? BSC10 +bit 31 C 1 * +bit 32 O ? BSC10 31 1 Z +bit 33 I ? BSC11 +bit 34 C 1 * +bit 35 O ? BSC11 34 1 Z +bit 36 I ? BSC12 +bit 37 C 1 * +bit 38 O ? BSC12 37 1 Z +bit 39 I ? BSC13 +bit 40 C 1 * +bit 41 O ? BSC13 40 1 Z +bit 42 I ? BSC14 +bit 43 C 1 * +bit 44 O ? BSC14 43 1 Z +bit 45 I ? BSC15 +bit 46 C 1 * +bit 47 O ? BSC15 46 1 Z +bit 48 I ? BSC16 +bit 49 C 1 * +bit 50 O ? BSC16 49 1 Z +bit 51 I ? BSC17 +bit 52 C 1 * +bit 53 O ? BSC17 52 1 Z +bit 54 I ? BSC18 +bit 55 C 1 * +bit 56 O ? BSC18 55 1 Z +bit 57 I ? BSC19 +bit 58 C 1 * +bit 59 O ? BSC19 58 1 Z +bit 60 I ? BSC20 +bit 61 C 1 * +bit 62 O ? BSC20 61 1 Z +bit 63 I ? BSC21 +bit 64 C 1 * +bit 65 O ? BSC21 64 1 Z +bit 66 I ? BSC22 +bit 67 C 1 * +bit 68 O ? BSC22 67 1 Z +bit 69 I ? BSC23 +bit 70 C 1 * +bit 71 O ? BSC23 70 1 Z +bit 72 I ? BSC24 +bit 73 C 1 * +bit 74 O ? BSC24 73 1 Z +bit 75 I ? BSC25 +bit 76 C 1 * +bit 77 O ? BSC25 76 1 Z +bit 78 I ? BSC26 +bit 79 C 1 * +bit 80 O ? BSC26 79 1 Z +bit 81 I ? BSC27 +bit 82 C 1 * +bit 83 O ? BSC27 82 1 Z +bit 84 I ? BSC28 +bit 85 C 1 * +bit 86 O ? BSC28 85 1 Z +bit 87 I ? BSC29 +bit 88 C 1 * +bit 89 O ? BSC29 88 1 Z +bit 90 I ? BSC30 +bit 91 C 1 * +bit 92 O ? BSC30 91 1 Z +bit 93 I ? BSC31 +bit 94 C 1 * +bit 95 O ? BSC31 94 1 Z +bit 96 I ? BSC32 +bit 97 C 1 * +bit 98 O ? BSC32 97 1 Z +bit 99 I ? BSC33 +bit 100 C 1 * +bit 101 O ? BSC33 100 1 Z +bit 102 I ? BSC34 +bit 103 C 1 * +bit 104 O ? BSC34 103 1 Z +bit 105 I ? BSC35 +bit 106 C 1 * +bit 107 O ? BSC35 106 1 Z +bit 108 I ? BSC36 +bit 109 C 1 * +bit 110 O ? BSC36 109 1 Z +bit 111 I ? BSC37 +bit 112 C 1 * +bit 113 O ? BSC37 112 1 Z +bit 114 I ? BSC38 +bit 115 C 1 * +bit 116 O ? BSC38 115 1 Z +bit 117 I ? BSC39 +bit 118 C 1 * +bit 119 O ? BSC39 118 1 Z +bit 120 I ? BSC40 +bit 121 C 1 * +bit 122 O ? BSC40 121 1 Z +bit 123 I ? BSC41 +bit 124 C 1 * +bit 125 O ? BSC41 124 1 Z +bit 126 I ? BSC42 +bit 127 C 1 * +bit 128 O ? BSC42 127 1 Z +bit 129 I ? BSC43 +bit 130 C 1 * +bit 131 O ? BSC43 130 1 Z +bit 132 I ? BSC44 +bit 133 C 1 * +bit 134 O ? BSC44 133 1 Z +bit 135 I ? BSC45 +bit 136 C 1 * +bit 137 O ? BSC45 136 1 Z +bit 138 I ? BSC46 +bit 139 C 1 * +bit 140 O ? BSC46 139 1 Z +bit 141 I ? BSC47 +bit 142 C 1 * +bit 143 O ? BSC47 142 1 Z +bit 144 I ? BSC48 +bit 145 C 1 * +bit 146 O ? BSC48 145 1 Z +bit 147 I ? BSC49 +bit 148 C 1 * +bit 149 O ? BSC49 148 1 Z +bit 150 I ? BSC50 +bit 151 C 1 * +bit 152 O ? BSC50 151 1 Z +bit 153 I ? BSC51 +bit 154 C 1 * +bit 155 O ? BSC51 154 1 Z +bit 156 I ? BSC52 +bit 157 C 1 * +bit 158 O ? BSC52 157 1 Z +bit 159 I ? BSC53 +bit 160 C 1 * +bit 161 O ? BSC53 160 1 Z +bit 162 I ? BSC54 +bit 163 C 1 * +bit 164 O ? BSC54 163 1 Z +bit 165 I ? BSC55 +bit 166 C 1 * +bit 167 O ? BSC55 166 1 Z +bit 168 I ? BSC56 +bit 169 C 1 * +bit 170 O ? BSC56 169 1 Z +bit 171 I ? BSC57 +bit 172 C 1 * +bit 173 O ? BSC57 172 1 Z +bit 174 I ? BSC58 +bit 175 C 1 * +bit 176 O ? BSC58 175 1 Z +bit 177 I ? BSC59 +bit 178 C 1 * +bit 179 O ? BSC59 178 1 Z +bit 180 I ? BSC60 +bit 181 C 1 * +bit 182 O ? BSC60 181 1 Z +bit 183 I ? BSC61 +bit 184 C 1 * +bit 185 O ? BSC61 184 1 Z +bit 186 I ? BSC62 +bit 187 C 1 * +bit 188 O ? BSC62 187 1 Z +bit 189 I ? BSC63 +bit 190 C 1 * +bit 191 O ? BSC63 190 1 Z +bit 192 I ? BSC64 +bit 193 C 1 * +bit 194 O ? BSC64 193 1 Z +bit 195 I ? BSC65 +bit 196 C 1 * +bit 197 O ? BSC65 196 1 Z +bit 198 I ? BSC66 +bit 199 C 1 * +bit 200 O ? BSC66 199 1 Z +bit 201 I ? BSC67 +bit 202 C 1 * +bit 203 O ? BSC67 202 1 Z +bit 204 I ? BSC68 +bit 205 C 1 * +bit 206 O ? BSC68 205 1 Z +bit 207 I ? BSC69 +bit 208 C 1 * +bit 209 O ? BSC69 208 1 Z +bit 210 I ? BSC70 +bit 211 C 1 * +bit 212 O ? BSC70 211 1 Z +bit 213 I ? BSC71 +bit 214 C 1 * +bit 215 O ? BSC71 214 1 Z +bit 216 I ? BSC72 +bit 217 C 1 * +bit 218 O ? BSC72 217 1 Z +bit 219 I ? BSC73 +bit 220 C 1 * +bit 221 O ? BSC73 220 1 Z +bit 222 I ? BSC74 +bit 223 C 1 * +bit 224 O ? BSC74 223 1 Z +bit 225 I ? BSC75 +bit 226 C 1 * +bit 227 O ? BSC75 226 1 Z +bit 228 I ? BSC76 +bit 229 C 1 * +bit 230 O ? BSC76 229 1 Z +bit 231 I ? BSC77 +bit 232 C 1 * +bit 233 O ? BSC77 232 1 Z +bit 234 I ? BSC78 +bit 235 C 1 * +bit 236 O ? BSC78 235 1 Z +bit 237 I ? BSC79 +bit 238 C 1 * +bit 239 O ? BSC79 238 1 Z +bit 240 I ? BSC80 +bit 241 C 1 * +bit 242 O ? BSC80 241 1 Z +bit 243 I ? BSC81 +bit 244 C 1 * +bit 245 O ? BSC81 244 1 Z +bit 246 I ? BSC82 +bit 247 C 1 * +bit 248 O ? BSC82 247 1 Z +bit 249 I ? BSC83 +bit 250 C 1 * +bit 251 O ? BSC83 250 1 Z +bit 252 I ? BSC84 +bit 253 C 1 * +bit 254 O ? BSC84 253 1 Z +bit 255 I ? BSC85 +bit 256 C 1 * +bit 257 O ? BSC85 256 1 Z +bit 258 I ? BSC86 +bit 259 C 1 * +bit 260 O ? BSC86 259 1 Z +bit 261 I ? BSC87 +bit 262 C 1 * +bit 263 O ? BSC87 262 1 Z +bit 264 I ? BSC88 +bit 265 C 1 * +bit 266 O ? BSC88 265 1 Z +bit 267 I ? BSC89 +bit 268 C 1 * +bit 269 O ? BSC89 268 1 Z +bit 270 I ? BSC90 +bit 271 C 1 * +bit 272 O ? BSC90 271 1 Z +bit 273 I ? BSC91 +bit 274 C 1 * +bit 275 O ? BSC91 274 1 Z +bit 276 I ? CLK2 +bit 277 X ? * +bit 278 X ? * +bit 279 X ? * +bit 280 X 1 * +bit 281 X ? * +bit 282 X ? * +bit 283 X 1 * +bit 284 X ? * +bit 285 X ? * +bit 286 X 1 * +bit 287 X ? * +bit 288 I ? BSC96 +bit 289 C 1 * +bit 290 O ? BSC96 289 1 Z +bit 291 I ? BSC97 +bit 292 C 1 * +bit 293 O ? BSC97 292 1 Z +bit 294 I ? BSC98 +bit 295 C 1 * +bit 296 O ? BSC98 295 1 Z +bit 297 I ? BSC99 +bit 298 C 1 * +bit 299 O ? BSC99 298 1 Z +bit 300 I ? BSC100 +bit 301 C 1 * +bit 302 O ? BSC100 301 1 Z +bit 303 I ? BSC101 +bit 304 C 1 * +bit 305 O ? BSC101 304 1 Z +bit 306 I ? BSC102 +bit 307 C 1 * +bit 308 O ? BSC102 307 1 Z +bit 309 I ? BSC103 +bit 310 C 1 * +bit 311 O ? BSC103 310 1 Z +bit 312 I ? BSC104 +bit 313 C 1 * +bit 314 O ? BSC104 313 1 Z +bit 315 I ? BSC105 +bit 316 C 1 * +bit 317 O ? BSC105 316 1 Z +bit 318 I ? BSC106 +bit 319 C 1 * +bit 320 O ? BSC106 319 1 Z +bit 321 I ? BSC107 +bit 322 C 1 * +bit 323 O ? BSC107 322 1 Z +bit 324 I ? BSC108 +bit 325 C 1 * +bit 326 O ? BSC108 325 1 Z +bit 327 I ? BSC109 +bit 328 C 1 * +bit 329 O ? BSC109 328 1 Z +bit 330 I ? BSC110 +bit 331 C 1 * +bit 332 O ? BSC110 331 1 Z +bit 333 I ? BSC111 +bit 334 C 1 * +bit 335 O ? BSC111 334 1 Z +bit 336 I ? BSC112 +bit 337 C 1 * +bit 338 O ? BSC112 337 1 Z +bit 339 I ? BSC113 +bit 340 C 1 * +bit 341 O ? BSC113 340 1 Z +bit 342 I ? BSC114 +bit 343 C 1 * +bit 344 O ? BSC114 343 1 Z +bit 345 I ? BSC115 +bit 346 C 1 * +bit 347 O ? BSC115 346 1 Z +bit 348 I ? BSC116 +bit 349 C 1 * +bit 350 O ? BSC116 349 1 Z +bit 351 I ? BSC117 +bit 352 C 1 * +bit 353 O ? BSC117 352 1 Z +bit 354 I ? BSC118 +bit 355 C 1 * +bit 356 O ? BSC118 355 1 Z +bit 357 I ? BSC119 +bit 358 C 1 * +bit 359 O ? BSC119 358 1 Z +bit 360 I ? BSC120 +bit 361 C 1 * +bit 362 O ? BSC120 361 1 Z +bit 363 I ? BSC121 +bit 364 C 1 * +bit 365 O ? BSC121 364 1 Z +bit 366 I ? BSC122 +bit 367 C 1 * +bit 368 O ? BSC122 367 1 Z +bit 369 I ? BSC123 +bit 370 C 1 * +bit 371 O ? BSC123 370 1 Z +bit 372 I ? BSC124 +bit 373 C 1 * +bit 374 O ? BSC124 373 1 Z +bit 375 I ? BSC125 +bit 376 C 1 * +bit 377 O ? BSC125 376 1 Z +bit 378 I ? BSC126 +bit 379 C 1 * +bit 380 O ? BSC126 379 1 Z +bit 381 I ? BSC127 +bit 382 C 1 * +bit 383 O ? BSC127 382 1 Z +bit 384 I ? BSC128 +bit 385 C 1 * +bit 386 O ? BSC128 385 1 Z +bit 387 I ? BSC129 +bit 388 C 1 * +bit 389 O ? BSC129 388 1 Z +bit 390 I ? BSC130 +bit 391 C 1 * +bit 392 O ? BSC130 391 1 Z +bit 393 I ? BSC131 +bit 394 C 1 * +bit 395 O ? BSC131 394 1 Z +bit 396 I ? BSC132 +bit 397 C 1 * +bit 398 O ? BSC132 397 1 Z +bit 399 I ? BSC133 +bit 400 C 1 * +bit 401 O ? BSC133 400 1 Z +bit 402 I ? BSC134 +bit 403 C 1 * +bit 404 O ? BSC134 403 1 Z +bit 405 I ? BSC135 +bit 406 C 1 * +bit 407 O ? BSC135 406 1 Z +bit 408 I ? BSC136 +bit 409 C 1 * +bit 410 O ? BSC136 409 1 Z +bit 411 I ? BSC137 +bit 412 C 1 * +bit 413 O ? BSC137 412 1 Z +bit 414 I ? BSC138 +bit 415 C 1 * +bit 416 O ? BSC138 415 1 Z +bit 417 I ? BSC139 +bit 418 C 1 * +bit 419 O ? BSC139 418 1 Z +bit 420 I ? BSC140 +bit 421 C 1 * +bit 422 O ? BSC140 421 1 Z +bit 423 I ? BSC141 +bit 424 C 1 * +bit 425 O ? BSC141 424 1 Z +bit 426 I ? BSC142 +bit 427 C 1 * +bit 428 O ? BSC142 427 1 Z +bit 429 I ? BSC143 +bit 430 C 1 * +bit 431 O ? BSC143 430 1 Z +bit 432 I ? BSC144 +bit 433 C 1 * +bit 434 O ? BSC144 433 1 Z +bit 435 I ? BSC145 +bit 436 C 1 * +bit 437 O ? BSC145 436 1 Z +bit 438 I ? BSC146 +bit 439 C 1 * +bit 440 O ? BSC146 439 1 Z +bit 441 I ? BSC147 +bit 442 C 1 * +bit 443 O ? BSC147 442 1 Z +bit 444 I ? BSC148 +bit 445 C 1 * +bit 446 O ? BSC148 445 1 Z +bit 447 I ? BSC149 +bit 448 C 1 * +bit 449 O ? BSC149 448 1 Z +bit 450 I ? BSC150 +bit 451 C 1 * +bit 452 O ? BSC150 451 1 Z +bit 453 I ? BSC151 +bit 454 C 1 * +bit 455 O ? BSC151 454 1 Z +bit 456 I ? BSC152 +bit 457 C 1 * +bit 458 O ? BSC152 457 1 Z +bit 459 I ? BSC153 +bit 460 C 1 * +bit 461 O ? BSC153 460 1 Z +bit 462 I ? BSC154 +bit 463 C 1 * +bit 464 O ? BSC154 463 1 Z +bit 465 I ? BSC155 +bit 466 C 1 * +bit 467 O ? BSC155 466 1 Z +bit 468 I ? BSC156 +bit 469 C 1 * +bit 470 O ? BSC156 469 1 Z +bit 471 I ? BSC157 +bit 472 C 1 * +bit 473 O ? BSC157 472 1 Z +bit 474 I ? BSC158 +bit 475 C 1 * +bit 476 O ? BSC158 475 1 Z +bit 477 I ? BSC159 +bit 478 C 1 * +bit 479 O ? BSC159 478 1 Z +bit 480 I ? BSC160 +bit 481 C 1 * +bit 482 O ? BSC160 481 1 Z +bit 483 I ? BSC161 +bit 484 C 1 * +bit 485 O ? BSC161 484 1 Z +bit 486 I ? BSC162 +bit 487 C 1 * +bit 488 O ? BSC162 487 1 Z +bit 489 I ? BSC163 +bit 490 C 1 * +bit 491 O ? BSC163 490 1 Z +bit 492 I ? BSC164 +bit 493 C 1 * +bit 494 O ? BSC164 493 1 Z +bit 495 I ? BSC165 +bit 496 C 1 * +bit 497 O ? BSC165 496 1 Z +bit 498 I ? BSC166 +bit 499 C 1 * +bit 500 O ? BSC166 499 1 Z +bit 501 I ? BSC167 +bit 502 C 1 * +bit 503 O ? BSC167 502 1 Z +bit 504 I ? BSC168 +bit 505 C 1 * +bit 506 O ? BSC168 505 1 Z +bit 507 I ? BSC169 +bit 508 C 1 * +bit 509 O ? BSC169 508 1 Z +bit 510 I ? BSC170 +bit 511 C 1 * +bit 512 O ? BSC170 511 1 Z +bit 513 I ? BSC171 +bit 514 C 1 * +bit 515 O ? BSC171 514 1 Z +bit 516 I ? BSC172 +bit 517 C 1 * +bit 518 O ? BSC172 517 1 Z +bit 519 I ? BSC173 +bit 520 C 1 * +bit 521 O ? BSC173 520 1 Z +bit 522 I ? BSC174 +bit 523 C 1 * +bit 524 O ? BSC174 523 1 Z +bit 525 I ? BSC175 +bit 526 C 1 * +bit 527 O ? BSC175 526 1 Z +bit 528 I ? BSC176 +bit 529 C 1 * +bit 530 O ? BSC176 529 1 Z +bit 531 I ? BSC177 +bit 532 C 1 * +bit 533 O ? BSC177 532 1 Z +bit 534 I ? BSC178 +bit 535 C 1 * +bit 536 O ? BSC178 535 1 Z +bit 537 I ? BSC179 +bit 538 C 1 * +bit 539 O ? BSC179 538 1 Z +bit 540 I ? BSC180 +bit 541 C 1 * +bit 542 O ? BSC180 541 1 Z +bit 543 I ? BSC181 +bit 544 C 1 * +bit 545 O ? BSC181 544 1 Z +bit 546 I ? BSC182 +bit 547 C 1 * +bit 548 O ? BSC182 547 1 Z +bit 549 I ? BSC183 +bit 550 C 1 * +bit 551 O ? BSC183 550 1 Z +bit 552 I ? BSC184 +bit 553 C 1 * +bit 554 O ? BSC184 553 1 Z +bit 555 I ? BSC185 +bit 556 C 1 * +bit 557 O ? BSC185 556 1 Z +bit 558 I ? BSC186 +bit 559 C 1 * +bit 560 O ? BSC186 559 1 Z +bit 561 I ? BSC187 +bit 562 C 1 * +bit 563 O ? BSC187 562 1 Z +bit 564 I ? BSC188 +bit 565 C 1 * +bit 566 O ? BSC188 565 1 Z +bit 567 I ? BSC189 +bit 568 C 1 * +bit 569 O ? BSC189 568 1 Z +bit 570 I ? BSC190 +bit 571 C 1 * +bit 572 O ? BSC190 571 1 Z +bit 573 I ? BSC191 +bit 574 C 1 * +bit 575 O ? BSC191 574 1 Z +bit 576 I ? BSC192 +bit 577 C 1 * +bit 578 O ? BSC192 577 1 Z +bit 579 I ? BSC193 +bit 580 C 1 * +bit 581 O ? BSC193 580 1 Z +bit 582 I ? BSC194 +bit 583 C 1 * +bit 584 O ? BSC194 583 1 Z +bit 585 I ? BSC195 +bit 586 C 1 * +bit 587 O ? BSC195 586 1 Z +bit 588 I ? BSC196 +bit 589 C 1 * +bit 590 O ? BSC196 589 1 Z +bit 591 I ? BSC197 +bit 592 C 1 * +bit 593 O ? BSC197 592 1 Z +bit 594 I ? BSC198 +bit 595 C 1 * +bit 596 O ? BSC198 595 1 Z +bit 597 I ? BSC199 +bit 598 C 1 * +bit 599 O ? BSC199 598 1 Z +bit 600 I ? BSC200 +bit 601 C 1 * +bit 602 O ? BSC200 601 1 Z +bit 603 I ? BSC201 +bit 604 C 1 * +bit 605 O ? BSC201 604 1 Z +bit 606 I ? BSC202 +bit 607 C 1 * +bit 608 O ? BSC202 607 1 Z +bit 609 I ? BSC203 +bit 610 C 1 * +bit 611 O ? BSC203 610 1 Z +bit 612 I ? BSC204 +bit 613 C 1 * +bit 614 O ? BSC204 613 1 Z +bit 615 I ? BSC205 +bit 616 C 1 * +bit 617 O ? BSC205 616 1 Z +bit 618 I ? BSC206 +bit 619 C 1 * +bit 620 O ? BSC206 619 1 Z +bit 621 I ? BSC207 +bit 622 C 1 * +bit 623 O ? BSC207 622 1 Z +bit 624 I ? BSC208 +bit 625 C 1 * +bit 626 O ? BSC208 625 1 Z +bit 627 I ? BSC209 +bit 628 C 1 * +bit 629 O ? BSC209 628 1 Z +bit 630 I ? BSC210 +bit 631 C 1 * +bit 632 O ? BSC210 631 1 Z +bit 633 I ? BSC211 +bit 634 C 1 * +bit 635 O ? BSC211 634 1 Z +bit 636 I ? BSC212 +bit 637 C 1 * +bit 638 O ? BSC212 637 1 Z +bit 639 I ? BSC213 +bit 640 C 1 * +bit 641 O ? BSC213 640 1 Z +bit 642 I ? BSC214 +bit 643 C 1 * +bit 644 O ? BSC214 643 1 Z +bit 645 I ? BSC215 +bit 646 C 1 * +bit 647 O ? BSC215 646 1 Z +bit 648 I ? BSC216 +bit 649 C 1 * +bit 650 O ? BSC216 649 1 Z +bit 651 I ? BSC217 +bit 652 C 1 * +bit 653 O ? BSC217 652 1 Z +bit 654 I ? BSC218 +bit 655 C 1 * +bit 656 O ? BSC218 655 1 Z +bit 657 I ? DCLK +bit 658 C 1 * +bit 659 O ? DCLK 658 1 Z +bit 660 I ? MSEL1 +bit 661 X ? * +bit 662 X ? * +bit 663 I ? MSEL0 +bit 664 X ? * +bit 665 X ? * +bit 666 X ? * +bit 667 X 1 * +bit 668 X ? * +bit 669 X ? * +bit 670 X 1 * +bit 671 X ? * +bit 672 X ? * +bit 673 X 1 * +bit 674 X ? * +bit 675 I ? CLK0 +bit 676 X ? * +bit 677 X ? * +bit 678 X ? * +bit 679 X 1 * +bit 680 X ? * +bit 681 I ? DATA0 +bit 682 X ? * +bit 683 X ? * +bit 684 I ? BSC228 +bit 685 C 1 * +bit 686 O ? BSC228 685 1 Z +bit 687 I ? BSC229 +bit 688 C 1 * +bit 689 O ? BSC229 688 1 Z +bit 690 I ? BSC230 +bit 691 C 1 * +bit 692 O ? BSC230 691 1 Z +bit 693 I ? BSC231 +bit 694 C 1 * +bit 695 O ? BSC231 694 1 Z +bit 696 I ? BSC232 +bit 697 C 1 * +bit 698 O ? BSC232 697 1 Z +bit 699 I ? BSC233 +bit 700 C 1 * +bit 701 O ? BSC233 700 1 Z +bit 702 I ? BSC234 +bit 703 C 1 * +bit 704 O ? BSC234 703 1 Z +bit 705 I ? BSC235 +bit 706 C 1 * +bit 707 O ? BSC235 706 1 Z +bit 708 I ? BSC236 +bit 709 C 1 * +bit 710 O ? BSC236 709 1 Z +bit 711 I ? BSC237 +bit 712 C 1 * +bit 713 O ? BSC237 712 1 Z +bit 714 I ? BSC238 +bit 715 C 1 * +bit 716 O ? BSC238 715 1 Z +bit 717 I ? BSC239 +bit 718 C 1 * +bit 719 O ? BSC239 718 1 Z +bit 720 I ? BSC240 +bit 721 C 1 * +bit 722 O ? BSC240 721 1 Z +bit 723 I ? BSC241 +bit 724 C 1 * +bit 725 O ? BSC241 724 1 Z +bit 726 I ? BSC242 +bit 727 C 1 * +bit 728 O ? BSC242 727 1 Z +bit 729 I ? BSC243 +bit 730 C 1 * +bit 731 O ? BSC243 730 1 Z +bit 732 I ? BSC244 +bit 733 C 1 * +bit 734 O ? BSC244 733 1 Z +bit 735 I ? BSC245 +bit 736 C 1 * +bit 737 O ? BSC245 736 1 Z +bit 738 I ? BSC246 +bit 739 C 1 * +bit 740 O ? BSC246 739 1 Z +bit 741 I ? BSC247 +bit 742 C 1 * +bit 743 O ? BSC247 742 1 Z +bit 744 I ? BSC248 +bit 745 C 1 * +bit 746 O ? BSC248 745 1 Z +bit 747 I ? BSC249 +bit 748 C 1 * +bit 749 O ? BSC249 748 1 Z +bit 750 I ? BSC250 +bit 751 C 1 * +bit 752 O ? BSC250 751 1 Z +bit 753 I ? BSC251 +bit 754 C 1 * +bit 755 O ? BSC251 754 1 Z +bit 756 I ? BSC252 +bit 757 C 1 * +bit 758 O ? BSC252 757 1 Z +bit 759 I ? BSC253 +bit 760 C 1 * +bit 761 O ? BSC253 760 1 Z +bit 762 I ? BSC254 +bit 763 C 1 * +bit 764 O ? BSC254 763 1 Z +bit 765 I ? BSC255 +bit 766 C 1 * +bit 767 O ? BSC255 766 1 Z +bit 768 I ? BSC256 +bit 769 C 1 * +bit 770 O ? BSC256 769 1 Z +bit 771 I ? BSC257 +bit 772 C 1 * +bit 773 O ? BSC257 772 1 Z diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c12/f256 b/sie_fs/usr/local/share/urjtag/altera/ep1c12/f256 new file mode 100644 index 0000000..4131b58 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c12/f256 @@ -0,0 +1,204 @@ +# +# $Id$ +# +# JTAG package script for EP1C12F256 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias IOB2 BSC0 +salias IOA2 BSC1 +salias IOB3 BSC2 +salias IOC4 BSC3 +salias IOB4 BSC4 +salias IOA4 BSC5 +salias IOB5 BSC6 +salias IOC5 BSC7 +salias IOE5 BSC8 +salias IOE6 BSC9 +salias IOD5 BSC10 +salias IOD6 BSC11 +salias IOC6 BSC12 +salias IOB6 BSC13 +salias IOE7 BSC14 +salias IOA6 BSC16 +salias IOB7 BSC17 +salias IOC7 BSC18 +salias IOD7 BSC19 +salias IOB8 BSC20 +salias IOA8 BSC21 +salias IOE8 BSC22 +salias IOD8 BSC26 +salias IOC8 BSC27 +salias IOE10 BSC30 +salias IOC9 BSC33 +salias IOD9 BSC34 +salias IOB9 BSC36 +salias IOA9 BSC37 +salias IOD10 BSC38 +salias IOC10 BSC39 +salias IOB10 BSC40 +salias IOA11 BSC41 +salias IOB11 BSC42 +salias IOC11 BSC43 +salias IOD11 BSC48 +salias IOD12 BSC49 +salias IOE9 BSC50 +salias IOE11 BSC51 +salias IOE12 BSC52 +salias IOC12 BSC53 +salias IOB12 BSC54 +salias IOA13 BSC55 +salias IOB13 BSC56 +salias IOC13 BSC57 +salias IOB14 BSC58 +salias IOA15 BSC59 +salias IOB15 BSC60 +salias IOD13 BSC61 +salias IOC14 BSC62 +salias IOC15 BSC63 +salias IOB16 BSC64 +salias IOG12 BSC65 +salias IOH13 BSC66 +salias IOD14 BSC67 +salias IOE13 BSC68 +salias IOF12 BSC69 +salias IOE14 BSC70 +salias IOD15 BSC71 +salias IOD16 BSC72 +salias IOE15 BSC73 +salias IOE16 BSC74 +salias IOF15 BSC75 +salias IOF13 BSC76 +salias IOF14 BSC77 +salias IOF16 BSC78 +salias IOG15 BSC79 +salias IOG13 BSC80 +salias IOG14 BSC81 +salias IOH12 BSC91 +salias IOJ16 BSC96 +salias IOK15 BSC97 +salias IOK16 BSC108 +salias IOL16 BSC109 +salias IOL15 BSC110 +salias IOL14 BSC111 +salias IOM16 BSC112 +salias IOM15 BSC113 +salias IOL13 BSC114 +salias IOM14 BSC115 +salias IOM13 BSC116 +salias ION14 BSC117 +salias IOL12 BSC118 +salias IOK14 BSC119 +salias IOK12 BSC120 +salias ION16 BSC121 +salias ION15 BSC122 +salias IOR16 BSC123 +salias IOP15 BSC124 +salias IOP14 BSC125 +salias ION13 BSC126 +salias IOR15 BSC127 +salias IOT15 BSC128 +salias IOP13 BSC129 +salias IOR14 BSC130 +salias IOR13 BSC131 +salias IOT13 BSC132 +salias IOR12 BSC133 +salias IOP12 BSC134 +salias IOM12 BSC135 +salias IOM11 BSC136 +salias IOM9 BSC137 +salias ION12 BSC138 +salias ION11 BSC139 +salias IOP11 BSC144 +salias IOR11 BSC145 +salias IOP10 BSC146 +salias ION10 BSC147 +salias IOT11 BSC148 +salias IOR10 BSC149 +salias ION9 BSC150 +salias IOP9 BSC151 +salias IOT9 BSC153 +salias IOR9 BSC154 +salias IOM10 BSC157 +salias IOP8 BSC160 +salias ION8 BSC161 +salias IOM8 BSC165 +salias IOT8 BSC166 +salias IOR8 BSC167 +salias ION7 BSC168 +salias IOP7 BSC169 +salias IOR7 BSC170 +salias IOT6 BSC171 +salias IOM7 BSC173 +salias IOR6 BSC174 +salias IOP6 BSC175 +salias ION6 BSC176 +salias ION5 BSC177 +salias IOM6 BSC178 +salias IOM5 BSC179 +salias IOP5 BSC180 +salias IOR5 BSC181 +salias IOT4 BSC182 +salias IOR4 BSC183 +salias IOP4 BSC184 +salias IOR3 BSC185 +salias IOT2 BSC186 +salias IOR2 BSC187 +salias ION4 BSC188 +salias IOP3 BSC189 +salias IOP2 BSC190 +salias IOR1 BSC191 +salias IOL4 BSC192 +salias IOK5 BSC193 +salias ION3 BSC194 +salias IOM4 BSC195 +salias IOL5 BSC196 +salias IOM3 BSC197 +salias ION2 BSC198 +salias IOM2 BSC199 +salias ION1 BSC200 +salias IOM1 BSC201 +salias IOL2 BSC202 +salias IOL1 BSC203 +salias IOK1 BSC204 +salias IOL3 BSC205 +salias IOK2 BSC216 +salias IOJ1 BSC217 +salias IOK3 BSC218 +salias IOG4 BSC228 +salias IOH5 BSC229 +salias IOF1 BSC239 +salias IOG2 BSC240 +salias IOE1 BSC241 +salias IOF2 BSC242 +salias IOG3 BSC243 +salias IOF3 BSC244 +salias IOD1 BSC245 +salias IOE2 BSC246 +salias IOD2 BSC247 +salias IOE3 BSC248 +salias IOF5 BSC249 +salias IOE4 BSC250 +salias IOD3 BSC251 +salias IOF4 BSC252 +salias IOG5 BSC253 +salias IOB1 BSC254 +salias IOC2 BSC255 +salias IOC3 BSC256 +salias IOD4 BSC257 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c12/f324 b/sie_fs/usr/local/share/urjtag/altera/ep1c12/f324 new file mode 100644 index 0000000..7cb7470 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c12/f324 @@ -0,0 +1,268 @@ +# +# $Id$ +# +# JTAG package script for EP1C12F324 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias IOC4 BSC0 +salias IOB3 BSC1 +salias IOG8 BSC2 +salias IOF8 BSC3 +salias IOB4 BSC4 +salias IOA4 BSC5 +salias IOD5 BSC6 +salias IOC5 BSC7 +salias IOB5 BSC8 +salias IOA6 BSC9 +salias IOC6 BSC10 +salias IOB6 BSC11 +salias IOD6 BSC12 +salias IOE6 BSC13 +salias IOC7 BSC14 +salias IOD7 BSC15 +salias IOB7 BSC16 +salias IOA7 BSC17 +salias IOE7 BSC18 +salias IOE8 BSC19 +salias IOB8 BSC20 +salias IOA8 BSC21 +salias IOC8 BSC22 +salias IOD8 BSC23 +salias IOB9 BSC24 +salias IOA9 BSC25 +salias IOC9 BSC26 +salias IOD9 BSC27 +salias IOF9 BSC28 +salias IOG9 BSC29 +salias IOE10 BSC30 +salias IOA10 BSC31 +salias IOB10 BSC32 +salias IOD10 BSC33 +salias IOC10 BSC34 +salias IOE11 BSC35 +salias IOA11 BSC36 +salias IOB11 BSC37 +salias IOD11 BSC38 +salias IOC11 BSC39 +salias IOA12 BSC40 +salias IOB12 BSC41 +salias IOC12 BSC42 +salias IOD12 BSC43 +salias IOC13 BSC44 +salias IOD13 BSC45 +salias IOA13 BSC46 +salias IOB13 BSC47 +salias IOF10 BSC48 +salias IOG10 BSC49 +salias IOE13 BSC50 +salias IOC14 BSC51 +salias IOB14 BSC52 +salias IOD14 BSC53 +salias IOC15 BSC54 +salias IOA15 BSC55 +salias IOB15 BSC56 +salias IOF11 BSC57 +salias IOG11 BSC58 +salias IOB16 BSC59 +salias IOC16 BSC60 +salias IOD17 BSC61 +salias IOC17 BSC62 +salias IOD15 BSC63 +salias IOD16 BSC64 +salias IOE14 BSC65 +salias IOD18 BSC66 +salias IOE15 BSC67 +salias IOE16 BSC68 +salias IOE17 BSC69 +salias IOF15 BSC70 +salias IOF16 BSC71 +salias IOF14 BSC72 +salias IOF13 BSC73 +salias IOF17 BSC74 +salias IOF18 BSC75 +salias IOF12 BSC76 +salias IOG12 BSC77 +salias IOG16 BSC78 +salias IOG15 BSC79 +salias IOG14 BSC80 +salias IOG13 BSC81 +salias IOG17 BSC82 +salias IOG18 BSC83 +salias IOH18 BSC84 +salias IOH17 BSC85 +salias IOH16 BSC86 +salias IOH15 BSC87 +salias IOH14 BSC88 +salias IOH13 BSC89 +salias IOJ13 BSC90 +salias IOJ14 BSC91 +salias IOK15 BSC96 +salias IOK16 BSC97 +salias IOL14 BSC98 +salias IOL15 BSC99 +salias IOL16 BSC100 +salias IOL13 BSC101 +salias IOM13 BSC102 +salias IOL17 BSC103 +salias IOL18 BSC104 +salias IOM16 BSC105 +salias IOM15 BSC106 +salias IOM14 BSC107 +salias IOM17 BSC108 +salias IOM18 BSC109 +salias ION15 BSC110 +salias ION16 BSC111 +salias ION12 BSC112 +salias ION13 BSC113 +salias ION17 BSC114 +salias ION18 BSC115 +salias ION14 BSC116 +salias IOP14 BSC117 +salias IOP15 BSC118 +salias IOP17 BSC119 +salias IOP16 BSC120 +salias IOR16 BSC121 +salias IOR15 BSC122 +salias IOR18 BSC123 +salias IOR17 BSC124 +salias IOT17 BSC125 +salias IOT16 BSC126 +salias IOT15 BSC127 +salias IOU16 BSC128 +salias IOM11 BSC129 +salias ION11 BSC130 +salias IOU15 BSC131 +salias IOV15 BSC132 +salias IOR14 BSC133 +salias IOT14 BSC134 +salias IOU14 BSC135 +salias IOP13 BSC136 +salias IOP12 BSC137 +salias IOM10 BSC138 +salias ION10 BSC139 +salias IOR13 BSC140 +salias IOT13 BSC141 +salias IOU13 BSC142 +salias IOV13 BSC143 +salias IOR12 BSC144 +salias IOT12 BSC145 +salias IOU12 BSC146 +salias IOV12 BSC147 +salias IOV11 BSC148 +salias IOU11 BSC149 +salias IOT11 BSC150 +salias IOR11 BSC151 +salias IOP10 BSC152 +salias IOR10 BSC153 +salias IOT10 BSC154 +salias IOV10 BSC155 +salias IOU10 BSC156 +salias IOP9 BSC157 +salias ION9 BSC158 +salias IOM9 BSC159 +salias IOT9 BSC160 +salias IOR9 BSC161 +salias IOV9 BSC162 +salias IOU9 BSC163 +salias IOR8 BSC164 +salias IOT8 BSC165 +salias IOV8 BSC166 +salias IOU8 BSC167 +salias IOR7 BSC168 +salias IOT7 BSC169 +salias IOV7 BSC170 +salias IOU7 BSC171 +salias IOR6 BSC172 +salias IOT6 BSC173 +salias IOP7 BSC174 +salias IOP6 BSC175 +salias IOU6 BSC176 +salias IOV6 BSC177 +salias IOR5 BSC178 +salias IOR4 BSC179 +salias IOU5 BSC180 +salias IOT5 BSC181 +salias IOU4 BSC182 +salias IOT4 BSC183 +salias ION8 BSC184 +salias IOM8 BSC185 +salias IOV4 BSC186 +salias IOU3 BSC187 +salias IOT3 BSC188 +salias IOT2 BSC189 +salias IOR3 BSC190 +salias IOR2 BSC191 +salias IOP4 BSC192 +salias IOR1 BSC193 +salias IOP3 BSC194 +salias IOP2 BSC195 +salias IOP5 BSC196 +salias ION4 BSC197 +salias ION3 BSC198 +salias ION6 BSC199 +salias ION5 BSC200 +salias ION7 BSC201 +salias IOM6 BSC202 +salias ION2 BSC203 +salias ION1 BSC204 +salias IOM4 BSC205 +salias IOM5 BSC206 +salias IOM2 BSC207 +salias IOM3 BSC208 +salias IOM1 BSC209 +salias IOL4 BSC210 +salias IOL5 BSC211 +salias IOL3 BSC212 +salias IOL2 BSC213 +salias IOL6 BSC214 +salias IOL7 BSC215 +salias IOK5 BSC216 +salias IOK4 BSC217 +salias IOK6 BSC218 +salias IOJ1 BSC228 +salias IOH6 BSC229 +salias IOH5 BSC230 +salias IOH4 BSC231 +salias IOH3 BSC232 +salias IOH2 BSC233 +salias IOH1 BSC234 +salias IOG6 BSC235 +salias IOG5 BSC236 +salias IOG4 BSC237 +salias IOG3 BSC238 +salias IOF7 BSC239 +salias IOF6 BSC240 +salias IOG2 BSC241 +salias IOG1 BSC242 +salias IOF5 BSC243 +salias IOF4 BSC244 +salias IOF3 BSC245 +salias IOF2 BSC246 +salias IOE5 BSC247 +salias IOE4 BSC248 +salias IOF1 BSC249 +salias IOE2 BSC250 +salias IOE3 BSC251 +salias IOD1 BSC252 +salias IOD4 BSC253 +salias IOD2 BSC254 +salias IOD3 BSC255 +salias IOC2 BSC256 +salias IOC3 BSC257 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c12/q240 b/sie_fs/usr/local/share/urjtag/altera/ep1c12/q240 new file mode 100644 index 0000000..b74061f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c12/q240 @@ -0,0 +1,192 @@ +# +# $Id$ +# +# JTAG package script for EP1C12Q240 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias IO240 BSC0 +salias IO239 BSC1 +salias IO238 BSC2 +salias IO237 BSC3 +salias IO236 BSC4 +salias IO235 BSC5 +salias IO234 BSC6 +salias IO233 BSC7 +salias IO228 BSC8 +salias IO227 BSC9 +salias IO226 BSC10 +salias IO225 BSC11 +salias IO224 BSC12 +salias IO223 BSC13 +salias IO222 BSC14 +salias IO219 BSC16 +salias IO218 BSC17 +salias IO217 BSC18 +salias IO216 BSC19 +salias IO215 BSC20 +salias IO214 BSC21 +salias IO213 BSC22 +salias IO208 BSC30 +salias IO207 BSC33 +salias IO206 BSC34 +salias IO203 BSC36 +salias IO202 BSC37 +salias IO201 BSC38 +salias IO200 BSC39 +salias IO197 BSC48 +salias IO196 BSC49 +salias IO195 BSC50 +salias IO194 BSC51 +salias IO193 BSC52 +salias IO188 BSC53 +salias IO187 BSC54 +salias IO186 BSC55 +salias IO185 BSC56 +salias IO184 BSC57 +salias IO183 BSC58 +salias IO182 BSC59 +salias IO181 BSC60 +salias IO180 BSC61 +salias IO179 BSC62 +salias IO178 BSC63 +salias IO177 BSC64 +salias IO176 BSC65 +salias IO175 BSC66 +salias IO174 BSC67 +salias IO173 BSC68 +salias IO170 BSC69 +salias IO169 BSC70 +salias IO168 BSC71 +salias IO167 BSC72 +salias IO166 BSC73 +salias IO165 BSC74 +salias IO164 BSC75 +salias IO163 BSC76 +salias IO162 BSC77 +salias IO161 BSC78 +salias IO160 BSC79 +salias IO159 BSC80 +salias IO158 BSC81 +salias IO156 BSC91 +salias IO144 BSC96 +salias IO143 BSC97 +salias IO141 BSC108 +salias IO140 BSC109 +salias IO139 BSC110 +salias IO138 BSC111 +salias IO137 BSC112 +salias IO136 BSC113 +salias IO135 BSC114 +salias IO134 BSC115 +salias IO133 BSC116 +salias IO132 BSC117 +salias IO131 BSC118 +salias IO128 BSC119 +salias IO127 BSC120 +salias IO126 BSC121 +salias IO125 BSC122 +salias IO124 BSC123 +salias IO123 BSC124 +salias IO122 BSC125 +salias IO121 BSC126 +salias IO120 BSC127 +salias IO119 BSC128 +salias IO118 BSC129 +salias IO117 BSC130 +salias IO116 BSC131 +salias IO115 BSC132 +salias IO114 BSC133 +salias IO113 BSC134 +salias IO108 BSC135 +salias IO107 BSC136 +salias IO106 BSC137 +salias IO105 BSC138 +salias IO104 BSC139 +salias IO101 BSC148 +salias IO100 BSC149 +salias IO99 BSC150 +salias IO98 BSC151 +salias IO95 BSC153 +salias IO94 BSC154 +salias IO93 BSC157 +salias IO88 BSC165 +salias IO87 BSC166 +salias IO86 BSC167 +salias IO85 BSC168 +salias IO84 BSC169 +salias IO83 BSC170 +salias IO82 BSC171 +salias IO79 BSC173 +salias IO78 BSC174 +salias IO77 BSC175 +salias IO76 BSC176 +salias IO75 BSC177 +salias IO74 BSC178 +salias IO73 BSC179 +salias IO68 BSC180 +salias IO67 BSC181 +salias IO66 BSC182 +salias IO65 BSC183 +salias IO64 BSC184 +salias IO63 BSC185 +salias IO62 BSC186 +salias IO61 BSC187 +salias IO60 BSC188 +salias IO59 BSC189 +salias IO58 BSC190 +salias IO57 BSC191 +salias IO56 BSC192 +salias IO55 BSC193 +salias IO54 BSC194 +salias IO53 BSC195 +salias IO50 BSC196 +salias IO49 BSC197 +salias IO48 BSC198 +salias IO47 BSC199 +salias IO46 BSC200 +salias IO45 BSC201 +salias IO44 BSC202 +salias IO43 BSC203 +salias IO42 BSC204 +salias IO41 BSC205 +salias IO39 BSC216 +salias IO38 BSC217 +salias IO37 BSC218 +salias IO24 BSC228 +salias IO23 BSC229 +salias IO21 BSC239 +salias IO20 BSC240 +salias IO19 BSC241 +salias IO18 BSC242 +salias IO17 BSC243 +salias IO16 BSC244 +salias IO15 BSC245 +salias IO14 BSC246 +salias IO13 BSC247 +salias IO12 BSC248 +salias IO11 BSC249 +salias IO8 BSC250 +salias IO7 BSC251 +salias IO6 BSC252 +salias IO5 BSC253 +salias IO4 BSC254 +salias IO3 BSC255 +salias IO2 BSC256 +salias IO1 BSC257 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c20f400/STEPPINGS b/sie_fs/usr/local/share/urjtag/altera/ep1c20f400/STEPPINGS new file mode 100644 index 0000000..5f1549a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c20f400/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id: STEPPINGS 541 2003-08-22 11:16:02Z telka $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Rojhalat Ibrahim +# + +# bits 31-28 of the Device Identification Register +0000 ep1c20f400 0 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c20f400/ep1c20f400 b/sie_fs/usr/local/share/urjtag/altera/ep1c20f400/ep1c20f400 new file mode 100644 index 0000000..ab091dc --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c20f400/ep1c20f400 @@ -0,0 +1,1272 @@ +# +# $Id: ep1c20f400 541 2003-08-22 11:16:02Z telka $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Rojhalat Ibrahim +# + +signal TDI +signal TDO +signal TMS +signal TCK +signal DATA0 +signal DCLK +signal CONF_DONE +signal CLK0 +signal CLK1 +signal CLK2 +signal CLK3 +signal IOC3 +signal IOC2 +signal IOD3 +signal IOD2 +signal IOD4 +signal IOD1 +signal IOE4 +signal IOE5 +signal IOF3 +signal IOE3 +signal IOE2 +signal IOF4 +signal IOF5 +signal IOF2 +signal IOF1 +signal IOF6 +signal IOG5 +signal IOG1 +signal IOG2 +signal IOG6 +signal IOG7 +signal IOG3 +signal IOG4 +signal IOH7 +signal IOH1 +signal IOH2 +signal IOH3 +signal IOH4 +signal IOJ1 +signal IOJ2 +signal IOH5 +signal IOH6 +signal IOJ3 +signal IOJ4 +signal IOJ5 +signal IOJ6 +signal IOJ7 +signal IOJ8 +signal IOK2 +signal IOL4 +signal IOL8 +signal IOM8 +signal IOM2 +signal IOM1 +signal IOM5 +signal IOM6 +signal IOM4 +signal IOM3 +signal IOM7 +signal ION6 +signal ION1 +signal ION2 +signal ION4 +signal ION3 +signal ION5 +signal ION7 +signal IOP7 +signal IOP2 +signal IOP1 +signal IOP6 +signal IOP5 +signal IOP3 +signal IOP4 +signal IOR1 +signal IOR2 +signal IOR6 +signal IOR5 +signal IOR3 +signal IOR4 +signal IOT4 +signal IOT2 +signal IOT3 +signal IOU1 +signal IOU4 +signal IOU2 +signal IOU3 +signal IOV2 +signal IOV3 +signal IOW3 +signal IOY4 +signal IOV4 +signal IOW4 +signal IOT5 +signal IOU5 +signal IOV5 +signal IOW5 +signal IOT6 +signal IOT7 +signal IOW6 +signal IOY6 +signal IOU6 +signal IOV6 +signal IOW7 +signal IOY7 +signal IOR7 +signal IOT8 +signal IOV7 +signal IOU7 +signal IOV8 +signal IOU8 +signal IOW8 +signal IOY8 +signal IOU9 +signal IOV9 +signal IOT9 +signal IOR9 +signal IOY9 +signal IOW9 +signal IOT10 +signal IOU10 +signal IOV10 +signal IOW10 +signal IOY10 +signal IOV11 +signal IOU11 +signal IOW11 +signal IOY11 +signal IOR11 +signal IOY12 +signal IOW12 +signal IOT11 +signal IOT12 +signal IOU12 +signal IOV12 +signal IOT13 +signal IOR13 +signal IOY13 +signal IOW13 +signal IOU13 +signal IOV13 +signal IOR14 +signal IOT14 +signal IOW14 +signal IOY14 +signal IOU14 +signal IOV14 +signal IOV15 +signal IOU15 +signal IOY15 +signal IOW15 +signal IOT15 +signal IOT16 +signal IOW16 +signal IOV16 +signal IOV17 +signal IOU16 +signal IOY17 +signal IOW17 +signal IOW18 +signal IOV18 +signal IOV19 +signal IOU20 +signal IOU18 +signal IOU19 +signal IOU17 +signal IOT18 +signal IOT19 +signal IOT17 +signal IOR16 +signal IOR19 +signal IOR20 +signal IOR17 +signal IOR18 +signal IOR15 +signal IOP14 +signal IOP18 +signal IOP17 +signal IOP16 +signal IOP15 +signal IOP19 +signal IOP20 +signal ION14 +signal ION18 +signal ION17 +signal ION19 +signal ION20 +signal ION16 +signal ION15 +signal IOM18 +signal IOM17 +signal IOM15 +signal IOM16 +signal IOM20 +signal IOM19 +signal IOM14 +signal IOM13 +signal IOL13 +signal IOK19 +signal IOJ13 +signal IOK16 +signal IOK15 +signal IOJ18 +signal IOJ17 +signal IOJ14 +signal IOH14 +signal IOJ20 +signal IOJ19 +signal IOJ15 +signal IOJ16 +signal IOH20 +signal IOH19 +signal IOH17 +signal IOH18 +signal IOH16 +signal IOG17 +signal IOG18 +signal IOH15 +signal IOG14 +signal IOG19 +signal IOG20 +signal IOG15 +signal IOG16 +signal IOF20 +signal IOF19 +signal IOF15 +signal IOF16 +signal IOE19 +signal IOE18 +signal IOF18 +signal IOF17 +signal IOE17 +signal IOD20 +signal IOD17 +signal IOD19 +signal IOD18 +signal IOC19 +signal IOC18 +signal IOC17 +signal IOB18 +signal IOB17 +signal IOA17 +signal IOC16 +signal IOB16 +signal IOD16 +signal IOE16 +signal IOC15 +signal IOD15 +signal IOB15 +signal IOA15 +signal IOE15 +signal IOF14 +signal IOA14 +signal IOB14 +signal IOE14 +signal IOE13 +signal IOC14 +signal IOD14 +signal IOA13 +signal IOB13 +signal IOC13 +signal IOD13 +signal IOE12 +signal IOF12 +signal IOA12 +signal IOB12 +signal IOD12 +signal IOC12 +signal IOE11 +signal IOA11 +signal IOB11 +signal IOD11 +signal IOC11 +signal IOD10 +signal IOC10 +signal IOF10 +signal IOA10 +signal IOB10 +signal IOE10 +signal IOE9 +signal IOC9 +signal IOD9 +signal IOA9 +signal IOB9 +signal IOC8 +signal IOD8 +signal IOA8 +signal IOB8 +signal IOE8 +signal IOF8 +signal IOC7 +signal IOD7 +signal IOA7 +signal IOB7 +signal IOE7 +signal IOF7 +signal IOA6 +signal IOB6 +signal IOE6 +signal IOC6 +signal IOB5 +signal IOC5 +signal IOD6 +signal IOD5 +signal IOA4 +signal IOB4 +signal IOB3 +signal IOC4 + + +register BSR 930 +register BR 1 +register DIR 32 + +instruction length 10 + +instruction BYPASS 1111111111 BR +instruction EXTEST 0000000000 BSR +instruction SAMPLE/PRELOAD 0000000101 BSR +instruction IDCODE 0000000110 DIR + +bit 929 O 1 IOC3 928 1 Z +bit 928 C 1 * +bit 927 I 1 IOC3 +bit 926 O 1 IOC2 925 1 Z +bit 925 C 1 * +bit 924 I 1 IOC2 +bit 923 O 1 IOD3 922 1 Z +bit 922 C 1 * +bit 921 I 1 IOD3 +bit 920 O 1 IOD2 919 1 Z +bit 919 C 1 * +bit 918 I 1 IOD2 +bit 917 O 1 IOD4 916 1 Z +bit 916 C 1 * +bit 915 I 1 IOD4 +bit 914 O 1 IOD1 913 1 Z +bit 913 C 1 * +bit 912 I 1 IOD1 +bit 911 O 1 IOE4 910 1 Z +bit 910 C 1 * +bit 909 I 1 IOE4 +bit 908 O 1 IOE5 907 1 Z +bit 907 C 1 * +bit 906 I 1 IOE5 +bit 905 O 1 IOF3 904 1 Z +bit 904 C 1 * +bit 903 I 1 IOF3 +bit 902 O 1 IOE3 901 1 Z +bit 901 C 1 * +bit 900 I 1 IOE3 +bit 899 O 1 IOE2 898 1 Z +bit 898 C 1 * +bit 897 I 1 IOE2 +bit 896 O 1 IOF4 895 1 Z +bit 895 C 1 * +bit 894 I 1 IOF4 +bit 893 O 1 IOF5 892 1 Z +bit 892 C 1 * +bit 891 I 1 IOF5 +bit 890 O 1 IOF2 889 1 Z +bit 889 C 1 * +bit 888 I 1 IOF2 +bit 887 O 1 IOF1 886 1 Z +bit 886 C 1 * +bit 885 I 1 IOF1 +bit 884 O 1 IOF6 883 1 Z +bit 883 C 1 * +bit 882 I 1 IOF6 +bit 881 O 1 IOG5 880 1 Z +bit 880 C 1 * +bit 879 I 1 IOG5 +bit 878 O 1 IOG1 877 1 Z +bit 877 C 1 * +bit 876 I 1 IOG1 +bit 875 O 1 IOG2 874 1 Z +bit 874 C 1 * +bit 873 I 1 IOG2 +bit 872 O 1 IOG6 871 1 Z +bit 871 C 1 * +bit 870 I 1 IOG6 +bit 869 O 1 IOG7 868 1 Z +bit 868 C 1 * +bit 867 I 1 IOG7 +bit 866 O 1 IOG3 865 1 Z +bit 865 C 1 * +bit 864 I 1 IOG3 +bit 863 O 1 IOG4 862 1 Z +bit 862 C 1 * +bit 861 I 1 IOG4 +bit 860 O 1 IOH7 859 1 Z +bit 859 C 1 * +bit 858 I 1 IOH7 +bit 857 O 1 IOH1 856 1 Z +bit 856 C 1 * +bit 855 I 1 IOH1 +bit 854 O 1 IOH2 853 1 Z +bit 853 C 1 * +bit 852 I 1 IOH2 +bit 851 O 1 IOH3 850 1 Z +bit 850 C 1 * +bit 849 I 1 IOH3 +bit 848 O 1 IOH4 847 1 Z +bit 847 C 1 * +bit 846 I 1 IOH4 +bit 845 O 1 IOJ1 844 1 Z +bit 844 C 1 * +bit 843 I 1 IOJ1 +bit 842 O 1 IOJ2 841 1 Z +bit 841 C 1 * +bit 840 I 1 IOJ2 +bit 839 O 1 IOH5 838 1 Z +bit 838 C 1 * +bit 837 I 1 IOH5 +bit 836 O 1 IOH6 835 1 Z +bit 835 C 1 * +bit 834 I 1 IOH6 +bit 833 O 1 IOJ3 832 1 Z +bit 832 C 1 * +bit 831 I 1 IOJ3 +bit 830 O 1 IOJ4 829 1 Z +bit 829 C 1 * +bit 828 I 1 IOJ4 +bit 827 O 1 IOJ5 826 1 Z +bit 826 C 1 * +bit 825 I 1 IOJ5 +bit 824 O 1 IOJ6 823 1 Z +bit 823 C 1 * +bit 822 I 1 IOJ6 +bit 821 O 1 IOJ7 820 1 Z +bit 820 C 1 * +bit 819 I 1 IOJ7 +bit 818 O 1 IOJ8 817 1 Z +bit 817 C 1 * +bit 816 I 1 IOJ8 +bit 815 O 1 IOK2 814 1 Z +bit 814 C 1 * +bit 813 I 1 IOK2 +bit 812 O 1 * +bit 811 O 1 * +bit 810 I 1 DATA0 +bit 809 O 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 O 1 * +bit 804 I 1 CLK0 +bit 803 O 1 * +bit 802 O 1 * +bit 801 I 1 CLK1 +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 O 1 * +bit 792 I 1 MSEL0 +bit 791 O 1 * +bit 790 O 1 * +bit 789 I 1 MSEL1 +bit 788 O 1 DCLK 787 1 Z +bit 787 C 1 * +bit 786 I 1 DCLK +bit 785 O 1 IOL4 784 1 Z +bit 784 C 1 * +bit 783 I 1 IOL4 +bit 782 O 1 IOL8 781 1 Z +bit 781 C 1 * +bit 780 I 1 IOL8 +bit 779 O 1 IOM8 778 1 Z +bit 778 C 1 * +bit 777 I 1 IOM8 +bit 776 O 1 IOM2 775 1 Z +bit 775 C 1 * +bit 774 I 1 IOM2 +bit 773 O 1 IOM1 772 1 Z +bit 772 C 1 * +bit 771 I 1 IOM1 +bit 770 O 1 IOM5 769 1 Z +bit 769 C 1 * +bit 768 I 1 IOM5 +bit 767 O 1 IOM6 766 1 Z +bit 766 C 1 * +bit 765 I 1 IOM6 +bit 764 O 1 IOM4 763 1 Z +bit 763 C 1 * +bit 762 I 1 IOM4 +bit 761 O 1 IOM3 760 1 Z +bit 760 C 1 * +bit 759 I 1 IOM3 +bit 758 O 1 IOM7 757 1 Z +bit 757 C 1 * +bit 756 I 1 IOM7 +bit 755 O 1 ION6 754 1 Z +bit 754 C 1 * +bit 753 I 1 ION6 +bit 752 O 1 ION1 751 1 Z +bit 751 C 1 * +bit 750 I 1 ION1 +bit 749 O 1 ION2 748 1 Z +bit 748 C 1 * +bit 747 I 1 ION2 +bit 746 O 1 ION4 745 1 Z +bit 745 C 1 * +bit 744 I 1 ION4 +bit 743 O 1 ION3 742 1 Z +bit 742 C 1 * +bit 741 I 1 ION3 +bit 740 O 1 ION5 739 1 Z +bit 739 C 1 * +bit 738 I 1 ION5 +bit 737 O 1 ION7 736 1 Z +bit 736 C 1 * +bit 735 I 1 ION7 +bit 734 O 1 IOP7 733 1 Z +bit 733 C 1 * +bit 732 I 1 IOP7 +bit 731 O 1 IOP2 730 1 Z +bit 730 C 1 * +bit 729 I 1 IOP2 +bit 728 O 1 IOP1 727 1 Z +bit 727 C 1 * +bit 726 I 1 IOP1 +bit 725 O 1 IOP6 724 1 Z +bit 724 C 1 * +bit 723 I 1 IOP6 +bit 722 O 1 IOP5 721 1 Z +bit 721 C 1 * +bit 720 I 1 IOP5 +bit 719 O 1 IOP3 718 1 Z +bit 718 C 1 * +bit 717 I 1 IOP3 +bit 716 O 1 IOP4 715 1 Z +bit 715 C 1 * +bit 714 I 1 IOP4 +bit 713 O 1 IOR1 712 1 Z +bit 712 C 1 * +bit 711 I 1 IOR1 +bit 710 O 1 IOR2 709 1 Z +bit 709 C 1 * +bit 708 I 1 IOR2 +bit 707 O 1 IOR6 706 1 Z +bit 706 C 1 * +bit 705 I 1 IOR6 +bit 704 O 1 IOR5 703 1 Z +bit 703 C 1 * +bit 702 I 1 IOR5 +bit 701 O 1 IOR3 700 1 Z +bit 700 C 1 * +bit 699 I 1 IOR3 +bit 698 O 1 IOR4 697 1 Z +bit 697 C 1 * +bit 696 I 1 IOR4 +bit 695 O 1 IOT4 694 1 Z +bit 694 C 1 * +bit 693 I 1 IOT4 +bit 692 O 1 IOT2 691 1 Z +bit 691 C 1 * +bit 690 I 1 IOT2 +bit 689 O 1 IOT3 688 1 Z +bit 688 C 1 * +bit 687 I 1 IOT3 +bit 686 O 1 IOU1 685 1 Z +bit 685 C 1 * +bit 684 I 1 IOU1 +bit 683 O 1 IOU4 682 1 Z +bit 682 C 1 * +bit 681 I 1 IOU4 +bit 680 O 1 IOU2 679 1 Z +bit 679 C 1 * +bit 678 I 1 IOU2 +bit 677 O 1 IOU3 676 1 Z +bit 676 C 1 * +bit 675 I 1 IOU3 +bit 674 O 1 IOV2 673 1 Z +bit 673 C 1 * +bit 672 I 1 IOV2 +bit 671 O 1 IOV3 670 1 Z +bit 670 C 1 * +bit 669 I 1 IOV3 +bit 668 O 1 IOW3 667 1 Z +bit 667 C 1 * +bit 666 I 1 IOW3 +bit 665 O 1 IOY4 664 1 Z +bit 664 C 1 * +bit 663 I 1 IOY4 +bit 662 O 1 IOV4 661 1 Z +bit 661 C 1 * +bit 660 I 1 IOV4 +bit 659 O 1 IOW4 658 1 Z +bit 658 C 1 * +bit 657 I 1 IOW4 +bit 656 O 1 IOT5 655 1 Z +bit 655 C 1 * +bit 654 I 1 IOT5 +bit 653 O 1 IOU5 652 1 Z +bit 652 C 1 * +bit 651 I 1 IOU5 +bit 650 O 1 IOV5 649 1 Z +bit 649 C 1 * +bit 648 I 1 IOV5 +bit 647 O 1 IOW5 646 1 Z +bit 646 C 1 * +bit 645 I 1 IOW5 +bit 644 O 1 IOT6 643 1 Z +bit 643 C 1 * +bit 642 I 1 IOT6 +bit 641 O 1 IOT7 640 1 Z +bit 640 C 1 * +bit 639 I 1 IOT7 +bit 638 O 1 IOW6 637 1 Z +bit 637 C 1 * +bit 636 I 1 IOW6 +bit 635 O 1 IOY6 634 1 Z +bit 634 C 1 * +bit 633 I 1 IOY6 +bit 632 O 1 IOU6 631 1 Z +bit 631 C 1 * +bit 630 I 1 IOU6 +bit 629 O 1 IOV6 628 1 Z +bit 628 C 1 * +bit 627 I 1 IOV6 +bit 626 O 1 IOW7 625 1 Z +bit 625 C 1 * +bit 624 I 1 IOW7 +bit 623 O 1 IOY7 622 1 Z +bit 622 C 1 * +bit 621 I 1 IOY7 +bit 620 O 1 IOR7 619 1 Z +bit 619 C 1 * +bit 618 I 1 IOR7 +bit 617 O 1 IOT8 616 1 Z +bit 616 C 1 * +bit 615 I 1 IOT8 +bit 614 O 1 IOV7 613 1 Z +bit 613 C 1 * +bit 612 I 1 IOV7 +bit 611 O 1 IOU7 610 1 Z +bit 610 C 1 * +bit 609 I 1 IOU7 +bit 608 O 1 IOV8 607 1 Z +bit 607 C 1 * +bit 606 I 1 IOV8 +bit 605 O 1 IOU8 604 1 Z +bit 604 C 1 * +bit 603 I 1 IOU8 +bit 602 O 1 IOW8 601 1 Z +bit 601 C 1 * +bit 600 I 1 IOW8 +bit 599 O 1 IOY8 598 1 Z +bit 598 C 1 * +bit 597 I 1 IOY8 +bit 596 O 1 IOU9 595 1 Z +bit 595 C 1 * +bit 594 I 1 IOU9 +bit 593 O 1 IOV9 592 1 Z +bit 592 C 1 * +bit 591 I 1 IOV9 +bit 590 O 1 IOT9 589 1 Z +bit 589 C 1 * +bit 588 I 1 IOT9 +bit 587 O 1 IOR9 586 1 Z +bit 586 C 1 * +bit 585 I 1 IOR9 +bit 584 O 1 IOY9 583 1 Z +bit 583 C 1 * +bit 582 I 1 IOY9 +bit 581 O 1 IOW9 580 1 Z +bit 580 C 1 * +bit 579 I 1 IOW9 +bit 578 O 1 IOT10 577 1 Z +bit 577 C 1 * +bit 576 I 1 IOT10 +bit 575 O 1 IOU10 574 1 Z +bit 574 C 1 * +bit 573 I 1 IOU10 +bit 572 O 1 IOV10 571 1 Z +bit 571 C 1 * +bit 570 I 1 IOV10 +bit 569 O 1 IOW10 568 1 Z +bit 568 C 1 * +bit 567 I 1 IOW10 +bit 566 O 1 IOY10 565 1 Z +bit 565 C 1 * +bit 564 I 1 IOY10 +bit 563 O 1 IOV11 562 1 Z +bit 562 C 1 * +bit 561 I 1 IOV11 +bit 560 O 1 IOU11 559 1 Z +bit 559 C 1 * +bit 558 I 1 IOU11 +bit 557 O 1 IOW11 556 1 Z +bit 556 C 1 * +bit 555 I 1 IOW11 +bit 554 O 1 IOY11 553 1 Z +bit 553 C 1 * +bit 552 I 1 IOY11 +bit 551 O 1 IOR11 550 1 Z +bit 550 C 1 * +bit 549 I 1 IOR11 +bit 548 O 1 IOY12 547 1 Z +bit 547 C 1 * +bit 546 I 1 IOY12 +bit 545 O 1 IOW12 544 1 Z +bit 544 C 1 * +bit 543 I 1 IOW12 +bit 542 O 1 IOT11 541 1 Z +bit 541 C 1 * +bit 540 I 1 IOT11 +bit 539 O 1 IOT12 538 1 Z +bit 538 C 1 * +bit 537 I 1 IOT12 +bit 536 O 1 IOU12 535 1 Z +bit 535 C 1 * +bit 534 I 1 IOU12 +bit 533 O 1 IOV12 532 1 Z +bit 532 C 1 * +bit 531 I 1 IOV12 +bit 530 O 1 IOT13 529 1 Z +bit 529 C 1 * +bit 528 I 1 IOT13 +bit 527 O 1 IOR13 526 1 Z +bit 526 C 1 * +bit 525 I 1 IOR13 +bit 524 O 1 IOY13 523 1 Z +bit 523 C 1 * +bit 522 I 1 IOY13 +bit 521 O 1 IOW13 520 1 Z +bit 520 C 1 * +bit 519 I 1 IOW13 +bit 518 O 1 IOU13 517 1 Z +bit 517 C 1 * +bit 516 I 1 IOU13 +bit 515 O 1 IOV13 514 1 Z +bit 514 C 1 * +bit 513 I 1 IOV13 +bit 512 O 1 IOR14 511 1 Z +bit 511 C 1 * +bit 510 I 1 IOR14 +bit 509 O 1 IOT14 508 1 Z +bit 508 C 1 * +bit 507 I 1 IOT14 +bit 506 O 1 IOW14 505 1 Z +bit 505 C 1 * +bit 504 I 1 IOW14 +bit 503 O 1 IOY14 502 1 Z +bit 502 C 1 * +bit 501 I 1 IOY14 +bit 500 O 1 IOU14 499 1 Z +bit 499 C 1 * +bit 498 I 1 IOU14 +bit 497 O 1 IOV14 496 1 Z +bit 496 C 1 * +bit 495 I 1 IOV14 +bit 494 O 1 IOV15 493 1 Z +bit 493 C 1 * +bit 492 I 1 IOV15 +bit 491 O 1 IOU15 490 1 Z +bit 490 C 1 * +bit 489 I 1 IOU15 +bit 488 O 1 IOY15 487 1 Z +bit 487 C 1 * +bit 486 I 1 IOY15 +bit 485 O 1 IOW15 484 1 Z +bit 484 C 1 * +bit 483 I 1 IOW15 +bit 482 O 1 IOT15 481 1 Z +bit 481 C 1 * +bit 480 I 1 IOT15 +bit 479 O 1 IOT16 478 1 Z +bit 478 C 1 * +bit 477 I 1 IOT16 +bit 476 O 1 IOW16 475 1 Z +bit 475 C 1 * +bit 474 I 1 IOW16 +bit 473 O 1 IOV16 472 1 Z +bit 472 C 1 * +bit 471 I 1 IOV16 +bit 470 O 1 IOV17 469 1 Z +bit 469 C 1 * +bit 468 I 1 IOV17 +bit 467 O 1 IOU16 466 1 Z +bit 466 C 1 * +bit 465 I 1 IOU16 +bit 464 O 1 IOY17 463 1 Z +bit 463 C 1 * +bit 462 I 1 IOY17 +bit 461 O 1 IOW17 460 1 Z +bit 460 C 1 * +bit 459 I 1 IOW17 +bit 458 O 1 IOW18 457 1 Z +bit 457 C 1 * +bit 456 I 1 IOW18 +bit 455 O 1 IOV18 454 1 Z +bit 454 C 1 * +bit 453 I 1 IOV18 +bit 452 O 1 IOV19 451 1 Z +bit 451 C 1 * +bit 450 I 1 IOV19 +bit 449 O 1 IOU20 448 1 Z +bit 448 C 1 * +bit 447 I 1 IOU20 +bit 446 O 1 IOU18 445 1 Z +bit 445 C 1 * +bit 444 I 1 IOU18 +bit 443 O 1 IOU19 442 1 Z +bit 442 C 1 * +bit 441 I 1 IOU19 +bit 440 O 1 IOU17 439 1 Z +bit 439 C 1 * +bit 438 I 1 IOU17 +bit 437 O 1 IOT18 436 1 Z +bit 436 C 1 * +bit 435 I 1 IOT18 +bit 434 O 1 IOT19 433 1 Z +bit 433 C 1 * +bit 432 I 1 IOT19 +bit 431 O 1 IOT17 430 1 Z +bit 430 C 1 * +bit 429 I 1 IOT17 +bit 428 O 1 IOR16 427 1 Z +bit 427 C 1 * +bit 426 I 1 IOR16 +bit 425 O 1 IOR19 424 1 Z +bit 424 C 1 * +bit 423 I 1 IOR19 +bit 422 O 1 IOR20 421 1 Z +bit 421 C 1 * +bit 420 I 1 IOR20 +bit 419 O 1 IOR17 418 1 Z +bit 418 C 1 * +bit 417 I 1 IOR17 +bit 416 O 1 IOR18 415 1 Z +bit 415 C 1 * +bit 414 I 1 IOR18 +bit 413 O 1 IOR15 412 1 Z +bit 412 C 1 * +bit 411 I 1 IOR15 +bit 410 O 1 IOP14 409 1 Z +bit 409 C 1 * +bit 408 I 1 IOP14 +bit 407 O 1 IOP18 406 1 Z +bit 406 C 1 * +bit 405 I 1 IOP18 +bit 404 O 1 IOP17 403 1 Z +bit 403 C 1 * +bit 402 I 1 IOP17 +bit 401 O 1 IOP16 400 1 Z +bit 400 C 1 * +bit 399 I 1 IOP16 +bit 398 O 1 IOP15 397 1 Z +bit 397 C 1 * +bit 396 I 1 IOP15 +bit 395 O 1 IOP19 394 1 Z +bit 394 C 1 * +bit 393 I 1 IOP19 +bit 392 O 1 IOP20 391 1 Z +bit 391 C 1 * +bit 390 I 1 IOP20 +bit 389 O 1 ION14 388 1 Z +bit 388 C 1 * +bit 387 I 1 ION14 +bit 386 O 1 ION18 385 1 Z +bit 385 C 1 * +bit 384 I 1 ION18 +bit 383 O 1 ION17 382 1 Z +bit 382 C 1 * +bit 381 I 1 ION17 +bit 380 O 1 ION19 379 1 Z +bit 379 C 1 * +bit 378 I 1 ION19 +bit 377 O 1 ION20 376 1 Z +bit 376 C 1 * +bit 375 I 1 ION20 +bit 374 O 1 ION16 373 1 Z +bit 373 C 1 * +bit 372 I 1 ION16 +bit 371 O 1 ION15 370 1 Z +bit 370 C 1 * +bit 369 I 1 ION15 +bit 368 O 1 IOM18 367 1 Z +bit 367 C 1 * +bit 366 I 1 IOM18 +bit 365 O 1 IOM17 364 1 Z +bit 364 C 1 * +bit 363 I 1 IOM17 +bit 362 O 1 IOM15 361 1 Z +bit 361 C 1 * +bit 360 I 1 IOM15 +bit 359 O 1 IOM16 358 1 Z +bit 358 C 1 * +bit 357 I 1 IOM16 +bit 356 O 1 IOM20 355 1 Z +bit 355 C 1 * +bit 354 I 1 IOM20 +bit 353 O 1 IOM19 352 1 Z +bit 352 C 1 * +bit 351 I 1 IOM19 +bit 350 O 1 IOM14 349 1 Z +bit 349 C 1 * +bit 348 I 1 IOM14 +bit 347 O 1 IOM13 346 1 Z +bit 346 C 1 * +bit 345 I 1 IOM13 +bit 344 O 1 IOL13 343 1 Z +bit 343 C 1 * +bit 342 I 1 IOL13 +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 I 1 CLK3 +bit 332 O 1 * +bit 331 O 1 * +bit 330 I 1 CLK2 +bit 329 O 1 IOK19 328 1 Z +bit 328 C 1 * +bit 327 I 1 IOK19 +bit 326 O 1 IOJ13 325 1 Z +bit 325 C 1 * +bit 324 I 1 IOJ13 +bit 323 O 1 IOK16 322 1 Z +bit 322 C 1 * +bit 321 I 1 IOK16 +bit 320 O 1 IOK15 319 1 Z +bit 319 C 1 * +bit 318 I 1 IOK15 +bit 317 O 1 IOJ18 316 1 Z +bit 316 C 1 * +bit 315 I 1 IOJ18 +bit 314 O 1 IOJ17 313 1 Z +bit 313 C 1 * +bit 312 I 1 IOJ17 +bit 311 O 1 IOJ14 310 1 Z +bit 310 C 1 * +bit 309 I 1 IOJ14 +bit 308 O 1 IOH14 307 1 Z +bit 307 C 1 * +bit 306 I 1 IOH14 +bit 305 O 1 IOJ20 304 1 Z +bit 304 C 1 * +bit 303 I 1 IOJ20 +bit 302 O 1 IOJ19 301 1 Z +bit 301 C 1 * +bit 300 I 1 IOJ19 +bit 299 O 1 IOJ15 298 1 Z +bit 298 C 1 * +bit 297 I 1 IOJ15 +bit 296 O 1 IOJ16 295 1 Z +bit 295 C 1 * +bit 294 I 1 IOJ16 +bit 293 O 1 IOH20 292 1 Z +bit 292 C 1 * +bit 291 I 1 IOH20 +bit 290 O 1 IOH19 289 1 Z +bit 289 C 1 * +bit 288 I 1 IOH19 +bit 287 O 1 IOH17 286 1 Z +bit 286 C 1 * +bit 285 I 1 IOH17 +bit 284 O 1 IOH18 283 1 Z +bit 283 C 1 * +bit 282 I 1 IOH18 +bit 281 O 1 IOH16 280 1 Z +bit 280 C 1 * +bit 279 I 1 IOH16 +bit 278 O 1 IOG17 277 1 Z +bit 277 C 1 * +bit 276 I 1 IOG17 +bit 275 O 1 IOG18 274 1 Z +bit 274 C 1 * +bit 273 I 1 IOG18 +bit 272 O 1 IOH15 271 1 Z +bit 271 C 1 * +bit 270 I 1 IOH15 +bit 269 O 1 IOG14 268 1 Z +bit 268 C 1 * +bit 267 I 1 IOG14 +bit 266 O 1 IOG19 265 1 Z +bit 265 C 1 * +bit 264 I 1 IOG19 +bit 263 O 1 IOG20 262 1 Z +bit 262 C 1 * +bit 261 I 1 IOG20 +bit 260 O 1 IOG15 259 1 Z +bit 259 C 1 * +bit 258 I 1 IOG15 +bit 257 O 1 IOG16 256 1 Z +bit 256 C 1 * +bit 255 I 1 IOG16 +bit 254 O 1 IOF20 253 1 Z +bit 253 C 1 * +bit 252 I 1 IOF20 +bit 251 O 1 IOF19 250 1 Z +bit 250 C 1 * +bit 249 I 1 IOF19 +bit 248 O 1 IOF15 247 1 Z +bit 247 C 1 * +bit 246 I 1 IOF15 +bit 245 O 1 IOF16 244 1 Z +bit 244 C 1 * +bit 243 I 1 IOF16 +bit 242 O 1 IOE19 241 1 Z +bit 241 C 1 * +bit 240 I 1 IOE19 +bit 239 O 1 IOE18 238 1 Z +bit 238 C 1 * +bit 237 I 1 IOE18 +bit 236 O 1 IOF18 235 1 Z +bit 235 C 1 * +bit 234 I 1 IOF18 +bit 233 O 1 IOF17 232 1 Z +bit 232 C 1 * +bit 231 I 1 IOF17 +bit 230 O 1 IOE17 229 1 Z +bit 229 C 1 * +bit 228 I 1 IOE17 +bit 227 O 1 IOD20 226 1 Z +bit 226 C 1 * +bit 225 I 1 IOD20 +bit 224 O 1 IOD17 223 1 Z +bit 223 C 1 * +bit 222 I 1 IOD17 +bit 221 O 1 IOD19 220 1 Z +bit 220 C 1 * +bit 219 I 1 IOD19 +bit 218 O 1 IOD18 217 1 Z +bit 217 C 1 * +bit 216 I 1 IOD18 +bit 215 O 1 IOC19 214 1 Z +bit 214 C 1 * +bit 213 I 1 IOC19 +bit 212 O 1 IOC18 211 1 Z +bit 211 C 1 * +bit 210 I 1 IOC18 +bit 209 O 1 IOC17 208 1 Z +bit 208 C 1 * +bit 207 I 1 IOC17 +bit 206 O 1 IOB18 205 1 Z +bit 205 C 1 * +bit 204 I 1 IOB18 +bit 203 O 1 IOB17 202 1 Z +bit 202 C 1 * +bit 201 I 1 IOB17 +bit 200 O 1 IOA17 199 1 Z +bit 199 C 1 * +bit 198 I 1 IOA17 +bit 197 O 1 IOC16 196 1 Z +bit 196 C 1 * +bit 195 I 1 IOC16 +bit 194 O 1 IOB16 193 1 Z +bit 193 C 1 * +bit 192 I 1 IOB16 +bit 191 O 1 IOD16 190 1 Z +bit 190 C 1 * +bit 189 I 1 IOD16 +bit 188 O 1 IOE16 187 1 Z +bit 187 C 1 * +bit 186 I 1 IOE16 +bit 185 O 1 IOC15 184 1 Z +bit 184 C 1 * +bit 183 I 1 IOC15 +bit 182 O 1 IOD15 181 1 Z +bit 181 C 1 * +bit 180 I 1 IOD15 +bit 179 O 1 IOB15 178 1 Z +bit 178 C 1 * +bit 177 I 1 IOB15 +bit 176 O 1 IOA15 175 1 Z +bit 175 C 1 * +bit 174 I 1 IOA15 +bit 173 O 1 IOE15 172 1 Z +bit 172 C 1 * +bit 171 I 1 IOE15 +bit 170 O 1 IOF14 169 1 Z +bit 169 C 1 * +bit 168 I 1 IOF14 +bit 167 O 1 IOA14 166 1 Z +bit 166 C 1 * +bit 165 I 1 IOA14 +bit 164 O 1 IOB14 163 1 Z +bit 163 C 1 * +bit 162 I 1 IOB14 +bit 161 O 1 IOE14 160 1 Z +bit 160 C 1 * +bit 159 I 1 IOE14 +bit 158 O 1 IOE13 157 1 Z +bit 157 C 1 * +bit 156 I 1 IOE13 +bit 155 O 1 IOC14 154 1 Z +bit 154 C 1 * +bit 153 I 1 IOC14 +bit 152 O 1 IOD14 151 1 Z +bit 151 C 1 * +bit 150 I 1 IOD14 +bit 149 O 1 IOA13 148 1 Z +bit 148 C 1 * +bit 147 I 1 IOA13 +bit 146 O 1 IOB13 145 1 Z +bit 145 C 1 * +bit 144 I 1 IOB13 +bit 143 O 1 IOC13 142 1 Z +bit 142 C 1 * +bit 141 I 1 IOC13 +bit 140 O 1 IOD13 139 1 Z +bit 139 C 1 * +bit 138 I 1 IOD13 +bit 137 O 1 IOE12 136 1 Z +bit 136 C 1 * +bit 135 I 1 IOE12 +bit 134 O 1 IOF12 133 1 Z +bit 133 C 1 * +bit 132 I 1 IOF12 +bit 131 O 1 IOA12 130 1 Z +bit 130 C 1 * +bit 129 I 1 IOA12 +bit 128 O 1 IOB12 127 1 Z +bit 127 C 1 * +bit 126 I 1 IOB12 +bit 125 O 1 IOD12 124 1 Z +bit 124 C 1 * +bit 123 I 1 IOD12 +bit 122 O 1 IOC12 121 1 Z +bit 121 C 1 * +bit 120 I 1 IOC12 +bit 119 O 1 IOE11 118 1 Z +bit 118 C 1 * +bit 117 I 1 IOE11 +bit 116 O 1 IOA11 115 1 Z +bit 115 C 1 * +bit 114 I 1 IOA11 +bit 113 O 1 IOB11 112 1 Z +bit 112 C 1 * +bit 111 I 1 IOB11 +bit 110 O 1 IOD11 109 1 Z +bit 109 C 1 * +bit 108 I 1 IOD11 +bit 107 O 1 IOC11 106 1 Z +bit 106 C 1 * +bit 105 I 1 IOC11 +bit 104 O 1 IOD10 103 1 Z +bit 103 C 1 * +bit 102 I 1 IOD10 +bit 101 O 1 IOC10 100 1 Z +bit 100 C 1 * +bit 99 I 1 IOC10 +bit 98 O 1 IOF10 97 1 Z +bit 97 C 1 * +bit 96 I 1 IOF10 +bit 95 O 1 IOA10 94 1 Z +bit 94 C 1 * +bit 93 I 1 IOA10 +bit 92 O 1 IOB10 91 1 Z +bit 91 C 1 * +bit 90 I 1 IOB10 +bit 89 O 1 IOE10 88 1 Z +bit 88 C 1 * +bit 87 I 1 IOE10 +bit 86 O 1 IOE9 85 1 Z +bit 85 C 1 * +bit 84 I 1 IOE9 +bit 83 O 1 IOC9 82 1 Z +bit 82 C 1 * +bit 81 I 1 IOC9 +bit 80 O 1 IOD9 79 1 Z +bit 79 C 1 * +bit 78 I 1 IOD9 +bit 77 O 1 IOA9 76 1 Z +bit 76 C 1 * +bit 75 I 1 IOA9 +bit 74 O 1 IOB9 73 1 Z +bit 73 C 1 * +bit 72 I 1 IOB9 +bit 71 O 1 IOC8 70 1 Z +bit 70 C 1 * +bit 69 I 1 IOC8 +bit 68 O 1 IOD8 67 1 Z +bit 67 C 1 * +bit 66 I 1 IOD8 +bit 65 O 1 IOA8 64 1 Z +bit 64 C 1 * +bit 63 I 1 IOA8 +bit 62 O 1 IOB8 61 1 Z +bit 61 C 1 * +bit 60 I 1 IOB8 +bit 59 O 1 IOE8 58 1 Z +bit 58 C 1 * +bit 57 I 1 IOE8 +bit 56 O 1 IOF8 55 1 Z +bit 55 C 1 * +bit 54 I 1 IOF8 +bit 53 O 1 IOC7 52 1 Z +bit 52 C 1 * +bit 51 I 1 IOC7 +bit 50 O 1 IOD7 49 1 Z +bit 49 C 1 * +bit 48 I 1 IOD7 +bit 47 O 1 IOA7 46 1 Z +bit 46 C 1 * +bit 45 I 1 IOA7 +bit 44 O 1 IOB7 43 1 Z +bit 43 C 1 * +bit 42 I 1 IOB7 +bit 41 O 1 IOE7 40 1 Z +bit 40 C 1 * +bit 39 I 1 IOE7 +bit 38 O 1 IOF7 37 1 Z +bit 37 C 1 * +bit 36 I 1 IOF7 +bit 35 O 1 IOA6 34 1 Z +bit 34 C 1 * +bit 33 I 1 IOA6 +bit 32 O 1 IOB6 31 1 Z +bit 31 C 1 * +bit 30 I 1 IOB6 +bit 29 O 1 IOE6 28 1 Z +bit 28 C 1 * +bit 27 I 1 IOE6 +bit 26 O 1 IOC6 25 1 Z +bit 25 C 1 * +bit 24 I 1 IOC6 +bit 23 O 1 IOB5 22 1 Z +bit 22 C 1 * +bit 21 I 1 IOB5 +bit 20 O 1 IOC5 19 1 Z +bit 19 C 1 * +bit 18 I 1 IOC5 +bit 17 O 1 IOD6 16 1 Z +bit 16 C 1 * +bit 15 I 1 IOD6 +bit 14 O 1 IOD5 13 1 Z +bit 13 C 1 * +bit 12 I 1 IOD5 +bit 11 O 1 IOA4 10 1 Z +bit 10 C 1 * +bit 9 I 1 IOA4 +bit 8 O 1 IOB4 7 1 Z +bit 7 C 1 * +bit 6 I 1 IOB4 +bit 5 O 1 IOB3 4 1 Z +bit 4 C 1 * +bit 3 I 1 IOB3 +bit 2 O 1 IOC4 1 1 Z +bit 1 C 1 * +bit 0 I 1 IOC4 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep1c6q240/ep1c6q240 b/sie_fs/usr/local/share/urjtag/altera/ep1c6q240/ep1c6q240 new file mode 100644 index 0000000..bf7f791 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep1c6q240/ep1c6q240 @@ -0,0 +1,818 @@ +# +# $Id$ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Kent Palmkvist +# + +# JTAG declarations for EP1C6Q240 idcode 00000010000010000010000011011101 +# generated on Thu Jun 30 23:25:36 2005 by /home/tde/kentp/bsdl2jtag_kp.pl 0.1 from /home/tde/kentp/ep1c6q240_kp.bsd +signal IO13 +signal IO93 +signal IO113 +signal IO203 +signal IO174 +signal IO41 +signal IO38 +signal IO127 +signal IO73 +signal IO64 +signal TMS +signal IO83 +signal IO7 +signal IO85 +signal IO107 +signal IO118 +signal IO2 +signal IO234 +signal IO239 +signal IO135 +signal IO218 +signal IO98 +signal IO167 +signal IO137 +signal IO236 +signal IO14 +signal MSEL0 +signal IO221 +signal IO58 +signal IO184 +signal IO49 +signal IO18 +signal IO97 +signal TCK +signal IO143 +signal IO186 +signal CLK2 +signal IO81 +signal IO63 +signal IO177 +signal IO123 +signal IO100 +signal IO144 +signal IO162 +signal IO185 +signal IO20 +signal IO198 +signal IO74 +signal IO104 +signal IO21 +signal DCLK +signal IO217 +signal IO68 +signal IO164 +signal IO139 +signal IO45 +signal IO228 +signal IO238 +signal IO114 +signal IO188 +signal IO205 +signal IO116 +signal IO102 +signal GND +signal IO222 +signal IO176 +signal IO56 +signal IO179 +signal IO165 +signal IO240 +signal IO1 +signal IO76 +signal IO182 +signal IO53 +signal IO200 +signal DATA0 +signal IO161 +signal IO24 +signal IO78 +signal IO94 +signal IO43 +signal IO4 +signal IO195 +signal IO16 +signal CLK0 +signal IO214 +signal IO121 +signal VCC +signal IO47 +signal IO61 +signal IO160 +signal IO11 +signal IO82 +signal IO187 +signal IO65 +signal IO180 +signal IO213 +signal IO15 +signal IO88 +signal IO220 +signal IO156 +signal IO226 +signal IO158 +signal TDO +signal IO126 +signal IO215 +signal IO134 +signal IO206 +signal IO23 +signal IO122 +signal IO169 +signal IO99 +signal IO54 +signal IO119 +signal IO80 +signal IO95 +signal IO128 +signal IO17 +signal IO106 +signal IO59 +signal IO202 +signal IO67 +signal IO124 +signal IO168 +signal IO132 +signal IO108 +signal IO235 +signal IO12 +signal NCONFIG +signal NCE +signal IO224 +signal IO233 +signal IO199 +signal IO183 +signal NCEO +signal IO48 +signal IO19 +signal IO131 +signal IO136 +signal IO39 +signal IO96 +signal MSEL1 +signal IO6 +signal IO178 +signal IO101 +signal IO87 +signal IO175 +signal IO138 +signal TDI +signal IO196 +signal IO193 +signal IO159 +signal IO237 +signal IO125 +signal IO105 +signal IO86 +signal IO117 +signal IO163 +signal CLK3 +signal IO140 +signal IO194 +signal IO5 +signal IO75 +signal IO50 +signal IO84 +signal IO181 +signal IO225 +signal IO170 +signal NSTATUS +signal IO141 +signal IO207 +signal IO103 +signal IO120 +signal IO8 +signal IO166 +signal IO208 +signal CONF_DONE +signal IO216 +signal IO79 +signal IO66 +signal IO57 +signal IO62 +signal IO77 +signal IO133 +signal IO223 +signal IO3 +signal IO55 +signal IO173 +signal IO219 +signal IO227 +signal IO60 +signal IO46 +signal IO44 +signal IO197 +signal IO204 +signal CLK1 +signal IO42 +signal IO115 +signal IO37 +signal IO201 +register BYPASS 1 +register BSR 582 +register IOCSR 3366 +register DEVICE_ID 32 +instruction length 10 +instruction BYPASS 1111111111 BYPASS +instruction IDCODE 0000000110 DEVICE_ID +# instruction USERCODE 0000000111 +instruction SAMPLE/PRELOAD 0000000101 BSR +instruction EXTEST 0000000000 BSR +# instruction CLAMP 0000001010 +# instruction HIGHZ 0000001011 +instruction CONFIG_IO 0000001101 IOCSR +bit 0 I ? IO240 +bit 1 C 1 * +bit 2 O ? IO240 1 1 Z +bit 3 I ? IO239 +bit 4 C 1 * +bit 5 O ? IO239 4 1 Z +bit 6 I ? IO238 +bit 7 C 1 * +bit 8 O ? IO238 7 1 Z +bit 9 I ? IO237 +bit 10 C 1 * +bit 11 O ? IO237 10 1 Z +bit 12 I ? IO236 +bit 13 C 1 * +bit 14 O ? IO236 13 1 Z +bit 15 I ? IO235 +bit 16 C 1 * +bit 17 O ? IO235 16 1 Z +bit 18 I ? IO234 +bit 19 C 1 * +bit 20 O ? IO234 19 1 Z +bit 21 I ? IO233 +bit 22 C 1 * +bit 23 O ? IO233 22 1 Z +bit 24 I ? IO228 +bit 25 C 1 * +bit 26 O ? IO228 25 1 Z +bit 27 I ? IO227 +bit 28 C 1 * +bit 29 O ? IO227 28 1 Z +bit 30 I ? IO226 +bit 31 C 1 * +bit 32 O ? IO226 31 1 Z +bit 33 I ? IO225 +bit 34 C 1 * +bit 35 O ? IO225 34 1 Z +bit 36 I ? IO224 +bit 37 C 1 * +bit 38 O ? IO224 37 1 Z +bit 39 I ? IO223 +bit 40 C 1 * +bit 41 O ? IO223 40 1 Z +bit 42 I ? IO222 +bit 43 C 1 * +bit 44 O ? IO222 43 1 Z +bit 45 I ? IO221 +bit 46 C 1 * +bit 47 O ? IO221 46 1 Z +bit 48 I ? IO220 +bit 49 C 1 * +bit 50 O ? IO220 49 1 Z +bit 51 I ? IO219 +bit 52 C 1 * +bit 53 O ? IO219 52 1 Z +bit 54 I ? IO218 +bit 55 C 1 * +bit 56 O ? IO218 55 1 Z +bit 57 I ? IO217 +bit 58 C 1 * +bit 59 O ? IO217 58 1 Z +bit 60 I ? IO216 +bit 61 C 1 * +bit 62 O ? IO216 61 1 Z +bit 63 I ? IO215 +bit 64 C 1 * +bit 65 O ? IO215 64 1 Z +bit 66 I ? IO214 +bit 67 C 1 * +bit 68 O ? IO214 67 1 Z +bit 69 I ? IO213 +bit 70 C 1 * +bit 71 O ? IO213 70 1 Z +bit 72 I ? IO208 +bit 73 C 1 * +bit 74 O ? IO208 73 1 Z +bit 75 I ? IO207 +bit 76 C 1 * +bit 77 O ? IO207 76 1 Z +bit 78 I ? IO206 +bit 79 C 1 * +bit 80 O ? IO206 79 1 Z +bit 81 I ? IO205 +bit 82 C 1 * +bit 83 O ? IO205 82 1 Z +bit 84 I ? IO204 +bit 85 C 1 * +bit 86 O ? IO204 85 1 Z +bit 87 I ? IO203 +bit 88 C 1 * +bit 89 O ? IO203 88 1 Z +bit 90 I ? IO202 +bit 91 C 1 * +bit 92 O ? IO202 91 1 Z +bit 93 I ? IO201 +bit 94 C 1 * +bit 95 O ? IO201 94 1 Z +bit 96 I ? IO200 +bit 97 C 1 * +bit 98 O ? IO200 97 1 Z +bit 99 I ? IO199 +bit 100 C 1 * +bit 101 O ? IO199 100 1 Z +bit 102 I ? IO198 +bit 103 C 1 * +bit 104 O ? IO198 103 1 Z +bit 105 I ? IO197 +bit 106 C 1 * +bit 107 O ? IO197 106 1 Z +bit 108 I ? IO196 +bit 109 C 1 * +bit 110 O ? IO196 109 1 Z +bit 111 I ? IO195 +bit 112 C 1 * +bit 113 O ? IO195 112 1 Z +bit 114 I ? IO194 +bit 115 C 1 * +bit 116 O ? IO194 115 1 Z +bit 117 I ? IO193 +bit 118 C 1 * +bit 119 O ? IO193 118 1 Z +bit 120 I ? IO188 +bit 121 C 1 * +bit 122 O ? IO188 121 1 Z +bit 123 I ? IO187 +bit 124 C 1 * +bit 125 O ? IO187 124 1 Z +bit 126 I ? IO186 +bit 127 C 1 * +bit 128 O ? IO186 127 1 Z +bit 129 I ? IO185 +bit 130 C 1 * +bit 131 O ? IO185 130 1 Z +bit 132 I ? IO184 +bit 133 C 1 * +bit 134 O ? IO184 133 1 Z +bit 135 I ? IO183 +bit 136 C 1 * +bit 137 O ? IO183 136 1 Z +bit 138 I ? IO182 +bit 139 C 1 * +bit 140 O ? IO182 139 1 Z +bit 141 I ? IO181 +bit 142 C 1 * +bit 143 O ? IO181 142 1 Z +bit 144 I ? IO180 +bit 145 C 1 * +bit 146 O ? IO180 145 1 Z +bit 147 I ? IO179 +bit 148 C 1 * +bit 149 O ? IO179 148 1 Z +bit 150 I ? IO178 +bit 151 C 1 * +bit 152 O ? IO178 151 1 Z +bit 153 I ? IO177 +bit 154 C 1 * +bit 155 O ? IO177 154 1 Z +bit 156 I ? IO176 +bit 157 C 1 * +bit 158 O ? IO176 157 1 Z +bit 159 I ? IO175 +bit 160 C 1 * +bit 161 O ? IO175 160 1 Z +bit 162 I ? IO174 +bit 163 C 1 * +bit 164 O ? IO174 163 1 Z +bit 165 I ? IO173 +bit 166 C 1 * +bit 167 O ? IO173 166 1 Z +bit 168 I ? IO170 +bit 169 C 1 * +bit 170 O ? IO170 169 1 Z +bit 171 I ? IO169 +bit 172 C 1 * +bit 173 O ? IO169 172 1 Z +bit 174 I ? IO168 +bit 175 C 1 * +bit 176 O ? IO168 175 1 Z +bit 177 I ? IO167 +bit 178 C 1 * +bit 179 O ? IO167 178 1 Z +bit 180 I ? IO166 +bit 181 C 1 * +bit 182 O ? IO166 181 1 Z +bit 183 I ? IO165 +bit 184 C 1 * +bit 185 O ? IO165 184 1 Z +bit 186 I ? IO164 +bit 187 C 1 * +bit 188 O ? IO164 187 1 Z +bit 189 I ? IO163 +bit 190 C 1 * +bit 191 O ? IO163 190 1 Z +bit 192 I ? IO162 +bit 193 C 1 * +bit 194 O ? IO162 193 1 Z +bit 195 I ? IO161 +bit 196 C 1 * +bit 197 O ? IO161 196 1 Z +bit 198 I ? IO160 +bit 199 C 1 * +bit 200 O ? IO160 199 1 Z +bit 201 I ? IO159 +bit 202 C 1 * +bit 203 O ? IO159 202 1 Z +bit 204 I ? IO158 +bit 205 C 1 * +bit 206 O ? IO158 205 1 Z +bit 207 I ? IO156 +bit 208 C 1 * +bit 209 O ? IO156 208 1 Z +bit 210 I ? CLK2 +bit 211 X ? * +bit 212 X ? * +bit 213 X ? * +bit 214 X 1 * +bit 215 X ? * +bit 216 X ? * +bit 217 X 1 * +bit 218 X ? * +bit 219 X ? * +bit 220 X 1 * +bit 221 X ? * +bit 222 I ? IO144 +bit 223 C 1 * +bit 224 O ? IO144 223 1 Z +bit 225 I ? IO143 +bit 226 C 1 * +bit 227 O ? IO143 226 1 Z +bit 228 I ? IO141 +bit 229 C 1 * +bit 230 O ? IO141 229 1 Z +bit 231 I ? IO140 +bit 232 C 1 * +bit 233 O ? IO140 232 1 Z +bit 234 I ? IO139 +bit 235 C 1 * +bit 236 O ? IO139 235 1 Z +bit 237 I ? IO138 +bit 238 C 1 * +bit 239 O ? IO138 238 1 Z +bit 240 I ? IO137 +bit 241 C 1 * +bit 242 O ? IO137 241 1 Z +bit 243 I ? IO136 +bit 244 C 1 * +bit 245 O ? IO136 244 1 Z +bit 246 I ? IO135 +bit 247 C 1 * +bit 248 O ? IO135 247 1 Z +bit 249 I ? IO134 +bit 250 C 1 * +bit 251 O ? IO134 250 1 Z +bit 252 I ? IO133 +bit 253 C 1 * +bit 254 O ? IO133 253 1 Z +bit 255 I ? IO132 +bit 256 C 1 * +bit 257 O ? IO132 256 1 Z +bit 258 I ? IO131 +bit 259 C 1 * +bit 260 O ? IO131 259 1 Z +bit 261 I ? IO128 +bit 262 C 1 * +bit 263 O ? IO128 262 1 Z +bit 264 I ? IO127 +bit 265 C 1 * +bit 266 O ? IO127 265 1 Z +bit 267 I ? IO126 +bit 268 C 1 * +bit 269 O ? IO126 268 1 Z +bit 270 I ? IO125 +bit 271 C 1 * +bit 272 O ? IO125 271 1 Z +bit 273 I ? IO124 +bit 274 C 1 * +bit 275 O ? IO124 274 1 Z +bit 276 I ? IO123 +bit 277 C 1 * +bit 278 O ? IO123 277 1 Z +bit 279 I ? IO122 +bit 280 C 1 * +bit 281 O ? IO122 280 1 Z +bit 282 I ? IO121 +bit 283 C 1 * +bit 284 O ? IO121 283 1 Z +bit 285 I ? IO120 +bit 286 C 1 * +bit 287 O ? IO120 286 1 Z +bit 288 I ? IO119 +bit 289 C 1 * +bit 290 O ? IO119 289 1 Z +bit 291 I ? IO118 +bit 292 C 1 * +bit 293 O ? IO118 292 1 Z +bit 294 I ? IO117 +bit 295 C 1 * +bit 296 O ? IO117 295 1 Z +bit 297 I ? IO116 +bit 298 C 1 * +bit 299 O ? IO116 298 1 Z +bit 300 I ? IO115 +bit 301 C 1 * +bit 302 O ? IO115 301 1 Z +bit 303 I ? IO114 +bit 304 C 1 * +bit 305 O ? IO114 304 1 Z +bit 306 I ? IO113 +bit 307 C 1 * +bit 308 O ? IO113 307 1 Z +bit 309 I ? IO108 +bit 310 C 1 * +bit 311 O ? IO108 310 1 Z +bit 312 I ? IO107 +bit 313 C 1 * +bit 314 O ? IO107 313 1 Z +bit 315 I ? IO106 +bit 316 C 1 * +bit 317 O ? IO106 316 1 Z +bit 318 I ? IO105 +bit 319 C 1 * +bit 320 O ? IO105 319 1 Z +bit 321 I ? IO104 +bit 322 C 1 * +bit 323 O ? IO104 322 1 Z +bit 324 I ? IO103 +bit 325 C 1 * +bit 326 O ? IO103 325 1 Z +bit 327 I ? IO102 +bit 328 C 1 * +bit 329 O ? IO102 328 1 Z +bit 330 I ? IO101 +bit 331 C 1 * +bit 332 O ? IO101 331 1 Z +bit 333 I ? IO100 +bit 334 C 1 * +bit 335 O ? IO100 334 1 Z +bit 336 I ? IO99 +bit 337 C 1 * +bit 338 O ? IO99 337 1 Z +bit 339 I ? IO98 +bit 340 C 1 * +bit 341 O ? IO98 340 1 Z +bit 342 I ? IO97 +bit 343 C 1 * +bit 344 O ? IO97 343 1 Z +bit 345 I ? IO96 +bit 346 C 1 * +bit 347 O ? IO96 346 1 Z +bit 348 I ? IO95 +bit 349 C 1 * +bit 350 O ? IO95 349 1 Z +bit 351 I ? IO94 +bit 352 C 1 * +bit 353 O ? IO94 352 1 Z +bit 354 I ? IO93 +bit 355 C 1 * +bit 356 O ? IO93 355 1 Z +bit 357 I ? IO88 +bit 358 C 1 * +bit 359 O ? IO88 358 1 Z +bit 360 I ? IO87 +bit 361 C 1 * +bit 362 O ? IO87 361 1 Z +bit 363 I ? IO86 +bit 364 C 1 * +bit 365 O ? IO86 364 1 Z +bit 366 I ? IO85 +bit 367 C 1 * +bit 368 O ? IO85 367 1 Z +bit 369 I ? IO84 +bit 370 C 1 * +bit 371 O ? IO84 370 1 Z +bit 372 I ? IO83 +bit 373 C 1 * +bit 374 O ? IO83 373 1 Z +bit 375 I ? IO82 +bit 376 C 1 * +bit 377 O ? IO82 376 1 Z +bit 378 I ? IO81 +bit 379 C 1 * +bit 380 O ? IO81 379 1 Z +bit 381 I ? IO80 +bit 382 C 1 * +bit 383 O ? IO80 382 1 Z +bit 384 I ? IO79 +bit 385 C 1 * +bit 386 O ? IO79 385 1 Z +bit 387 I ? IO78 +bit 388 C 1 * +bit 389 O ? IO78 388 1 Z +bit 390 I ? IO77 +bit 391 C 1 * +bit 392 O ? IO77 391 1 Z +bit 393 I ? IO76 +bit 394 C 1 * +bit 395 O ? IO76 394 1 Z +bit 396 I ? IO75 +bit 397 C 1 * +bit 398 O ? IO75 397 1 Z +bit 399 I ? IO74 +bit 400 C 1 * +bit 401 O ? IO74 400 1 Z +bit 402 I ? IO73 +bit 403 C 1 * +bit 404 O ? IO73 403 1 Z +bit 405 I ? IO68 +bit 406 C 1 * +bit 407 O ? IO68 406 1 Z +bit 408 I ? IO67 +bit 409 C 1 * +bit 410 O ? IO67 409 1 Z +bit 411 I ? IO66 +bit 412 C 1 * +bit 413 O ? IO66 412 1 Z +bit 414 I ? IO65 +bit 415 C 1 * +bit 416 O ? IO65 415 1 Z +bit 417 I ? IO64 +bit 418 C 1 * +bit 419 O ? IO64 418 1 Z +bit 420 I ? IO63 +bit 421 C 1 * +bit 422 O ? IO63 421 1 Z +bit 423 I ? IO62 +bit 424 C 1 * +bit 425 O ? IO62 424 1 Z +bit 426 I ? IO61 +bit 427 C 1 * +bit 428 O ? IO61 427 1 Z +bit 429 I ? IO60 +bit 430 C 1 * +bit 431 O ? IO60 430 1 Z +bit 432 I ? IO59 +bit 433 C 1 * +bit 434 O ? IO59 433 1 Z +bit 435 I ? IO58 +bit 436 C 1 * +bit 437 O ? IO58 436 1 Z +bit 438 I ? IO57 +bit 439 C 1 * +bit 440 O ? IO57 439 1 Z +bit 441 I ? IO56 +bit 442 C 1 * +bit 443 O ? IO56 442 1 Z +bit 444 I ? IO55 +bit 445 C 1 * +bit 446 O ? IO55 445 1 Z +bit 447 I ? IO54 +bit 448 C 1 * +bit 449 O ? IO54 448 1 Z +bit 450 I ? IO53 +bit 451 C 1 * +bit 452 O ? IO53 451 1 Z +bit 453 I ? IO50 +bit 454 C 1 * +bit 455 O ? IO50 454 1 Z +bit 456 I ? IO49 +bit 457 C 1 * +bit 458 O ? IO49 457 1 Z +bit 459 I ? IO48 +bit 460 C 1 * +bit 461 O ? IO48 460 1 Z +bit 462 I ? IO47 +bit 463 C 1 * +bit 464 O ? IO47 463 1 Z +bit 465 I ? IO46 +bit 466 C 1 * +bit 467 O ? IO46 466 1 Z +bit 468 I ? IO45 +bit 469 C 1 * +bit 470 O ? IO45 469 1 Z +bit 471 I ? IO44 +bit 472 C 1 * +bit 473 O ? IO44 472 1 Z +bit 474 I ? IO43 +bit 475 C 1 * +bit 476 O ? IO43 475 1 Z +bit 477 I ? IO42 +bit 478 C 1 * +bit 479 O ? IO42 478 1 Z +bit 480 I ? IO41 +bit 481 C 1 * +bit 482 O ? IO41 481 1 Z +bit 483 I ? IO39 +bit 484 C 1 * +bit 485 O ? IO39 484 1 Z +bit 486 I ? IO38 +bit 487 C 1 * +bit 488 O ? IO38 487 1 Z +bit 489 I ? IO37 +bit 490 C 1 * +bit 491 O ? IO37 490 1 Z +bit 492 I ? DCLK +bit 493 C 1 * +bit 494 O ? DCLK 493 1 Z +bit 495 I ? MSEL1 +bit 496 X ? * +bit 497 X ? * +bit 498 I ? MSEL0 +bit 499 X ? * +bit 500 X ? * +bit 501 X ? * +bit 502 X 1 * +bit 503 X ? * +bit 504 X ? * +bit 505 X 1 * +bit 506 X ? * +bit 507 X ? * +bit 508 X 1 * +bit 509 X ? * +bit 510 I ? CLK0 +bit 511 X ? * +bit 512 X ? * +bit 513 X ? * +bit 514 X 1 * +bit 515 X ? * +bit 516 I ? DATA0 +bit 517 X ? * +bit 518 X ? * +bit 519 I ? IO24 +bit 520 C 1 * +bit 521 O ? IO24 520 1 Z +bit 522 I ? IO23 +bit 523 C 1 * +bit 524 O ? IO23 523 1 Z +bit 525 I ? IO21 +bit 526 C 1 * +bit 527 O ? IO21 526 1 Z +bit 528 I ? IO20 +bit 529 C 1 * +bit 530 O ? IO20 529 1 Z +bit 531 I ? IO19 +bit 532 C 1 * +bit 533 O ? IO19 532 1 Z +bit 534 I ? IO18 +bit 535 C 1 * +bit 536 O ? IO18 535 1 Z +bit 537 I ? IO17 +bit 538 C 1 * +bit 539 O ? IO17 538 1 Z +bit 540 I ? IO16 +bit 541 C 1 * +bit 542 O ? IO16 541 1 Z +bit 543 I ? IO15 +bit 544 C 1 * +bit 545 O ? IO15 544 1 Z +bit 546 I ? IO14 +bit 547 C 1 * +bit 548 O ? IO14 547 1 Z +bit 549 I ? IO13 +bit 550 C 1 * +bit 551 O ? IO13 550 1 Z +bit 552 I ? IO12 +bit 553 C 1 * +bit 554 O ? IO12 553 1 Z +bit 555 I ? IO11 +bit 556 C 1 * +bit 557 O ? IO11 556 1 Z +bit 558 I ? IO8 +bit 559 C 1 * +bit 560 O ? IO8 559 1 Z +bit 561 I ? IO7 +bit 562 C 1 * +bit 563 O ? IO7 562 1 Z +bit 564 I ? IO6 +bit 565 C 1 * +bit 566 O ? IO6 565 1 Z +bit 567 I ? IO5 +bit 568 C 1 * +bit 569 O ? IO5 568 1 Z +bit 570 I ? IO4 +bit 571 C 1 * +bit 572 O ? IO4 571 1 Z +bit 573 I ? IO3 +bit 574 C 1 * +bit 575 O ? IO3 574 1 Z +bit 576 I ? IO2 +bit 577 C 1 * +bit 578 O ? IO2 577 1 Z +bit 579 I ? IO1 +bit 580 C 1 * +bit 581 O ? IO1 580 1 Z diff --git a/sie_fs/usr/local/share/urjtag/altera/ep2c8/STEPPINGS b/sie_fs/usr/local/share/urjtag/altera/ep2c8/STEPPINGS new file mode 100644 index 0000000..c780f35 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep2c8/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id: STEPPINGS 897 2007-12-29 13:02:32Z arniml $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by H Hartley Sweeten +# + +# bits 31-28 of the Device Identification Register +0000 ep2c8 0 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep2c8/ep2c8 b/sie_fs/usr/local/share/urjtag/altera/ep2c8/ep2c8 new file mode 100644 index 0000000..24668cc --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep2c8/ep2c8 @@ -0,0 +1,2191 @@ +# +# $Id: ep2c8 897 2007-12-29 13:02:32Z arniml $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by H Hartley Sweeten +# +# Note: +# The signals defined in this file are generic for any EP2C8/EP2C8A. +# Signalnames according to Altera's package tables are set in the +# package specific scripts. +# +# **Testing Differential Pin Pairs** +# Refer to the note in the original bsd-files for issues related to +# testing differential pin pairs! +# +# Pins T144-1/Q208-1/F256-C3 (BSC198) and T144-2/Q208-2/F256-F4 (BSC197) +# are dedicated output pins (ASDO and nCSO respectively) when the MSEL +# pins are connected to set the device in Active Serial programming mode. +# This configuration file assumes that that mode is not used. Therefore, +# they are specified as I/O pins. +# +# | Version (4 bits) | Part number (16 bits) | Manufacturer Id (11 bits) | LSB (1 bit) | +# IDCODE: | 0000 | 0010 0000 1011 0010 | 000 0110 1110 | 1 | +# +# Documentation: +# [1] Altera Corporation, "Cyclone II Device Handbook", CII5V1-3.2 +# [2] Altera Corporation, "Pin Information for the Cyclone(r) II EP2C8 & EP2C8A Devices", Version 1.8 +# [3] Altera Corporation, "EP2C8F256.BSD", Revision 1.03, 11/10/2006 +# [4] Altera Corporation, "EP2C8Q208.BSD", Revision 1.03, 11/10/2006 +# [5] Altera Corporation, "EP2C8T144.BSD", Revision 1.03, 11/10/2006 + +register BYPASS 1 +register BSR 597 +register IOCSR 5640 +register DEVICE_ID 32 +register USERCODE 32 + +instruction length 10 +instruction BYPASS 1111111111 BYPASS +instruction IDCODE 0000000110 DEVICE_ID +instruction USERCODE 0000000111 USERCODE +instruction CLAMP 0000001010 BYPASS +instruction SAMPLE/PRELOAD 0000000101 BSR +instruction EXTEST 0000001111 BSR +instruction CONFIG_IO 0000001101 IOCSR +instruction HIGHZ 0000001011 BYPASS + + + # Bank VREFB Group Pin Name Optional Function(s) Configuration Function T144 Q208 F256 + # ---- ----------- -------- -------------------- ---------------------- ---- ---- ---- +signal BSC198 # B1 VREFB1N0 IO ASDO ASDO 1 1 C3 +signal BSC197 # B1 VREFB1N0 IO nCSO nCSO 2 2 F4 +signal BSC196 # B1 VREFB1N0 IO LVDS15p CRC_ERROR 3 3 C1 +signal BSC195 # B1 VREFB1N0 IO LVDS15n CLKUSR 4 4 C2 +signal BSC194 # B1 VREFB1N0 IO LVDS14p 5 D5 +signal BSC193 # B1 VREFB1N0 IO LVDS14n 6 E5 + # B1 VREFB1N0 VCCIO1 5 7 +signal BSC192 # B1 VREFB1N0 IO LVDS13p 8 F5 + # B1 VREFB1N0 GND 6 9 +signal BSC191 # B1 VREFB1N0 IO LVDS13n 10 +signal BSC190 # B1 VREFB1N0 IO LVDS12p 11 D3 +signal BSC189 # B1 VREFB1N0 IO LVDS12n 12 D4 + # B1 VREFB1N0 VCCIO1 +signal BSC188 # B1 VREFB1N0 IO VREFB1N0 7 13 F3 +signal BSC187 # B1 VREFB1N0 IO LVDS11p D2 +signal BSC186 # B1 VREFB1N0 IO LVDS11n D1 +signal BSC185 # B1 VREFB1N0 IO LVDS10p E3 +signal BSC184 # B1 VREFB1N0 IO LVDS10n E4 + # B1 VREFB1N0 GND +signal BSC183 # B1 VREFB1N0 IO G4 +signal BSC182 # B1 VREFB1N0 IO LVDS9p J6 +signal BSC181 # B1 VREFB1N0 IO LVDS9n H6 +signal BSC180 # B1 VREFB1N0 IO LVDS8p 8 14 E1 +signal BSC179 # B1 VREFB1N0 IO LVDS8n 9 15 E2 + # B1 VREFB1N0 VCCIO1 +signal TDO # B1 VREFB1N0 TDO TDO 10 16 G2 +signal TMS # B1 VREFB1N0 TMS TMS 11 17 G1 +signal TCK # B1 VREFB1N0 TCK TCK 12 18 F2 +signal TDI # B1 VREFB1N0 TDI TDI 13 19 F5 +signal BSC178 # B1 VREFB1N0 DATA0 DATA0 DATA0 14 20 F1 +signal BSC177 # B1 VREFB1N0 DCLK DCLK DCLK 15 21 H4 +signal BSC176 # B1 VREFB1N0 nCE nCE 16 22 G5 +signal BSC175 # B1 VREFB1N0 CLK0 LVDSCLK0p/input(3) 17 23 H2 +signal BSC174 # B1 VREFB1N0 CLK1 LVDSCLK0n/input(3) 18 24 H1 + # B1 VREFB1N0 GND 19 25 +signal BSC173 # B1 VREFB1N0 nCONFIG nCONFIG 20 26 J5 +signal BSC172 # B1 VREFB1N1 CLK2 LVDSCLK1p/input(3) 21 27 J2 +signal BSC171 # B1 VREFB1N1 CLK3 LVDSCLK1n/input(3) 22 28 J1 + # B1 VREFB1N1 VCCIO1 23 29 +signal BSC170 # B1 VREFB1N1 IO LVDS7p 24 30 K2 +signal BSC169 # B1 VREFB1N1 IO LVDS7n 25 31 K1 +signal BSC168 # B1 VREFB1N1 IO LVDS6p K4 + # B1 VREFB1N1 VCCINT 26 32 +signal BSC167 # B1 VREFB1N1 IO LVDS6n 33 K5 +signal BSC166 # B1 VREFB1N1 IO 34 +signal BSC165 # B1 VREFB1N1 IO LVDS5p 35 L1 + # B1 VREFB1N1 GND 27 36 +signal BSC164 # B1 VREFB1N1 IO LVDS5n L2 + # B1 VREFB1N1 GND +signal BSC163 # B1 VREFB1N1 IO VREFB1N1 28 37 J4 + # B1 VREFB1N1 VCCIO1 +signal BSC162 # B1 VREFB1N1 IO LVDS4p M1 +signal BSC161 # B1 VREFB1N1 IO LVDS4n M2 +signal BSC160 # B1 VREFB1N1 IO LVDS3p M3 + # B1 VREFB1N1 GND 38 +signal BSC159 # B1 VREFB1N1 IO LVDS3n 39 L3 +signal BSC158 # B1 VREFB1N1 IO LVDS2p 40 N1 +signal BSC157 # B1 VREFB1N1 IO LVDS2n 41 N2 +signal BSC156 # B1 VREFB1N1 IO LVDS1p P1 +signal BSC155 # B1 VREFB1N1 IO LVDS1n P2 + # B1 VREFB1N1 VCCIO1 29 42 +signal BSC154 # B1 VREFB1N1 IO 43 +signal BSC153 # B1 VREFB1N1 IO LVDS0p 44 N3 +signal BSC152 # B1 VREFB1N1 IO LVDS0n 45 N4 +signal BSC151 # B1 VREFB1N1 IO 30 46 P3 +signal BSC150 # B1 VREFB1N1 IO PLL1_OUTp 31 47 L4 +signal BSC149 # B1 VREFB1N1 IO PLL1_OUTn 32 48 M4 + # B1 VREFB1N1 GND 33 49 + # B1 VREFB1N1 GND_PLL1 34 50 L5 + # B1 VREFB1N1 VCCD_PLL1 35 51 L6 + # B1 VREFB1N1 GND_PLL1 36 52 N5 + # B4 VREFB4N1 VCCA_PLL1 37 53 M5 + # B4 VREFB4N1 GNDA_PLL1 38 54 M6 + # B4 VREFB4N1 GND 39 55 +signal BSC148 # B4 VREFB4N1 IO LVDS77n DEV_OE 40 56 R3 +signal BSC147 # B4 VREFB4N1 IO LVDS77p 41 57 T3 +signal BSC146 # B4 VREFB4N1 IO LVDS76p 42 58 P5 +signal BSC145 # B4 VREFB4N1 IO LVDS76n 43 59 P4 +signal BSC144 # B4 VREFB4N1 IO LVDS75p 44 60 T4 +signal BSC143 # B4 VREFB4N1 IO LVDS75n 45 61 R4 + # B4 VREFB4N1 VCCIO4 46 62 +signal BSC142 # B4 VREFB4N1 IO LVDS74p 47 63 T5 + # B4 VREFB4N1 GND +signal BSC141 # B4 VREFB4N1 IO LVDS74n 48 64 R5 +signal BSC140 # B4 VREFB4N1 IO N7 +signal BSC139 # B4 VREFB4N1 IO LVDS73p K7 +signal BSC138 # B4 VREFB4N1 IO LVDS73n K6 + # B4 VREFB4N1 VCCIO4 + # B4 VREFB4N1 GND +signal BSC137 # B4 VREFB4N1 IO LVDS72p T6 + # B4 VREFB4N1 GND 49 65 +signal BSC136 # B4 VREFB4N1 IO LVDS72n R6 +signal BSC135 # B4 VREFB4N1 IO LVDS71p P6 +signal BSC134 # B4 VREFB4N1 IO LVDS71n N6 + # B4 VREFB4N1 VCCINT 50 66 +signal BSC133 # B4 VREFB4N1 IO VREFB4N1 51 67 N8 + # B4 VREFB4N1 VCCIO4 + # B4 VREFB4N1 GND +signal BSC132 # B4 VREFB4N1 IO LVDS70p 52 68 T7 +signal BSC131 # B4 VREFB4N1 IO LVDS70n 69 R7 +signal BSC130 # B4 VREFB4N1 IO LVDS69p L7 +signal BSC129 # B4 VREFB4N1 IO LVDS69n L8 +signal BSC128 # B4 VREFB4N1 IO LVDS68p 53 70 T8 + # B4 VREFB4N1 VCCIO4 54 71 +signal BSC127 # B4 VREFB4N1 IO LVDS68n 55 72 R8 + # B4 VREFB4N1 GND 56 73 +signal BSC126 # B4 VREFB4N1 IO LVDS67p 57 74 T9 +signal BSC125 # B4 VREFB4N1 IO LVDS67n 58 75 R9 +signal BSC124 # B4 VREFB4N0 IO LVDS66p 59 76 N9 +signal BSC123 # B4 VREFB4N0 IO LVDS66n 60 77 N10 + # B4 VREFB4N1 GND 61 78 +signal BSC122 # B4 VREFB4N0 IO LVDS65p T11 + # B4 VREFB4N1 VCCINT 62 79 +signal BSC121 # B4 VREFB4N0 IO LVDS65n R11 + # B4 VREFB4N1 VCCIO4 +signal BSC120 # B4 VREFB4N0 IO 80 P11 + # B4 VREFB4N0 GND +signal BSC119 # B4 VREFB4N0 IO LVDS64p 81 L9 +signal BSC118 # B4 VREFB4N0 IO LVDS64n 82 L10 + # B4 VREFB4N0 VCCIO4 83 +signal BSC117 # B4 VREFB4N0 IO LVDS63p 84 R10 + # B4 VREFB4N0 GND 85 +signal BSC116 # B4 VREFB4N0 IO LVDS63n 86 T10 +signal BSC115 # B4 VREFB4N0 IO LVDS62p 87 K11 +signal BSC114 # B4 VREFB4N0 IO LVDS62n 88 K10 +signal BSC113 # B4 VREFB4N0 IO VREFB4N0 63 89 N11 +signal BSC112 # B4 VREFB4N0 IO LVDS61p 90 P12 + # B4 VREFB4N0 VCCIO4 91 +signal BSC111 # B4 VREFB4N0 IO LVDS61n 92 P13 + # B4 VREFB4N0 GND 93 +signal BSC110 # B4 VREFB4N0 IO LVDS60p 64 94 T12 +signal BSC109 # B4 VREFB4N0 IO LVDS60n 65 95 R12 +signal BSC108 # B4 VREFB4N0 IO 96 +signal BSC107 # B4 VREFB4N0 IO LVDS59p 97 T13 + # B4 VREFB4N0 VCCIO4 66 98 +signal BSC106 # B4 VREFB4N0 IO LVDS59n 67 99 R13 + # B4 VREFB4N0 GND 68 100 +signal BSC105 # B4 VREFB4N0 IO LVDS58p 69 101 T14 +signal BSC104 # B4 VREFB4N0 IO LVDS58n 70 102 R14 +signal BSC103 # B4 VREFB4N0 IO LVDS57p 71 103 M11 +signal BSC102 # B4 VREFB4N0 IO LVDS57n 72 104 L11 +signal BSC101 # B3 VREFB3N1 IO LVDS56n 73 105 N12 +signal BSC100 # B3 VREFB3N1 IO LVDS56p 74 106 M12 +signal BSC099 # B3 VREFB3N1 IO LVDS55n L12 +signal BSC098 # B3 VREFB3N1 IO LVDS55p K13 +signal BSC097 # B3 VREFB3N1 IO LVDS54n INIT_DONE 75 107 N13 +signal BSC096 # B3 VREFB3N1 IO LVDS54p nCEO 76 108 N14 + # B3 VREFB3N1 VCCIO3 77 109 +signal BSC095 # B3 VREFB3N1 IO LVDS53n 110 P15 + # B3 VREFB3N1 GND 78 111 +signal BSC094 # B3 VREFB3N1 IO LVDS53p 112 P16 +signal BSC093 # B3 VREFB3N1 IO LVDS52n 113 N15 +signal BSC092 # B3 VREFB3N1 IO LVDS52p 114 N16 +signal BSC091 # B3 VREFB3N1 IO P14 +signal BSC090 # B3 VREFB3N1 IO LVDS51n 115 +signal BSC089 # B3 VREFB3N1 IO LVDS51p 116 +signal BSC088 # B3 VREFB3N1 IO VREFB3N1 79 117 M14 +signal BSC087 # B3 VREFB3N1 IO LVDS50n M15 + # B3 VREFB3N1 VCCIO3 +signal BSC086 # B3 VREFB3N1 IO LVDS50p M16 + # B3 VREFB3N1 GND +signal BSC085 # B3 VREFB3N1 IO 118 + # B3 VREFB3N1 GND 80 119 +signal BSC084 # B3 VREFB3N1 IO L14 +signal BSC083 # B3 VREFB3N1 IO LVDS49n L15 +signal BSC082 # B3 VREFB3N1 IO LVDS49p L16 + # B3 VREFB3N1 VCCINT 81 120 +signal BSC081 # B3 VREFB3N1 nSTATUS nSTATUS 82 121 M13 + # B3 VREFB3N1 VCCIO3 122 +signal BSC080 # B3 VREFB3N1 CONF_DONE CONF_DONE 83 123 L13 + # B3 VREFB3N1 GND 124 +signal BSC079 # B3 VREFB3N1 MSEL1 MSEL1 84 125 K12 +signal BSC078 # B3 VREFB3N1 MSEL0 MSEL0 85 126 J13 +signal BSC077 # B3 VREFB3N1 IO LVDS48n 86 127 K16 +signal BSC076 # B3 VREFB3N1 IO LVDS48p 87 128 K15 +signal BSC075 # B3 VREFB3N1 CLK7 LVDSCLK3n/input(3) 88 129 J16 +signal BSC074 # B3 VREFB3N1 CLK6 LVDSCLK3p/input(3) 89 130 J15 +signal BSC073 # B3 VREFB3N0 CLK5 LVDSCLK2n/input(3) 90 131 H15 +signal BSC072 # B3 VREFB3N0 CLK4 LVDSCLK2p/input(3) 91 132 H16 +signal BSC071 # B3 VREFB3N0 IO LVDS47n 92 133 H12 +signal BSC070 # B3 VREFB3N0 IO LVDS47p 93 134 J12 +signal BSC069 # B3 VREFB3N0 IO LVDS46n 94 135 G16 + # B3 VREFB3N0 VCCIO3 95 136 +signal BSC068 # B3 VREFB3N0 IO LVDS46p 96 137 G15 +signal BSC067 # B3 VREFB3N0 IO LVDS46n 97 138 F15 +signal BSC066 # B3 VREFB3N0 IO LVDS45p 139 F16 + # B3 VREFB3N0 GND 98 140 +signal BSC065 # B3 VREFB3N0 IO LVDS44n 141 J11 +signal BSC064 # B3 VREFB3N0 IO LVDS44p 142 H11 +signal BSC063 # B3 VREFB3N0 IO LVDS43n G12 +signal BSC062 # B3 VREFB3N0 IO LVDS43p G13 +signal BSC061 # B3 VREFB3N0 IO LVDS32n 143 E13 +signal BSC060 # B3 VREFB3N0 IO LVDS42p 144 F13 +signal BSC059 # B3 VREFB3N0 IO VREFB3N0 99 145 H13 + # B3 VREFB3N0 VCCIO3 +signal BSC058 # B3 VREFB3N0 IO LVDS41n D15 +signal BSC057 # B3 VREFB3N0 IO LVDS41p D16 +signal BSC056 # B3 VREFB3N0 IO LVDS40n E15 + # B3 VREFB3N0 GND +signal BSC055 # B3 VREFB3N0 IO LVDS40p E16 +signal BSC054 # B3 VREFB3N0 IO F14 +signal BSC053 # B3 VREFB3N0 IO LVDS39n 100 146 C15 +signal BSC052 # B3 VREFB3N0 IO LVDS39p 101 147 C16 + # B3 VREFB3N0 VCCIO3 102 148 +signal BSC051 # B3 VREFB3N0 IO LVDS38n 149 C14 +signal BSC050 # B3 VREFB3N0 IO LVDS38p 150 D13 +signal BSC049 # B3 VREFB3N0 IO PLL2_OUTp 103 151 E14 +signal BSC048 # B3 VREFB3N0 IO PLL2_OUTn 104 152 D14 + # B3 VREFB3N0 GND 105 153 + # B3 VREFB3N0 GND_PLL2 106 154 F12 + # B3 VREFB3N0 VCCD_PLL2 107 155 F11 + # B3 VREFB3N0 GND_PLL2 108 156 D12 + # B2 VREFB2N0 VCCA_PLL2 109 157 E12 + # B2 VREFB2N0 GNDA_PLL2 110 158 E11 + # B2 VREFB2N0 GND 111 159 +signal BSC047 # B2 VREFB2N0 IO LVDS37n 112 160 B14 +signal BSC046 # B2 VREFB2N0 IO LVDS37p 113 161 A14 +signal BSC045 # B2 VREFB2N0 IO LVDS36n 114 162 C13 +signal BSC044 # B2 VREFB2N0 IO LVDS36p 115 163 C12 +signal BSC043 # B2 VREFB2N0 IO LVDS35n 164 B13 +signal BSC042 # B2 VREFB2N0 IO LVDS35p 165 A13 + # B2 VREFB2N0 VCCIO2 116 166 + # B2 VREFB2N0 GND 117 167 +signal BSC041 # B2 VREFB2N0 IO LVDS34n 118 168 B12 +signal BSC040 # B2 VREFB2N0 IO LVDS34p 119 169 A12 +signal BSC039 # B2 VREFB2N0 IO VREFB2N0 120 170 C11 +signal BSC038 # B2 VREFB2N0 IO LVDS33n 121 171 B11 + # B2 VREFB2N0 VCCIO2 172 +signal BSC037 # B2 VREFB2N0 IO LVDS33p 122 173 A11 + # B2 VREFB2N0 GND 174 +signal BSC036 # B2 VREFB2N0 IO LVDS32n G10 +signal BSC035 # B2 VREFB2N0 IO LVDS32p G11 +signal BSC034 # B2 VREFB2N0 IO LVDS31n 175 B10 + # B2 VREFB2N0 VCCIO2 +signal BSC033 # B2 VREFB2N0 IO LVDS21p 176 A10 + # B2 VREFB2N0 GND +signal BSC032 # B2 VREFB2N0 IO LVDS30n F10 +signal BSC031 # B2 VREFB2N0 IO LVDS30p F9 +signal BSC030 # B2 VREFB2N0 IO D9 + # B2 VREFB2N0 GND 123 177 + # B2 VREFB2N0 VCCINT 124 178 +signal BSC029 # B2 VREFB2N0 IO LVDS29n 125 179 D11 + # B2 VREFB2N0 VCCIO2 +signal BSC028 # B2 VREFB2N0 IO LVDS29p 126 180 D10 + # B2 VREFB2N0 GND +signal BSC027 # B2 VREFB2N0 IO LVDS28n 181 A9 +signal BSC026 # B2 VREFB2N0 IO LVDS28p 182 B9 +signal BSC025 # B2 VREFB2N1 IO LVDS27n A8 +signal BSC024 # B2 VREFB2N1 IO LVDS27p B8 + # B2 VREFB2N1 VCCIO2 127 183 +signal BSC023 # B2 VREFB2N1 IO LVDS26n A7 + # B2 VREFB2N1 GND 128 184 +signal BSC022 # B2 VREFB2N1 IO LVDS26p 129 185 B7 + # B2 VREFB2N1 GND 130 186 +signal BSC021 # B2 VREFB2N1 IO LVDS25n 187 F7 +signal BSC020 # B2 VREFB2N1 IO LVDS25p 188 F8 +signal BSC019 # B2 VREFB2N1 IO LVDS24n 189 + # B2 VREFB2N1 VCCINT 131 190 +signal BSC018 # B2 VREFB2N1 IO LVDS24p 191 +signal BSC017 # B2 VREFB2N1 IO VREFB2N1 132 192 D8 +signal BSC016 # B2 VREFB2N1 IO LVDS23n 133 193 B6 + # B2 VREFB2N1 VCCIO2 194 +signal BSC015 # B2 VREFB2N1 IO LVDS23p 134 195 A6 + # B2 VREFB2N1 GND 196 +signal BSC014 # B2 VREFB2N1 IO LVDS22n G6 +signal BSC013 # B2 VREFB2N1 IO LVDS22p G7 +signal BSC012 # B2 VREFB2N1 IO D7 +signal BSC011 # B2 VREFB2N1 IO LVDS21n 197 D6 + # B2 VREFB2N1 VCCIO2 +signal BSC010 # B2 VREFB2N1 IO LVDS21p 198 C6 + # B2 VREFB2N1 GND +signal BSC009 # B2 VREFB2N1 IO LVDS20n C5 +signal BSC008 # B2 VREFB2N1 IO LVDS20p C4 +signal BSC007 # B2 VREFB2N1 IO LVDS19n 135 199 B5 +signal BSC006 # B2 VREFB2N1 IO LVDS19p 136 200 A5 +signal BSC005 # B2 VREFB2N1 IO LVDS18n 137 201 B4 + # B2 VREFB2N1 VCCIO2 138 202 +signal BSC004 # B2 VREFB2N1 IO LVDS18p 139 203 A4 + # B2 VREFB2N1 GND 140 204 +signal BSC003 # B2 VREFB2N1 IO LVDS17p 141 205 A3 +signal BSC002 # B2 VREFB2N1 IO LVDS17n DEV_CLRn 142 206 B3 +signal BSC001 # B2 VREFB2N1 IO LVDS16p 143 207 E6 +signal BSC000 # B2 VREFB2N1 IO LVDS16n 144 208 F6 + # VCCINT G9 + # VCCINT H7 + # VCCINT H10 + # VCCINT J7 + # VCCINT J10 + # VCCINT K8 + # VCCIO1 B1 + # VCCIO1 G3 + # VCCIO1 K3 + # VCCIO1 R1 + # VCCIO4 M7 + # VCCIO4 M10 + # VCCIO4 P7 + # VCCIO4 P10 + # VCCIO4 T2 + # VCCIO4 T15 + # VCCIO3 B16 + # VCCIO3 G14 + # VCCIO3 K14 + # VCCIO3 R16 + # VCCIO2 A2 + # VCCIO2 A15 + # VCCIO2 C7 + # VCCIO2 C10 + # VCCIO2 E7 + # VCCIO2 E10 + # GND G8 + # GND H8 + # GND H9 + # GND J8 + # GND J9 + # GND K9 + # GND A1 + # GND A16 + # GND B2 + # GND B15 + # GND C8 + # GND C9 + # GND E8 + # GND E9 + # GND H3 + # GND H14 + # GND J3 + # GND J14 + # GND M8 + # GND M9 + # GND P8 + # GND P9 + # GND R2 + # GND R15 + # GND T1 + # GND T16 + +# +# BSC group 198 +# T144 - I/O pin 1 (dedicated output pin in AS mode) +# Q208 - I/O pin 1 (dedicated output pin in AS mode) +# F256 - I/O pin C3 (dedicated output pin in AS mode) +# +bit 596 O 1 BSC198 595 1 Z +bit 595 C 1 * +bit 594 I 1 BSC198 +# +# BSC group 197 +# T144 - I/O pin 2 (dedicated output pin in AS mode) +# Q208 - I/O pin 2 (dedicated output pin in AS mode) +# F256 - I/O pin F4 (dedicated output pin in AS mode) +# +bit 593 O 1 BSC197 592 1 Z +bit 592 C 1 * +bit 591 I 1 BSC197 +# +# BSC group 196 +# T144 - I/O pin 3 +# Q208 - I/O pin 3 +# F256 - I/O pin C1 +# +bit 590 O 1 BSC196 589 1 Z +bit 589 C 1 * +bit 588 I 1 BSC196 +# +# BSC group 195 +# T144 - I/O pin 4 +# Q208 - I/O pin 4 +# F256 - I/O pin C2 +# +bit 587 O 1 BSC195 586 1 Z +bit 586 C 1 * +bit 585 I 1 BSC195 +# +# BSC group 194 for +# T144 - unused pad +# Q208 - I/O pin 5 +# F256 - I/O pin D5 +# +bit 584 O 1 BSC194 583 1 Z +bit 583 C 1 * +bit 582 I 1 BSC194 +# +# BSC group 193 for +# T144 - unused pad +# Q208 - I/O pin 6 +# F256 - I/O pin E5 +# +bit 581 O 1 BSC193 580 1 Z +bit 580 C 1 * +bit 579 I 1 BSC193 +# +# BSC group 192 +# T144 - unused pad +# Q208 - I/O pin 8 +# F256 - I/O pin F5 +# +bit 578 O 1 BSC192 577 1 Z +bit 577 C 1 * +bit 576 I 1 BSC192 +# +# BSC group 191 +# T144 - unused pad +# Q208 - I/O pin 10 +# F256 - unused pad +# +bit 575 O 1 BSC191 574 1 Z +bit 574 C 1 * +bit 573 I 1 BSC191 +# +# BSC group 190 +# T144 - unused pad +# Q208 - I/O pin 11 +# F256 - I/O pin D3 +# +bit 572 O 1 BSC190 571 1 Z +bit 571 C 1 * +bit 570 I 1 BSC190 +# +# BSC group 189 +# T144 - unused pad +# Q208 - I/O pin 12 +# F256 - I/O pin D4 +# +bit 569 O 1 BSC189 568 1 Z +bit 568 C 1 * +bit 567 I 1 BSC189 +# +# BSC group 188 +# T144 - I/O pin 7 +# Q208 - I/O pin 13 +# F256 - I/O pin F3 +# +bit 566 O 1 BSC188 565 1 Z +bit 565 C 1 * +bit 564 I 1 BSC188 +# +# BSC group 187 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin D2 +# +bit 563 O 1 BSC187 562 1 Z +bit 562 C 1 * +bit 561 I 1 BSC187 +# +# BSC group 186 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin D1 +# +bit 560 O 1 BSC186 559 1 Z +bit 559 C 1 * +bit 558 I 1 BSC186 +# +# BSC group 185 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin E3 +# +bit 557 O 1 BSC185 556 1 Z +bit 556 C 1 * +bit 555 I 1 BSC185 +# +# BSC group 184 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin E4 +# +bit 554 O 1 BSC184 553 1 Z +bit 553 C 1 * +bit 552 I 1 BSC184 +# +# BSC group 183 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin G4 +# +bit 551 O 1 BSC183 550 1 Z +bit 550 C 1 * +bit 549 I 1 BSC183 +# +# BSC group 182 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin J6 +# +bit 548 O 1 BSC182 547 1 Z +bit 547 C 1 * +bit 546 I 1 BSC182 +# +# BSC group 181 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin H6 +# +bit 545 O 1 BSC181 544 1 Z +bit 544 C 1 * +bit 543 I 1 BSC181 +# +# BSC group 180 +# T144 - I/O pin 8 +# Q208 - I/O pin 14 +# F256 - I/O pin E1 +# +bit 542 O 1 BSC180 541 1 Z +bit 541 C 1 * +bit 540 I 1 BSC180 +# +# BSC group 179 +# T144 - I/O pin 9 +# Q208 - I/O pin 15 +# F256 - I/O pin E2 +# +bit 539 O 1 BSC179 538 1 Z +bit 538 C 1 * +bit 537 I 1 BSC179 +# +# BSC group 178 (DATA0) +# T144 - Family-specific input pin 14 +# Q208 - Family-specific input pin 20 +# F256 - Family-specific input pin F1 +# +bit 536 O 1 * +bit 535 O 1 * +bit 534 I 1 BSC178 +# +# BSC group 177 (DCLK) +# T144 - Family-specific input pin 15 +# Q208 - Family-specific input pin 21 +# F256 - Family-specific input pin H4 +# +bit 533 O 1 BSC177 532 1 Z +bit 532 C 1 * +bit 531 I 1 BSC177 +# +# BSC group 176 (nCE) +# T144 - untestable Family-specific pin 16 +# Q208 - untestable Family-specific pin 22 +# F256 - untestable Family-specific pin G5 +# +bit 530 O 1 * +bit 529 O 1 * +bit 528 O 1 * +# +# BSC group 175 (CLK0) +# T144 - Family-specific input pin 17 +# Q208 - Family-specific input pin 23 +# F256 - Family-specific input pin H2 +# +bit 527 O 1 * +bit 526 O 1 * +bit 525 I 1 BSC175 +# +# BSC group 174 (CLK1) +# T144 - Family-specific input pin 18 +# Q208 - Family-specific input pin 24 +# F256 - Family-specific input pin H1 +# +bit 524 O 1 * +bit 523 O 1 * +bit 522 I 1 BSC174 +# +# BSC group 173 (nCONFIG) +# T144 - untestable Family-specific pin 20 +# Q208 - untestable Family-specific pin 26 +# F256 - untestable Family-specific pin J5 +# +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +# +# BSC group 172 (CLK2) +# T144 - Family-specific input pin 21 +# Q208 - Family-specific input pin 27 +# F256 - Family-specific input pin J2 +# +bit 518 O 1 * +bit 517 O 1 * +bit 516 I 1 BSC172 +# +# BSC group 171 (CLK3) +# T144 - Family-specific input pin 22 +# Q208 - Family-specific input pin 28 +# F256 - Family-specific input pin J1 +# +bit 515 O 1 * +bit 514 O 1 * +bit 513 I 1 BSC171 +# +# BSC group 170 +# T144 - I/O pin 24 +# Q208 - I/O pin 30 +# F256 - I/O pin K2 +# +bit 512 O 1 BSC170 511 1 Z +bit 511 C 1 * +bit 510 I 1 BSC170 +# +# BSC group 169 +# T144 - I/O pin 25 +# Q208 - I/O pin 31 +# F256 - I/O pin K1 +# +bit 509 O 1 BSC169 508 1 Z +bit 508 C 1 * +bit 507 I 1 BSC169 +# +# BSC group 168 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin K4 +# +bit 506 O 1 BSC168 505 1 Z +bit 505 C 1 * +bit 504 I 1 BSC168 +# +# BSC group 167 +# T144 - unused pad +# Q208 - I/O pin 33 +# F256 - I/O pin K5 +# +bit 503 O 1 BSC167 502 1 Z +bit 502 C 1 * +bit 501 I 1 BSC167 +# +# BSC group 166 +# T144 - unused pad +# Q208 - I/O pin 34 +# F256 - unused pad +# +bit 500 O 1 BSC166 499 1 Z +bit 499 C 1 * +bit 498 I 1 BSC166 +# +# BSC group 165 +# T144 - unused pad +# Q208 - I/O pin 35 +# F256 - I/O pin L1 +# +bit 497 O 1 BSC165 496 1 Z +bit 496 C 1 * +bit 495 I 1 BSC165 +# +# BSC group 164 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin L2 +# +bit 494 O 1 BSC164 493 1 Z +bit 493 C 1 * +bit 492 I 1 BSC164 +# +# BSC group 163 +# T144 - I/O pin 28 +# Q208 - I/O pin 37 +# F256 - I/O pin J4 +# +bit 491 O 1 BSC163 490 1 Z +bit 490 C 1 * +bit 489 I 1 BSC163 +# +# BSC group 162 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin M1 +# +bit 488 O 1 BSC162 487 1 Z +bit 487 C 1 * +bit 486 I 1 BSC162 +# +# BSC group 161 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin M2 +# +bit 485 O 1 BSC161 484 1 Z +bit 484 C 1 * +bit 483 I 1 BSC161 +# +# BSC group 160 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin M3 +# +bit 482 O 1 BSC160 481 1 Z +bit 481 C 1 * +bit 480 I 1 BSC160 +# +# BSC group 159 +# T144 - unused pad +# Q208 - I/O pin 39 +# F256 - I/O pin L3 +# +bit 479 O 1 BSC159 478 1 Z +bit 478 C 1 * +bit 477 I 1 BSC159 +# +# BSC group 158 +# T144 - unused pad +# Q208 - I/O pin 40 +# F256 - I/O pin N1 +# +bit 476 O 1 BSC158 475 1 Z +bit 475 C 1 * +bit 474 I 1 BSC158 +# +# BSC group 157 +# T144 - unused pad +# Q208 - I/O pin 41 +# F256 - I/O pin N2 +# +bit 473 O 1 BSC157 472 1 Z +bit 472 C 1 * +bit 471 I 1 BSC157 +# +# BSC group 156 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin P1 +# +bit 470 O 1 BSC156 469 1 Z +bit 469 C 1 * +bit 468 I 1 BSC156 +# +# BSC group 155 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin P2 +# +bit 467 O 1 BSC155 466 1 Z +bit 466 C 1 * +bit 465 I 1 BSC155 +# +# BSC group 154 +# T144 - unused pad +# Q208 - I/O pin 43 +# F256 - unused pad +# +bit 464 O 1 BSC154 463 1 Z +bit 463 C 1 * +bit 462 I 1 BSC154 +# +# BSC group 153 +# T144 - unused pad +# Q208 - I/O pin 44 +# F256 - I/O pin N3 +# +bit 461 O 1 BSC153 460 1 Z +bit 460 C 1 * +bit 459 I 1 BSC153 +# +# BSC group 152 +# T144 - unused pad +# Q208 - I/O pin 45 +# F256 - I/O pin N4 +# +bit 458 O 1 BSC152 457 1 Z +bit 457 C 1 * +bit 456 I 1 BSC152 +# +# BSC group 151 +# T144 - I/O pin 30 +# Q208 - I/O pin 46 +# F256 - I/O pin P3 +# +bit 455 O 1 BSC151 454 1 Z +bit 454 C 1 * +bit 453 I 1 BSC151 +# +# BSC group 150 +# T144 - I/O pin 31 +# Q208 - I/O pin 47 +# F256 - I/O pin L4 +# +bit 452 O 1 BSC150 451 1 Z +bit 451 C 1 * +bit 450 I 1 BSC150 +# +# BSC group 149 +# T144 - I/O pin 32 +# Q208 - I/O pin 48 +# F256 - I/O pin M4 +# +bit 449 O 1 BSC149 448 1 Z +bit 448 C 1 * +bit 447 I 1 BSC149 +# +# BSC group 148 +# T144 - I/O pin 40 +# Q208 - I/O pin 56 +# F256 - I/O pin R3 +# +bit 446 O 1 BSC148 445 1 Z +bit 445 C 1 * +bit 444 I 1 BSC148 +# +# BSC group 147 +# T144 - I/O pin 41 +# Q208 - I/O pin 57 +# F256 - I/O pin T3 +# +bit 443 O 1 BSC147 442 1 Z +bit 442 C 1 * +bit 441 I 1 BSC147 +# +# BSC group 146 +# T144 - I/O pin 42 +# Q208 - I/O pin 58 +# F256 - I/O pin P5 +# +bit 440 O 1 BSC146 439 1 Z +bit 439 C 1 * +bit 438 I 1 BSC146 +# +# BSC group 145 +# T144 - I/O pin 43 +# Q208 - I/O pin 59 +# F256 - I/O pin P4 +# +bit 437 O 1 BSC145 436 1 Z +bit 436 C 1 * +bit 435 I 1 BSC145 +# +# BSC group 144 +# T144 - I/O pin 44 +# Q208 - I/O pin 60 +# F256 - I/O pin T4 +# +bit 434 O 1 BSC144 433 1 Z +bit 433 C 1 * +bit 432 I 1 BSC144 +# +# BSC group 143 +# T144 - I/O pin 45 +# Q208 - I/O pin 61 +# F256 - I/O pin R4 +# +bit 431 O 1 BSC143 430 1 Z +bit 430 C 1 * +bit 429 I 1 BSC143 +# +# BSC group 142 +# T144 - I/O pin 47 +# Q208 - I/O pin 63 +# F256 - I/O pin T5 +# +bit 428 O 1 BSC142 427 1 Z +bit 427 C 1 * +bit 426 I 1 BSC142 +# +# BSC group 141 +# T144 - I/O pin 48 +# Q208 - I/O pin 64 +# F256 - I/O pin R5 +# +bit 425 O 1 BSC141 424 1 Z +bit 424 C 1 * +bit 423 I 1 BSC141 +# +# BSC group 140 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin N7 +# +bit 422 O 1 BSC140 421 1 Z +bit 421 C 1 * +bit 420 I 1 BSC140 +# +# BSC group 139 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin K7 +# +bit 419 O 1 BSC139 418 1 Z +bit 418 C 1 * +bit 417 I 1 BSC139 +# +# BSC group 138 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin K6 +# +bit 416 O 1 BSC138 415 1 Z +bit 415 C 1 * +bit 414 I 1 BSC138 +# +# BSC group 137 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin T6 +# +bit 413 O 1 BSC137 412 1 Z +bit 412 C 1 * +bit 411 I 1 BSC137 +# +# BSC group 136 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin R6 +# +bit 410 O 1 BSC136 409 1 Z +bit 409 C 1 * +bit 408 I 1 BSC136 +# +# BSC group 135 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin P6 +# +bit 407 O 1 BSC135 406 1 Z +bit 406 C 1 * +bit 405 I 1 BSC135 +# +# BSC group 134 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin N6 +# +bit 404 O 1 BSC134 403 1 Z +bit 403 C 1 * +bit 402 I 1 BSC134 +# +# BSC group 133 +# T144 - I/O pin 51 +# Q208 - I/O pin 67 +# F256 - I/O pin N8 +# +bit 401 O 1 BSC133 400 1 Z +bit 400 C 1 * +bit 399 I 1 BSC133 +# +# BSC group 132 +# T144 - I/O pin 52 +# Q208 - I/O pin 68 +# F256 - I/O pin T7 +# +bit 398 O 1 BSC132 397 1 Z +bit 397 C 1 * +bit 396 I 1 BSC132 +# +# BSC group 131 +# T144 - unused pad +# Q208 - I/O pin 69 +# F256 - I/O pin R7 +# +bit 395 O 1 BSC131 394 1 Z +bit 394 C 1 * +bit 393 I 1 BSC131 +# +# BSC group 130 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin L7 +# +bit 392 O 1 BSC130 391 1 Z +bit 391 C 1 * +bit 390 I 1 BSC130 +# +# BSC group 129 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin L8 +# +bit 389 O 1 BSC129 388 1 Z +bit 388 C 1 * +bit 387 I 1 BSC129 +# +# BSC group 128 +# T144 - I/O pin 53 +# Q208 - I/O pin 70 +# F256 - I/O pin T8 +# +bit 386 O 1 BSC128 385 1 Z +bit 385 C 1 * +bit 384 I 1 BSC128 +# +# BSC group 127 +# T144 - I/O pin 55 +# Q208 - I/O pin 72 +# F256 - I/O pin R8 +# +bit 383 O 1 BSC127 382 1 Z +bit 382 C 1 * +bit 381 I 1 BSC127 +# +# BSC group 126 +# T144 - I/O pin 57 +# Q208 - I/O pin 74 +# F256 - I/O pin T9 +# +bit 380 O 1 BSC126 379 1 Z +bit 379 C 1 * +bit 378 I 1 BSC126 +# +# BSC group 125 +# T144 - I/O pin 58 +# Q208 - I/O pin 75 +# F256 - I/O pin R9 +# +bit 377 O 1 BSC125 376 1 Z +bit 376 C 1 * +bit 375 I 1 BSC125 +# +# BSC group 124 +# T144 - I/O pin 59 +# Q208 - I/O pin 76 +# F256 - I/O pin N9 +# +bit 374 O 1 BSC124 373 1 Z +bit 373 C 1 * +bit 372 I 1 BSC124 +# +# BSC group 123 +# T144 - I/O pin 60 +# Q208 - I/O pin 77 +# F256 - I/O pin N10 +# +bit 371 O 1 BSC123 370 1 Z +bit 370 C 1 * +bit 369 I 1 BSC123 +# +# BSC group 122 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin T11 +# +bit 368 O 1 BSC122 367 1 Z +bit 367 C 1 * +bit 366 I 1 BSC122 +# +# BSC group 121 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin R11 +# +bit 365 O 1 BSC121 364 1 Z +bit 364 C 1 * +bit 363 I 1 BSC121 +# +# BSC group 120 +# T144 - unused pad +# Q208 - I/O pin 80 +# F256 - I/O pin P11 +# +bit 362 O 1 BSC120 361 1 Z +bit 361 C 1 * +bit 360 I 1 BSC120 +# +# BSC group 119 +# T144 - unused pad +# Q208 - I/O pin 81 +# F256 - I/O pin L9 +# +bit 359 O 1 BSC119 358 1 Z +bit 358 C 1 * +bit 357 I 1 BSC119 +# +# BSC group 118 +# T144 - unused pad +# Q208 - I/O pin 82 +# F256 - I/O pin L10 +# +bit 356 O 1 BSC118 355 1 Z +bit 355 C 1 * +bit 354 I 1 BSC118 +# +# BSC group 117 +# T144 - unused pad +# Q208 - I/O pin 84 +# F256 - I/O pin R10 +# +bit 353 O 1 BSC117 352 1 Z +bit 352 C 1 * +bit 351 I 1 BSC117 +# +# BSC group 116 +# T144 - unused pad +# Q208 - I/O pin 86 +# F256 - I/O pin T10 +# +bit 350 O 1 BSC116 349 1 Z +bit 349 C 1 * +bit 348 I 1 BSC116 +# +# BSC group 115 +# T144 - unused pad +# Q208 - I/O pin 87 +# F256 - I/O pin K11 +# +bit 347 O 1 BSC115 346 1 Z +bit 346 C 1 * +bit 345 I 1 BSC115 +# +# BSC group 114 +# T144 - unused pad +# Q208 - I/O pin 88 +# F256 - I/O pin K10 +# +bit 344 O 1 BSC114 343 1 Z +bit 343 C 1 * +bit 342 I 1 BSC114 +# +# BSC group 113 +# T144 - I/O pin 63 +# Q208 - I/O pin 89 +# F256 - I/O pin N11 +# +bit 341 O 1 BSC113 340 1 Z +bit 340 C 1 * +bit 339 I 1 BSC113 +# +# BSC group 112 +# T144 - unused pad +# Q208 - I/O pin 90 +# F256 - I/O pin P12 +# +bit 338 O 1 BSC112 337 1 Z +bit 337 C 1 * +bit 336 I 1 BSC112 +# +# BSC group 111 +# T144 - unused pad +# Q208 - I/O pin 92 +# F256 - I/O pin P13 +# +bit 335 O 1 BSC111 334 1 Z +bit 334 C 1 * +bit 333 I 1 BSC111 +# +# BSC group 110 +# T144 - I/O pin 64 +# Q208 - I/O pin 94 +# F256 - I/O pin T12 +# +bit 332 O 1 BSC110 331 1 Z +bit 331 C 1 * +bit 330 I 1 BSC110 +# +# BSC group 109 +# T144 - I/O pin 65 +# Q208 - I/O pin 95 +# F256 - I/O pin R12 +# +bit 329 O 1 BSC109 328 1 Z +bit 328 C 1 * +bit 327 I 1 BSC109 +# +# BSC group 108 +# T144 - unused pad +# Q208 - I/O pin 96 +# F256 - unused pad +# +bit 326 O 1 BSC108 325 1 Z +bit 325 C 1 * +bit 324 I 1 BSC108 +# +# BSC group 107 +# T144 - unused pad +# Q208 - I/O pin 97 +# F256 - I/O pin T13 +# +bit 323 O 1 BSC107 322 1 Z +bit 322 C 1 * +bit 321 I 1 BSC107 +# +# BSC group 106 +# T144 - I/O pin 67 +# Q208 - I/O pin 99 +# F256 - I/O pin R13 +# +bit 320 O 1 BSC106 319 1 Z +bit 319 C 1 * +bit 318 I 1 BSC106 +# +# BSC group 105 +# T144 - I/O pin 69 +# Q208 - I/O pin 101 +# F256 - I/O pin T14 +# +bit 317 O 1 BSC105 316 1 Z +bit 316 C 1 * +bit 315 I 1 BSC105 +# +# BSC group 104 +# T144 - I/O pin 70 +# Q208 - I/O pin 102 +# F256 - I/O pin R14 +# +bit 314 O 1 BSC104 313 1 Z +bit 313 C 1 * +bit 312 I 1 BSC104 +# +# BSC group 103 +# T144 - I/O pin 71 +# Q208 - I/O pin 103 +# F256 - I/O pin M11 +# +bit 311 O 1 BSC103 310 1 Z +bit 310 C 1 * +bit 309 I 1 BSC103 +# +# BSC group 102 +# T144 - I/O pin 72 +# Q208 - I/O pin 104 +# F256 - I/O pin L11 +# +bit 308 O 1 BSC102 307 1 Z +bit 307 C 1 * +bit 306 I 1 BSC102 +# +# BSC group 101 +# T144 - I/O pin 73 +# Q208 - I/O pin 105 +# F256 - I/O pin N12 +# +bit 305 O 1 BSC101 304 1 Z +bit 304 C 1 * +bit 303 I 1 BSC101 +# +# BSC group 100 +# T144 - I/O pin 74 +# Q208 - I/O pin 106 +# F256 - I/O pin M12 +# +bit 302 O 1 BSC100 301 1 Z +bit 301 C 1 * +bit 300 I 1 BSC100 +# +# BSC group 99 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin L12 +# +bit 299 O 1 BSC099 298 1 Z +bit 298 C 1 * +bit 297 I 1 BSC099 +# +# BSC group 98 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin K13 +# +bit 296 O 1 BSC098 295 1 Z +bit 295 C 1 * +bit 294 I 1 BSC098 +# +# BSC group 97 +# T144 - I/O pin 75 +# Q208 - I/O pin 107 +# F256 - I/O pin N13 +# +bit 293 O 1 BSC097 292 1 Z +bit 292 C 1 * +bit 291 I 1 BSC097 +# +# BSC group 96 +# T144 - I/O pin 76 +# Q208 - I/O pin 108 +# F256 - I/O pin N14 +# +bit 290 O 1 BSC096 289 1 Z +bit 289 C 1 * +bit 288 I 1 BSC096 +# +# BSC group 95 +# T144 - unused pad +# Q208 - I/O pin 110 +# F256 - I/O pin P15 +# +bit 287 O 1 BSC095 286 1 Z +bit 286 C 1 * +bit 285 I 1 BSC095 +# +# BSC group 94 +# T144 - unused pad +# Q208 - I/O pin 112 +# F256 - I/O pin P16 +# +bit 284 O 1 BSC094 283 1 Z +bit 283 C 1 * +bit 282 I 1 BSC094 +# +# BSC group 93 +# T144 - unused pad +# Q208 - I/O pin 113 +# F256 - I/O pin N15 +# +bit 281 O 1 BSC093 280 1 Z +bit 280 C 1 * +bit 279 I 1 BSC093 +# +# BSC group 92 +# T144 - unused pad +# Q208 - I/O pin 114 +# F256 - I/O pin N16 +# +bit 278 O 1 BSC092 277 1 Z +bit 277 C 1 * +bit 276 I 1 BSC092 +# +# BSC group 91 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin P14 +# +bit 275 O 1 BSC091 274 1 Z +bit 274 C 1 * +bit 273 I 1 BSC091 +# +# BSC group 90 +# T144 - unused pad +# Q208 - I/O pin 115 +# F256 - unused pad +# +bit 272 O 1 BSC090 271 1 Z +bit 271 C 1 * +bit 270 I 1 BSC090 +# +# BSC group 89 +# T144 - unused pad +# Q208 - I/O pin 116 +# F256 - unused pad +# +bit 269 O 1 BSC089 268 1 Z +bit 268 C 1 * +bit 267 I 1 BSC089 +# +# BSC group 88 +# T144 - I/O pin 79 +# Q208 - I/O pin 117 +# F256 - I/O pin M14 +# +bit 266 O 1 BSC088 265 1 Z +bit 265 C 1 * +bit 264 I 1 BSC088 +# +# BSC group 87 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin M15 +# +bit 263 O 1 BSC087 262 1 Z +bit 262 C 1 * +bit 261 I 1 BSC087 +# +# BSC group 86 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin M16 +# +bit 260 O 1 BSC086 259 1 Z +bit 259 C 1 * +bit 258 I 1 BSC086 +# +# BSC group 85 +# T144 - unused pad +# Q208 - I/O pin 118 +# F256 - unused pad +# +bit 257 O 1 BSC085 256 1 Z +bit 256 C 1 * +bit 255 I 1 BSC085 +# +# BSC group 84 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin L14 +# +bit 254 O 1 BSC084 253 1 Z +bit 253 C 1 * +bit 252 I 1 BSC084 +# +# BSC group 83 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin L15 +# +bit 251 O 1 BSC083 250 1 Z +bit 250 C 1 * +bit 249 I 1 BSC083 +# +# BSC group 82 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin L16 +# +bit 248 O 1 BSC082 247 1 Z +bit 247 C 1 * +bit 246 I 1 BSC082 +# +# BSC group 81 (nSTATUS) +# T144 - untestable Family-specific pin 82 +# Q208 - untestable Family-specific pin 121 +# F256 - untestable Family-specific pin M13 +# +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +# +# BSC group 80 (CONF_DONE) +# T144 - untestable Family-specific pin 83 +# Q208 - untestable Family-specific pin 123 +# F256 - untestable Family-specific pin L13 +# +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +# +# BSC group 79 (MSEL1) +# T144 - Family-specific input pin 84 +# Q208 - Family-specific input pin 125 +# F256 - Family-specific input pin K12 +# +bit 239 O 1 * +bit 238 O 1 * +bit 237 I 1 BSC079 +# +# BSC group 78 (MSEL0) +# T144 - Family-specific input pin 85 +# Q208 - Family-specific input pin 126 +# F256 - Family-specific input pin J13 +# +bit 236 O 1 * +bit 235 O 1 * +bit 234 I 1 BSC078 +# +# BSC group 77 +# T144 - I/O pin 86 +# Q208 - I/O pin 127 +# F256 - I/O pin K16 +# +bit 233 O 1 BSC077 232 1 Z +bit 232 C 1 * +bit 231 I 1 BSC077 +# +# BSC group 76 +# T144 - I/O pin 87 +# Q208 - I/O pin 128 +# F256 - I/O pin K15 +# +bit 230 O 1 BSC076 229 1 Z +bit 229 C 1 * +bit 228 I 1 BSC076 +# +# BSC group 75 (CLK7) +# T144 - Family-specific input pin 88 +# Q208 - Family-specific input pin 129 +# F256 - Family-specific input pin J16 +# +bit 227 O 1 * +bit 226 O 1 * +bit 225 I 1 BSC075 +# +# BSC group 74 (CLK6) +# T144 - Family-specific input pin 89 +# Q208 - Family-specific input pin 130 +# F256 - Family-specific input pin J15 +# +bit 224 O 1 * +bit 223 O 1 * +bit 222 I 1 BSC074 +# +# BSC group 73 (CLK5) +# T144 - Family-specific input pin 90 +# Q208 - Family-specific input pin 131 +# F256 - Family-specific input pin H15 +# +bit 221 O 1 * +bit 220 O 1 * +bit 219 I 1 BSC073 +# +# BSC group 72 (CLK4) +# T144 - Family-specific input pin 91 +# Q208 - Family-specific input pin 132 +# F256 - Family-specific input pin H16 +# +bit 218 O 1 * +bit 217 O 1 * +bit 216 I 1 BSC072 +# +# BSC group 71 +# T144 - I/O pin 92 +# Q208 - I/O pin 133 +# F256 - I/O pin H12 +# +bit 215 O 1 BSC071 214 1 Z +bit 214 C 1 * +bit 213 I 1 BSC071 +# +# BSC group 70 +# T144 - I/O pin 93 +# Q208 - I/O pin 134 +# F256 - I/O pin J12 +# +bit 212 O 1 BSC070 211 1 Z +bit 211 C 1 * +bit 210 I 1 BSC070 +# +# BSC group 69 +# T144 - I/O pin 94 +# Q208 - I/O pin 135 +# F256 - I/O pin G16 +# +bit 209 O 1 BSC069 208 1 Z +bit 208 C 1 * +bit 207 I 1 BSC069 +# +# BSC group 68 +# T144 - I/O pin 96 +# Q208 - I/O pin 137 +# F256 - I/O pin G15 +# +bit 206 O 1 BSC068 205 1 Z +bit 205 C 1 * +bit 204 I 1 BSC068 +# +# BSC group 67 +# T144 - I/O pin 97 +# Q208 - I/O pin 138 +# F256 - I/O pin F15 +# +bit 203 O 1 BSC067 202 1 Z +bit 202 C 1 * +bit 201 I 1 BSC067 +# +# BSC group 66 +# T144 - unused pad +# Q208 - I/O pin 139 +# F256 - I/O pin F16 +# +bit 200 O 1 BSC066 199 1 Z +bit 199 C 1 * +bit 198 I 1 BSC066 +# +# BSC group 65 +# T144 - unused pad +# Q208 - I/O pin 141 +# F256 - I/O pin J11 +# +bit 197 O 1 BSC065 196 1 Z +bit 196 C 1 * +bit 195 I 1 BSC065 +# +# BSC group 64 +# T144 - unused pad +# Q208 - I/O pin 142 +# F256 - I/O pin H11 +# +bit 194 O 1 BSC064 193 1 Z +bit 193 C 1 * +bit 192 I 1 BSC064 +# +# BSC group 63 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin G12 +# +bit 191 O 1 BSC063 190 1 Z +bit 190 C 1 * +bit 189 I 1 BSC063 +# +# BSC group 62 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin G13 +# +bit 188 O 1 BSC062 187 1 Z +bit 187 C 1 * +bit 186 I 1 BSC062 +# +# BSC group 61 +# T144 - unused pad +# Q208 - I/O pin 143 +# F256 - I/O pin E13 +# +bit 185 O 1 BSC061 184 1 Z +bit 184 C 1 * +bit 183 I 1 BSC061 +# +# BSC group 60 +# T144 - unused pad +# Q208 - I/O pin 144 +# F256 - I/O pin F13 +# +bit 182 O 1 BSC060 181 1 Z +bit 181 C 1 * +bit 180 I 1 BSC060 +# +# BSC group 59 +# T144 - I/O pin 99 +# Q208 - I/O pin 145 +# F256 - I/O pin H13 +# +bit 179 O 1 BSC059 178 1 Z +bit 178 C 1 * +bit 177 I 1 BSC059 +# +# BSC group 58 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin D15 +# +bit 176 O 1 BSC058 175 1 Z +bit 175 C 1 * +bit 174 I 1 BSC058 +# +# BSC group 57 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin D16 +# +bit 173 O 1 BSC057 172 1 Z +bit 172 C 1 * +bit 171 I 1 BSC057 +# +# BSC group 56 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin E15 +# +bit 170 O 1 BSC056 169 1 Z +bit 169 C 1 * +bit 168 I 1 BSC056 +# +# BSC group 55 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin E16 +# +bit 167 O 1 BSC055 166 1 Z +bit 166 C 1 * +bit 165 I 1 BSC055 +# +# BSC group 54 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin F14 +# +bit 164 O 1 BSC054 163 1 Z +bit 163 C 1 * +bit 162 I 1 BSC054 +# +# BSC group 53 +# T144 - I/O pin 100 +# Q208 - I/O pin 146 +# F256 - I/O pin C15 +# +bit 161 O 1 BSC053 160 1 Z +bit 160 C 1 * +bit 159 I 1 BSC053 +# +# BSC group 52 +# T144 - I/O pin 101 +# Q208 - I/O pin 147 +# F256 - I/O pin C16 +# +bit 158 O 1 BSC052 157 1 Z +bit 157 C 1 * +bit 156 I 1 BSC052 +# +# BSC group 51 +# T144 - unused pad +# Q208 - I/O pin 149 +# F256 - I/O pin C14 +# +bit 155 O 1 BSC051 154 1 Z +bit 154 C 1 * +bit 153 I 1 BSC051 +# +# BSC group 50 +# T144 - unused pad +# Q208 - I/O pin 150 +# F256 - I/O pin D13 +# +bit 152 O 1 BSC050 151 1 Z +bit 151 C 1 * +bit 150 I 1 BSC050 +# +# BSC group 49 +# T144 - I/O pin 103 +# Q208 - I/O pin 151 +# F256 - I/O pin E14 +# +bit 149 O 1 BSC049 148 1 Z +bit 148 C 1 * +bit 147 I 1 BSC049 +# +# BSC group 48 +# T144 - I/O pin 104 +# Q208 - I/O pin 152 +# F256 - I/O pin D14 +# +bit 146 O 1 BSC048 145 1 Z +bit 145 C 1 * +bit 144 I 1 BSC048 +# +# BSC group 47 +# T144 - I/O pin 112 +# Q208 - I/O pin 160 +# F256 - I/O pin B14 +# +bit 143 O 1 BSC047 142 1 Z +bit 142 C 1 * +bit 141 I 1 BSC047 +# +# BSC group 46 +# T144 - I/O pin 113 +# Q208 - I/O pin 161 +# F256 - I/O pin A14 +# +bit 140 O 1 BSC046 139 1 Z +bit 139 C 1 * +bit 138 I 1 BSC046 +# +# BSC group 45 +# T144 - I/O pin 114 +# Q208 - I/O pin 162 +# F256 - I/O pin C13 +# +bit 137 O 1 BSC045 136 1 Z +bit 136 C 1 * +bit 135 I 1 BSC045 +# +# BSC group 44 +# T144 - I/O pin 115 +# Q208 - I/O pin 163 +# F256 - I/O pin C12 +# +bit 134 O 1 BSC044 133 1 Z +bit 133 C 1 * +bit 132 I 1 BSC044 +# +# BSC group 43 +# T144 - unused pad +# Q208 - I/O pin 164 +# F256 - I/O pin B13 +# +bit 131 O 1 BSC043 130 1 Z +bit 130 C 1 * +bit 129 I 1 BSC043 +# +# BSC group 42 +# T144 - unused pad +# Q208 - I/O pin 165 +# F256 - I/O pin A13 +# +bit 128 O 1 BSC042 127 1 Z +bit 127 C 1 * +bit 126 I 1 BSC042 +# +# BSC group 41 +# T144 - I/O pin 118 +# Q208 - I/O pin 168 +# F256 - I/O pin B12 +# +bit 125 O 1 BSC041 124 1 Z +bit 124 C 1 * +bit 123 I 1 BSC041 +# +# BSC group 40 +# T144 - I/O pin 119 +# Q208 - I/O pin 169 +# F256 - I/O pin A12 +# +bit 122 O 1 BSC040 121 1 Z +bit 121 C 1 * +bit 120 I 1 BSC040 +# +# BSC group 39 +# T144 - I/O pin 120 +# Q208 - I/O pin 170 +# F256 - I/O pin C11 +# +bit 119 O 1 BSC039 118 1 Z +bit 118 C 1 * +bit 117 I 1 BSC039 +# +# BSC group 38 +# T144 - I/O pin 121 +# Q208 - I/O pin 171 +# F256 - I/O pin B11 +# +bit 116 O 1 BSC038 115 1 Z +bit 115 C 1 * +bit 114 I 1 BSC038 +# +# BSC group 37 +# T144 - I/O pin 122 +# Q208 - I/O pin 173 +# F256 - I/O pin A11 +# +bit 113 O 1 BSC037 112 1 Z +bit 112 C 1 * +bit 111 I 1 BSC037 +# +# BSC group 36 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin G10 +# +bit 110 O 1 BSC036 109 1 Z +bit 109 C 1 * +bit 108 I 1 BSC036 +# +# BSC group 35 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin G11 +# +bit 107 O 1 BSC035 106 1 Z +bit 106 C 1 * +bit 105 I 1 BSC035 +# +# BSC group 34 +# T144 - unused pad +# Q208 - I/O pin 175 +# F256 - I/O pin B10 +# +bit 104 O 1 BSC034 103 1 Z +bit 103 C 1 * +bit 102 I 1 BSC034 +# +# BSC group 33 +# T144 - unused pad +# Q208 - I/O pin 176 +# F256 - I/O pin A10 +# +bit 101 O 1 BSC033 100 1 Z +bit 100 C 1 * +bit 99 I 1 BSC033 +# +# BSC group 32 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin F10 +# +bit 98 O 1 BSC032 97 1 Z +bit 97 C 1 * +bit 96 I 1 BSC032 +# +# BSC group 31 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin F9 +# +bit 95 O 1 BSC031 94 1 Z +bit 94 C 1 * +bit 93 I 1 BSC031 +# +# BSC group 30 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin D9 +# +bit 92 O 1 BSC030 91 1 Z +bit 91 C 1 * +bit 90 I 1 BSC030 +# +# BSC group 29 +# T144 - I/O pin 125 +# Q208 - I/O pin 179 +# F256 - I/O pin D11 +# +bit 89 O 1 BSC029 88 1 Z +bit 88 C 1 * +bit 87 I 1 BSC029 +# +# BSC group 28 +# T144 - I/O pin 126 +# Q208 - I/O pin 180 +# F256 - I/O pin D10 +# +bit 86 O 1 BSC028 85 1 Z +bit 85 C 1 * +bit 84 I 1 BSC028 +# +# BSC group 27 +# T144 - unused pad +# Q208 - I/O pin 181 +# F256 - I/O pin A9 +# +bit 83 O 1 BSC027 82 1 Z +bit 82 C 1 * +bit 81 I 1 BSC027 +# +# BSC group 26 +# T144 - unused pad +# Q208 - I/O pin 182 +# F256 - I/O pin B9 +# +bit 80 O 1 BSC026 79 1 Z +bit 79 C 1 * +bit 78 I 1 BSC026 +# +# BSC group 25 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin A8 +# +bit 77 O 1 BSC025 76 1 Z +bit 76 C 1 * +bit 75 I 1 BSC025 +# +# BSC group 24 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin B8 +# +bit 74 O 1 BSC024 73 1 Z +bit 73 C 1 * +bit 72 I 1 BSC024 +# +# BSC group 23 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin A7 +# +bit 71 O 1 BSC023 70 1 Z +bit 70 C 1 * +bit 69 I 1 BSC023 +# +# BSC group 22 +# T144 - I/O pin 129 +# Q208 - I/O pin 185 +# F256 - I/O pin B7 +# +bit 68 O 1 BSC022 67 1 Z +bit 67 C 1 * +bit 66 I 1 BSC022 +# +# BSC group 21 +# T144 - unused pad +# Q208 - I/O pin 187 +# F256 - I/O pin F7 +# +bit 65 O 1 BSC021 64 1 Z +bit 64 C 1 * +bit 63 I 1 BSC021 +# +# BSC group 20 +# T144 - unused pad +# Q208 - I/O pin 188 +# F256 - F8 +# +bit 62 O 1 BSC020 61 1 Z +bit 61 C 1 * +bit 60 I 1 BSC020 +# +# BSC group 19 +# T144 - unused pad +# Q208 - I/O pin 189 +# F256 - unused pad +# +bit 59 O 1 BSC019 58 1 Z +bit 58 C 1 * +bit 57 I 1 BSC019 +# +# BSC group 18 +# T144 - unused pad +# Q208 - I/O pad 191 +# F256 - unused pad +# +bit 56 O 1 BSC018 55 1 Z +bit 55 C 1 * +bit 54 I 1 BSC018 +# +# BSC group 17 +# T144 - I/O pin 132 +# Q208 - I/O pin 192 +# F256 - I/O pin D8 +# +bit 53 O 1 BSC017 52 1 Z +bit 52 C 1 * +bit 51 I 1 BSC017 +# +# BSC group 16 +# T144 - I/O pin 133 +# Q208 - I/O pin 193 +# F256 - I/O pin B6 +# +bit 50 O 1 BSC016 49 1 Z +bit 49 C 1 * +bit 48 I 1 BSC016 +# +# BSC group 15 +# T144 - I/O pin 134 +# Q208 - I/O pin 195 +# F256 - I/O pin A6 +# +bit 47 O 1 BSC015 46 1 Z +bit 46 C 1 * +bit 45 I 1 BSC015 +# +# BSC group 14 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin G6 +# +bit 44 O 1 BSC014 43 1 Z +bit 43 C 1 * +bit 42 I 1 BSC014 +# +# BSC group 13 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin G7 +# +bit 41 O 1 BSC013 40 1 Z +bit 40 C 1 * +bit 39 I 1 BSC013 +# +# BSC group 12 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin D7 +# +bit 38 O 1 BSC012 37 1 Z +bit 37 C 1 * +bit 36 I 1 BSC012 +# +# BSC group 11 +# T144 - unused pad +# Q208 - I/O pin 197 +# F256 - I/O pin D6 +# +bit 35 O 1 BSC011 34 1 Z +bit 34 C 1 * +bit 33 I 1 BSC011 +# +# BSC group 10 +# T144 - unused pad +# Q208 - I/O pin 198 +# F256 - I/O pin C6 +# +bit 32 O 1 BSC010 31 1 Z +bit 31 C 1 * +bit 30 I 1 BSC010 +# +# BSC group 9 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin C5 +# +bit 29 O 1 BSC009 28 1 Z +bit 28 C 1 * +bit 27 I 1 BSC009 +# +# BSC group 8 +# T144 - unused pad +# Q208 - unused pad +# F256 - I/O pin C4 +# +bit 26 O 1 BSC008 25 1 Z +bit 25 C 1 * +bit 24 I 1 BSC008 +# +# BSC group 7 +# T144 - I/O pin 135 +# Q208 - I/O pin 199 +# F256 - I/O pin B5 +# +bit 23 O 1 BSC007 22 1 Z +bit 22 C 1 * +bit 21 I 1 BSC007 +# +# BSC group 6 +# T144 - I/O pin 136 +# Q208 - I/O pin 200 +# F256 - I/O pin A5 +# +bit 20 O 1 BSC006 19 1 Z +bit 19 C 1 * +bit 18 I 1 BSC006 +# +# BSC group 5 +# T144 - I/O pin 137 +# Q208 - I/O pin 201 +# F256 - I/O pin B4 +# +bit 17 O 1 BSC005 16 1 Z +bit 16 C 1 * +bit 15 I 1 BSC005 +# +# BSC group 4 +# T144 - I/O pin 139 +# Q208 - I/O pin 203 +# F256 - I/O pin A4 +# +bit 14 O 1 BSC004 13 1 Z +bit 13 C 1 * +bit 12 I 1 BSC004 +# +# BSC group 3 +# T144 - I/O pin 141 +# Q208 - I/O pin 205 +# F256 - I/O pin A3 +# +bit 11 O 1 BSC003 10 1 Z +bit 10 C 1 * +bit 9 I 1 BSC003 +# +# BSC group 2 +# T144 - I/O pin 142 +# Q208 - I/O pin 206 +# F256 - I/O pin B3 +# +bit 8 O 1 BSC002 7 1 Z +bit 7 C 1 * +bit 6 I 1 BSC002 +# +# BSC group 1 +# T144 - I/O pin 143 +# Q208 - I/O pin 207 +# F256 - I/O pin E6 +# +bit 5 O 1 BSC001 4 1 Z +bit 4 C 1 * +bit 3 I 1 BSC001 +# +# BSC group 0 +# T144 - I/O pin 144 +# Q208 - I/O pin 208 +# F256 - I/O pin F6 +# +bit 2 O 1 BSC000 1 1 Z +bit 1 C 1 * +bit 0 I 1 BSC000 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep2c8/f256 b/sie_fs/usr/local/share/urjtag/altera/ep2c8/f256 new file mode 100644 index 0000000..8b502e9 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep2c8/f256 @@ -0,0 +1,226 @@ +# +# $Id: f256 897 2007-12-29 13:02:32Z arniml $ +# +# JTAG package script for EP2C8F256 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by H Hartley Sweeten +# +# Note: +# The signals defined in this file as unused pad are specified as +# I/O pins in the main configuration file ep2c8. +# + +salias IOC3 BSC198 # Note: this pin is specified as I/O. If AS mode is specified by MSEL it is output only (ASDO) +salias IOF4 BSC197 # Note: this pin is specified as I/O. If AS mode is specified by MSEL it is output only (nCSO) +salias IOC1 BSC196 +salias IOC2 BSC195 +salias IOD5 BSC194 +salias IOE5 BSC193 +salias IOF5 BSC192 +# BSC191 # unused pad +salias IOD3 BSC190 +salias IOD4 BSC189 +salias IOF3 BSC188 +salias IOD2 BSC187 +salias IOD1 BSC186 +salias IOE3 BSC185 +salias IOE4 BSC184 +salias IOG4 BSC183 +salias IOJ6 BSC182 +salias IOH6 BSC181 +salias IOE1 BSC180 +salias IOE2 BSC179 +salias DATA0 BSC178 # Family-specific input pin F1 +salias DCLK BSC177 # Family-specific input pin H4 +# nCE BSC176 # untestable Family-specific pin G5 +salias CLK0 BSC175 # Family-specific input pin H2 +salias CLK1 BSC174 # Family-specific input pin H1 +# nCONFIG BSC173 # untestable Family-specific pin J5 +salias CLK2 BSC172 # Family-specific input pin J2 +salias CLK3 BSC171 # Family-specific input pin J1 +salias IOK2 BSC170 +salias IOK1 BSC169 +salias IOK4 BSC168 +salias IOK5 BSC167 +# BSC166 # unused pad +salias IOL1 BSC165 +salias IOL2 BSC164 +salias IOJ4 BSC163 +salias IOM1 BSC162 +salias IOM2 BSC161 +salias IOM3 BSC160 +salias IOL3 BSC159 +salias ION1 BSC158 +salias ION2 BSC157 +salias IOP1 BSC156 +salias IOP2 BSC155 +# BSC154 # unused pad +salias ION3 BSC153 +salias ION4 BSC152 +salias IOP3 BSC151 +salias IOL4 BSC150 +salias IOM4 BSC149 +salias IOR3 BSC148 +salias IOT3 BSC147 +salias IOP5 BSC146 +salias IOP4 BSC145 +salias IOT4 BSC144 +salias IOR4 BSC143 +salias IOT5 BSC142 +salias IOR5 BSC141 +salias ION7 BSC140 +salias IOK7 BSC139 +salias IOK6 BSC138 +salias IOT6 BSC137 +salias IOR6 BSC136 +salias IOP6 BSC135 +salias ION6 BSC134 +salias ION8 BSC133 +salias IOT7 BSC132 +salias IOR7 BSC131 +salias IOL7 BSC130 +salias IOL8 BSC129 +salias IOT8 BSC128 +salias IOR8 BSC127 +salias IOT9 BSC126 +salias IOR9 BSC125 +salias ION9 BSC124 +salias ION10 BSC123 +salias IOT11 BSC122 +salias IOR11 BSC121 +salias IOP11 BSC120 +salias IOL9 BSC119 +salias IOL10 BSC118 +salias IOR10 BSC117 +salias IOT10 BSC116 +salias IOK11 BSC115 +salias IOK10 BSC114 +salias ION11 BSC113 +salias IOP12 BSC112 +salias IOP13 BSC111 +salias IOT12 BSC110 +salias IOR12 BSC109 +# BSC108 # unused pad +salias IOT13 BSC107 +salias IOR13 BSC106 +salias IOT14 BSC105 +salias IOR14 BSC104 +salias IOM11 BSC103 +salias IOL11 BSC102 +salias ION12 BSC101 +salias IOM12 BSC100 +salias IOL12 BSC099 +salias IOK13 BSC098 +salias ION13 BSC097 +salias ION14 BSC096 +salias IOP15 BSC095 +salias IOP16 BSC094 +salias ION15 BSC093 +salias ION16 BSC092 +salias IOP14 BSC091 +# BSC090 # unused pad +# BSC089 # unused pad +salias IOM14 BSC088 +salias IOM15 BSC087 +salias IOM16 BSC086 +# BSC085 # unused pad +salias IOL14 BSC084 +salias IOL15 BSC083 +salias IOL16 BSC082 +# nSTATUS BSC081 # untestable Family-specific pin M13 +# CONF_DONE BSC080 # untestable Family-specific pin L13 +salias MSEL1 BSC079 # Family-specific input pin K12 +salias MSEL0 BSC078 # Family-specific input pin J13 +salias IOK16 BSC077 +salias IOK15 BSC076 +salias CLK7 BSC075 # Family-specific input pin J16 +salias CLK6 BSC074 # Family-specific input pin J15 +salias CLK5 BSC073 # Family-specific input pin H15 +salias CLK4 BSC072 # Family-specific input pin H16 +salias IOH12 BSC071 +salias IOJ12 BSC070 +salias IOG16 BSC069 +salias IOG15 BSC068 +salias IOF15 BSC067 +salias IOF16 BSC066 +salias IOJ11 BSC065 +salias IOH11 BSC064 +salias IOG12 BSC063 +salias IOG13 BSC062 +salias IOE13 BSC061 +salias IOF13 BSC060 +salias IOH13 BSC059 +salias IOD15 BSC058 +salias IOD16 BSC057 +salias IOE15 BSC056 +salias IOE16 BSC055 +salias IOF14 BSC054 +salias IOC15 BSC053 +salias IOC16 BSC052 +salias IOC14 BSC051 +salias IOD13 BSC050 +salias IOE14 BSC049 +salias IOD14 BSC048 +salias IOB14 BSC047 +salias IOA14 BSC046 +salias IOC13 BSC045 +salias IOC12 BSC044 +salias IOB13 BSC043 +salias IOA13 BSC042 +salias IOB12 BSC041 +salias IOA12 BSC040 +salias IOC11 BSC039 +salias IOB11 BSC038 +salias IOA11 BSC037 +salias IOG10 BSC036 +salias IOG11 BSC035 +salias IOB10 BSC034 +salias IOA10 BSC033 +salias IOF10 BSC032 +salias IOF9 BSC031 +salias IOD9 BSC030 +salias IOD11 BSC029 +salias IOD10 BSC028 +salias IOA9 BSC027 +salias IOB9 BSC026 +salias IOA8 BSC025 +salias IOB8 BSC024 +salias IOA7 BSC023 +salias IOB7 BSC022 +salias IOF7 BSC021 +salias IOF8 BSC020 +# BSC019 # unused pad +# BSC018 # unused pad +salias IOD8 BSC017 +salias IOB6 BSC016 +salias IOA6 BSC015 +salias IOG6 BSC014 +salias IOG7 BSC013 +salias IOD7 BSC012 +salias IOD6 BSC011 +salias IOC6 BSC010 +salias IOC5 BSC009 +salias IOC4 BSC008 +salias IOB5 BSC007 +salias IOA5 BSC006 +salias IOB4 BSC005 +salias IOA4 BSC004 +salias IOA3 BSC003 +salias IOB3 BSC002 +salias IOE6 BSC001 +salias IOF6 BSC000 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep2c8/q208 b/sie_fs/usr/local/share/urjtag/altera/ep2c8/q208 new file mode 100644 index 0000000..197a2df --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep2c8/q208 @@ -0,0 +1,226 @@ +# +# $Id: q208 897 2007-12-29 13:02:32Z arniml $ +# +# JTAG package script for EP2C8Q208 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by H Hartley Sweeten +# +# Note: +# The signals defined in this file as unused pad are specified as +# I/O pins in the main configuration file ep2c8. +# + +salias IO1 BSC198 # Note: this pin is specified as I/O. If AS mode is specified by MSEL it is output only (ASDO) +salias IO2 BSC197 # Note: this pin is specified as I/O. If AS mode is specified by MSEL it is output only (nCSO) +salias IO3 BSC196 +salias IO4 BSC195 +salias IO5 BSC194 +salias IO6 BSC193 +salias IO8 BSC192 +salias IO10 BSC191 +salias IO11 BSC190 +salias IO12 BSC189 +salias IO13 BSC188 +# BSC187 # unused pad +# BSC186 # unused pad +# BSC185 # unused pad +# BSC184 # unused pad +# BSC183 # unused pad +# BSC182 # unused pad +# BSC181 # unused pad +salias IO14 BSC180 +salias IO15 BSC179 +salias DATA0 BSC178 # Family-specific input pin 20 +salias DCLK BSC177 # Family-specific input pin 21 +# nCE BSC176 # untestable Family-specific pin 22 +salias CLK0 BSC175 # Family-specific input pin 23 +salias CLK1 BSC174 # Family-specific input pin 24 +# nCONFIG BSC173 # untestable Family-specific pin 26 +salias CLK2 BSC172 # Family-specific input pin 27 +salias CLK3 BSC171 # Family-specific input pin 28 +salias IO30 BSC170 +salias IO31 BSC169 +# BSC168 # unused pad +salias IO33 BSC167 +salias IO34 BSC166 +salias IO35 BSC165 +# BSC164 # unused pad +salias IO37 BSC163 +# BSC162 # unused pad +# BSC161 # unused pad +# BSC160 # unused pad +salias IO39 BSC159 +salias IO40 BSC158 +salias IO41 BSC157 +# BSC156 # unused pad +# BSC155 # unused pad +salias IO43 BSC154 +salias IO44 BSC153 +salias IO45 BSC152 +salias IO46 BSC151 +salias IO47 BSC150 +salias IO48 BSC149 +salias IO56 BSC148 +salias IO57 BSC147 +salias IO58 BSC146 +salias IO59 BSC145 +salias IO60 BSC144 +salias IO61 BSC143 +salias IO63 BSC142 +salias IO64 BSC141 +# BSC140 # unused pad +# BSC139 # unused pad +# BSC138 # unused pad +# BSC137 # unused pad +# BSC136 # unused pad +# BSC135 # unused pad +# BSC134 # unused pad +salias IO67 BSC133 +salias IO68 BSC132 +salias IO69 BSC131 +# BSC130 # unused pad +# BSC129 # unused pad +salias IO70 BSC128 +salias IO72 BSC127 +salias IO74 BSC126 +salias IO75 BSC125 +salias IO76 BSC124 +salias IO77 BSC123 +# BSC122 # unused pad +# BSC121 # unused pad +salias IO80 BSC120 +salias IO81 BSC119 +salias IO82 BSC118 +salias IO84 BSC117 +salias IO86 BSC116 +salias IO87 BSC115 +salias IO88 BSC114 +salias IO89 BSC113 +salias IO90 BSC112 +salias IO92 BSC111 +salias IO94 BSC110 +salias IO95 BSC109 +salias IO96 BSC108 +salias IO97 BSC107 +salias IO99 BSC106 +salias IO101 BSC105 +salias IO102 BSC104 +salias IO103 BSC103 +salias IO104 BSC102 +salias IO105 BSC101 +salias IO106 BSC100 +# BSC099 # unused pad +# BSC098 # unused pad +salias IO107 BSC097 +salias IO108 BSC096 +salias IO110 BSC095 +salias IO112 BSC094 +salias IO113 BSC093 +salias IO114 BSC092 +# BSC091 # unused pad +salias IO115 BSC090 +salias IO116 BSC089 +salias IO117 BSC088 +# BSC087 # unused pad +# BSC086 # unused pad +salias IO118 BSC085 +# BSC084 # unused pad +# BSC083 # unused pad +# BSC082 # unused pad +# nSTATUS BSC081 # untestable Family-specific pin 121 +# CONF_DONE BSC080 # untestable Family-specific pin 123 +salias MSEL1 BSC079 # Family-specific input pin 125 +salias MSEL0 BSC078 # Family-specific input pin 126 +salias IO127 BSC077 +salias IO128 BSC076 +salias CLK7 BSC075 # Family-specific input pin 129 +salias CLK6 BSC074 # Family-specific input pin 130 +salias CLK5 BSC073 # Family-specific input pin 131 +salias CLK4 BSC072 # Family-specific input pin 132 +salias IO133 BSC071 +salias IO134 BSC070 +salias IO135 BSC069 +salias IO137 BSC068 +salias IO138 BSC067 +salias IO139 BSC066 +salias IO141 BSC065 +salias IO142 BSC064 +# BSC063 # unused pad +# BSC062 # unused pad +salias IO143 BSC061 +salias IO144 BSC060 +salias IO145 BSC059 +# BSC058 # unused pad +# BSC057 # unused pad +# BSC056 # unused pad +# BSC055 # unused pad +# BSC054 # unused pad +salias IO146 BSC053 +salias IO147 BSC052 +salias IO149 BSC051 +salias IO150 BSC050 +salias IO151 BSC049 +salias IO152 BSC048 +salias IO160 BSC047 +salias IO161 BSC046 +salias IO162 BSC045 +salias IO163 BSC044 +salias IO164 BSC043 +salias IO165 BSC042 +salias IO168 BSC041 +salias IO169 BSC040 +salias IO170 BSC039 +salias IO171 BSC038 +salias IO173 BSC037 +# BSC036 # unused pad +# BSC035 # unused pad +salias IO175 BSC034 +salias IO176 BSC033 +# BSC032 # unused pad +# BSC031 # unused pad +# BSC030 # unused pad +salias IO179 BSC029 +salias IO180 BSC028 +salias IO181 BSC027 +salias IO182 BSC026 +# BSC025 # unused pad +# BSC024 # unused pad +# BSC023 # unused pad +salias IO185 BSC022 +salias IO187 BSC021 +salias IO188 BSC020 +salias IO189 BSC019 +salias IO191 BSC018 +salias IO192 BSC017 +salias IO193 BSC016 +salias IO195 BSC015 +# BSC014 # unused pad +# BSC013 # unused pad +# BSC012 # unused pad +salias IO197 BSC011 +salias IO198 BSC010 +# BSC009 # unused pad +# BSC008 # unused pad +salias IO199 BSC007 +salias IO200 BSC006 +salias IO201 BSC005 +salias IO203 BSC004 +salias IO205 BSC003 +salias IO206 BSC002 +salias IO207 BSC001 +salias IO208 BSC000 diff --git a/sie_fs/usr/local/share/urjtag/altera/ep2c8/t144 b/sie_fs/usr/local/share/urjtag/altera/ep2c8/t144 new file mode 100644 index 0000000..14d5742 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/ep2c8/t144 @@ -0,0 +1,226 @@ +# +# $Id: t144 897 2007-12-29 13:02:32Z arniml $ +# +# JTAG package script for EP2C8T144 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by H Hartley Sweeten +# +# Note: +# The signals defined in this file as unused pad are specified as +# I/O pins in the main configuration file ep2c8. +# + +salias IO1 BSC198 # Note: this pin is specified as I/O. If AS mode is specified by MSEL it is output only (ASDO) +salias IO2 BSC197 # Note: this pin is specified as I/O. If AS mode is specified by MSEL it is output only (nCSO) +salias IO3 BSC196 +salias IO4 BSC195 +# BSC194 # unused pad +# BSC193 # unused pad +# BSC192 # unused pad +# BSC191 # unused pad +# BSC190 # unused pad +# BSC189 # unused pad +salias IO7 BSC188 +# BSC187 # unused pad +# BSC186 # unused pad +# BSC185 # unused pad +# BSC184 # unused pad +# BSC183 # unused pad +# BSC182 # unused pad +# BSC181 # unused pad +salias IO8 BSC180 +salias IO9 BSC179 +salias DATA0 BSC178 # Family-specific input pin 14 +salias DCLK BSC177 # Family-specific input pin 15 +# nCE BSC176 # untestable Family-specific pin 16 +salias CLK0 BSC175 # Family-specific input pin 17 +salias CLK1 BSC174 # Family-specific input pin 18 +# nCONFIG BSC173 # untestable Family-specific pin 20 +salias CLK2 BSC172 # Family-specific input pin 21 +salias CLK3 BSC171 # Family-specific input pin 22 +salias IO24 BSC170 +salias IO25 BSC169 +# BSC168 # unused pad +# BSC167 # unused pad +# BSC166 # unused pad +# BSC165 # unused pad +# BSC164 # unused pad +salias IO28 BSC163 +# BSC162 # unused pad +# BSC161 # unused pad +# BSC160 # unused pad +# BSC159 # unused pad +# BSC158 # unused pad +# BSC157 # unused pad +# BSC156 # unused pad +# BSC155 # unused pad +# BSC154 # unused pad +# BSC153 # unused pad +# BSC152 # unused pad +salias IO30 BSC151 +salias IO31 BSC150 +salias IO32 BSC149 +salias IO40 BSC148 +salias IO41 BSC147 +salias IO42 BSC146 +salias IO43 BSC145 +salias IO44 BSC144 +salias IO45 BSC143 +salias IO47 BSC142 +salias IO48 BSC141 +# BSC140 # unused pad +# BSC139 # unused pad +# BSC138 # unused pad +# BSC137 # unused pad +# BSC136 # unused pad +# BSC135 # unused pad +# BSC134 # unused pad +salias IO51 BSC133 +salias IO52 BSC132 +# BSC131 # unused pad +# BSC130 # unused pad +# BSC129 # unused pad +salias IO53 BSC128 +salias IO55 BSC127 +salias IO57 BSC126 +salias IO58 BSC125 +salias IO59 BSC124 +salias IO60 BSC123 +# BSC122 # unused pad +# BSC121 # unused pad +# BSC120 # unused pad +# BSC119 # unused pad +# BSC118 # unused pad +# BSC117 # unused pad +# BSC116 # unused pad +# BSC115 # unused pad +# BSC114 # unused pad +salias IO63 BSC113 +# BSC112 # unused pad +# BSC111 # unused pad +salias IO64 BSC110 +salias IO65 BSC109 +# BSC108 # unused pad +# BSC107 # unused pad +salias IO67 BSC106 +salias IO69 BSC105 +salias IO70 BSC104 +salias IO71 BSC103 +salias IO72 BSC102 +salias IO73 BSC101 +salias IO74 BSC100 +# BSC099 # unused pad +# BSC098 # unused pad +salias IO75 BSC097 +salias IO76 BSC096 +# BSC095 # unused pad +# BSC094 # unused pad +# BSC093 # unused pad +# BSC092 # unused pad +# BSC091 # unused pad +# BSC090 # unused pad +# BSC089 # unused pad +salias IO79 BSC088 +# BSC087 # unused pad +# BSC086 # unused pad +# BSC085 # unused pad +# BSC084 # unused pad +# BSC083 # unused pad +# BSC082 # unused pad +# nSTATUS BSC081 # untestable Family-specific pin 82 +# CONF_DONE BSC080 # untestable Family-specific pin 83 +salias MSEL1 BSC079 # Family-specific input pin 84 +salias MSEL0 BSC078 # Family-specific input pin 85 +salias IO86 BSC077 +salias IO87 BSC076 +salias CLK7 BSC075 # Family-specific input pin 88 +salias CLK6 BSC074 # Family-specific input pin 89 +salias CLK5 BSC073 # Family-specific input pin 90 +salias CLK4 BSC072 # Family-specific input pin 91 +salias IO92 BSC071 +salias IO93 BSC070 +salias IO94 BSC069 +salias IO96 BSC068 +salias IO97 BSC067 +# BSC066 # unused pad +# BSC065 # unused pad +# BSC064 # unused pad +# BSC063 # unused pad +# BSC062 # unused pad +# BSC061 # unused pad +# BSC060 # unused pad +salias IO99 BSC059 +# BSC058 # unused pad +# BSC057 # unused pad +# BSC056 # unused pad +# BSC055 # unused pad +# BSC054 # unused pad +salias IO100 BSC053 +salias IO101 BSC052 +# BSC051 # unused pad +# BSC050 # unused pad +salias IO103 BSC049 +salias IO104 BSC048 +salias IO112 BSC047 +salias IO113 BSC046 +salias IO114 BSC045 +salias IO115 BSC044 +# BSC043 # unused pad +# BSC042 # unused pad +salias IO118 BSC041 +salias IO119 BSC040 +salias IO120 BSC039 +salias IO121 BSC038 +salias IO122 BSC037 +# BSC036 # unused pad +# BSC035 # unused pad +# BSC034 # unused pad +# BSC033 # unused pad +# BSC032 # unused pad +# BSC031 # unused pad +# BSC030 # unused pad +salias IO125 BSC029 +salias IO126 BSC028 +# BSC027 # unused pad +# BSC026 # unused pad +# BSC025 # unused pad +# BSC024 # unused pad +# BSC023 # unused pad +salias IO129 BSC022 +# BSC021 # unused pad +# BSC020 # unused pad +# BSC019 # unused pad +# BSC018 # unused pad +salias IO132 BSC017 +salias IO133 BSC016 +salias IO134 BSC015 +# BSC014 # unused pad +# BSC013 # unused pad +# BSC012 # unused pad +# BSC011 # unused pad +# BSC010 # unused pad +# BSC009 # unused pad +# BSC008 # unused pad +salias IO135 BSC007 +salias IO136 BSC006 +salias IO137 BSC005 +salias IO139 BSC004 +salias IO141 BSC003 +salias IO142 BSC002 +salias IO143 BSC001 +salias IO144 BSC000 diff --git a/sie_fs/usr/local/share/urjtag/altera/epm3064a/STEPPINGS b/sie_fs/usr/local/share/urjtag/altera/epm3064a/STEPPINGS new file mode 100644 index 0000000..9ccfb63 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/epm3064a/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id$ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +# bits 31-28 of the Device Identification Register +0001 epm3064a 1 diff --git a/sie_fs/usr/local/share/urjtag/altera/epm3064a/epm3064a b/sie_fs/usr/local/share/urjtag/altera/epm3064a/epm3064a new file mode 100644 index 0000000..5a748b2 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/epm3064a/epm3064a @@ -0,0 +1,297 @@ +# +# $Id$ +# +# JTAG declarations for EPM3064A +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# +# Note: +# The signals defined in this file are generic for any EPM3064A. +# Signalnames according to Altera's package tables are set in the +# package specific scripts. +# + +register BYPASS 1 +register BSR 192 +register DEVICE_ID 32 +register USERCODE 32 + +instruction length 10 +instruction BYPASS 1111111111 BYPASS +instruction IDCODE 0001011001 DEVICE_ID +instruction USERCODE 0000000111 USERCODE +instruction SAMPLE/PRELOAD 0001010101 BSR +instruction EXTEST 0000000000 BSR + +signal BSC0 +signal BSC1 +signal BSC10 +signal BSC11 +signal BSC12 +signal BSC13 +signal BSC14 +signal BSC15 +signal BSC16 +signal BSC17 +signal BSC18 +signal BSC19 +signal BSC2 +signal BSC20 +signal BSC21 +signal BSC22 +signal BSC23 +signal BSC24 +signal BSC25 +signal BSC26 +signal BSC27 +signal BSC28 +signal BSC29 +signal BSC3 +signal BSC30 +signal BSC31 +signal BSC32 +signal BSC33 +signal BSC34 +signal BSC35 +signal BSC36 +signal BSC37 +signal BSC38 +signal BSC39 +signal BSC4 +signal BSC40 +signal BSC41 +signal BSC42 +signal BSC43 +signal BSC44 +signal BSC45 +signal BSC46 +signal BSC47 +signal BSC48 +signal BSC49 +signal BSC5 +signal BSC50 +signal BSC51 +signal BSC52 +signal BSC53 +signal BSC54 +signal BSC55 +signal BSC56 +signal BSC57 +signal BSC58 +signal BSC59 +signal BSC6 +signal BSC60 +signal BSC61 +signal BSC62 +signal BSC63 +signal BSC7 +signal BSC8 +signal BSC9 + +bit 0 I ? BSC0 +bit 1 X ? * +bit 2 X ? * +bit 3 I ? BSC1 +bit 4 X ? * +bit 5 X ? * +bit 6 I ? BSC2 +bit 7 C 0 * +bit 8 O ? BSC2 7 0 Z +bit 9 I ? BSC3 +bit 10 C 0 * +bit 11 O ? BSC3 10 0 Z +bit 12 I ? BSC4 +bit 13 C 0 * +bit 14 O ? BSC4 13 0 Z +bit 15 I ? BSC5 +bit 16 C 0 * +bit 17 O ? BSC5 16 0 Z +bit 18 I ? BSC6 +bit 19 C 0 * +bit 20 O ? BSC6 19 0 Z +bit 21 I ? BSC7 +bit 22 C 0 * +bit 23 O ? BSC7 22 0 Z +bit 24 I ? BSC8 +bit 25 C 0 * +bit 26 O ? BSC8 25 0 Z +bit 27 I ? BSC9 +bit 28 C 0 * +bit 29 O ? BSC9 28 0 Z +bit 30 I ? BSC10 +bit 31 C 0 * +bit 32 O ? BSC10 31 0 Z +bit 33 I ? BSC11 +bit 34 C 0 * +bit 35 O ? BSC11 34 0 Z +bit 36 I ? BSC12 +bit 37 C 0 * +bit 38 O ? BSC12 37 0 Z +bit 39 I ? BSC13 +bit 40 C 0 * +bit 41 O ? BSC13 40 0 Z +bit 42 I ? BSC14 +bit 43 C 0 * +bit 44 O ? BSC14 43 0 Z +bit 45 I ? BSC15 +bit 46 C 0 * +bit 47 O ? BSC15 46 0 Z +bit 48 I ? BSC16 +bit 49 C 0 * +bit 50 O ? BSC16 49 0 Z +bit 51 I ? BSC17 +bit 52 C 0 * +bit 53 O ? BSC17 52 0 Z +bit 54 I ? BSC18 +bit 55 C 0 * +bit 56 O ? BSC18 55 0 Z +bit 57 I ? BSC19 +bit 58 C 0 * +bit 59 O ? BSC19 58 0 Z +bit 60 I ? BSC20 +bit 61 C 0 * +bit 62 O ? BSC20 61 0 Z +bit 63 I ? BSC21 +bit 64 C 0 * +bit 65 O ? BSC21 64 0 Z +bit 66 I ? BSC22 +bit 67 C 0 * +bit 68 O ? BSC22 67 0 Z +bit 69 I ? BSC23 +bit 70 C 0 * +bit 71 O ? BSC23 70 0 Z +bit 72 I ? BSC24 +bit 73 C 0 * +bit 74 O ? BSC24 73 0 Z +bit 75 I ? BSC25 +bit 76 C 0 * +bit 77 O ? BSC25 76 0 Z +bit 78 I ? BSC26 +bit 79 C 0 * +bit 80 O ? BSC26 79 0 Z +bit 81 I ? BSC27 +bit 82 C 0 * +bit 83 O ? BSC27 82 0 Z +bit 84 I ? BSC28 +bit 85 C 0 * +bit 86 O ? BSC28 85 0 Z +bit 87 I ? BSC29 +bit 88 C 0 * +bit 89 O ? BSC29 88 0 Z +bit 90 I ? BSC30 +bit 91 C 0 * +bit 92 O ? BSC30 91 0 Z +bit 93 I ? BSC31 +bit 94 C 0 * +bit 95 O ? BSC31 94 0 Z +bit 96 I ? BSC32 +bit 97 C 0 * +bit 98 O ? BSC32 97 0 Z +bit 99 I ? BSC33 +bit 100 C 0 * +bit 101 O ? BSC33 100 0 Z +bit 102 I ? BSC34 +bit 103 C 0 * +bit 104 O ? BSC34 103 0 Z +bit 105 I ? BSC35 +bit 106 C 0 * +bit 107 O ? BSC35 106 0 Z +bit 108 I ? BSC36 +bit 109 C 0 * +bit 110 O ? BSC36 109 0 Z +bit 111 I ? BSC37 +bit 112 C 0 * +bit 113 O ? BSC37 112 0 Z +bit 114 I ? BSC38 +bit 115 C 0 * +bit 116 O ? BSC38 115 0 Z +bit 117 I ? BSC39 +bit 118 C 0 * +bit 119 O ? BSC39 118 0 Z +bit 120 I ? BSC40 +bit 121 C 0 * +bit 122 O ? BSC40 121 0 Z +bit 123 I ? BSC41 +bit 124 C 0 * +bit 125 O ? BSC41 124 0 Z +bit 126 I ? BSC42 +bit 127 C 0 * +bit 128 O ? BSC42 127 0 Z +bit 129 I ? BSC43 +bit 130 C 0 * +bit 131 O ? BSC43 130 0 Z +bit 132 I ? BSC44 +bit 133 C 0 * +bit 134 O ? BSC44 133 0 Z +bit 135 I ? BSC45 +bit 136 C 0 * +bit 137 O ? BSC45 136 0 Z +bit 138 I ? BSC46 +bit 139 C 0 * +bit 140 O ? BSC46 139 0 Z +bit 141 I ? BSC47 +bit 142 C 0 * +bit 143 O ? BSC47 142 0 Z +bit 144 I ? BSC48 +bit 145 C 0 * +bit 146 O ? BSC48 145 0 Z +bit 147 I ? BSC49 +bit 148 C 0 * +bit 149 O ? BSC49 148 0 Z +bit 150 I ? BSC50 +bit 151 C 0 * +bit 152 O ? BSC50 151 0 Z +bit 153 I ? BSC51 +bit 154 C 0 * +bit 155 O ? BSC51 154 0 Z +bit 156 I ? BSC52 +bit 157 C 0 * +bit 158 O ? BCS52 157 0 Z +bit 159 I ? BSC53 +bit 160 C 0 * +bit 161 O ? BSC53 160 0 Z +bit 162 I ? BSC54 +bit 163 C 0 * +bit 164 O ? BSC54 163 0 Z +bit 165 I ? BSC55 +bit 166 C 0 * +bit 167 O ? BSC55 166 0 Z +bit 168 I ? BSC56 +bit 169 C 0 * +bit 170 O ? BSC56 169 0 Z +bit 171 I ? BSC57 +bit 172 C 0 * +bit 173 O ? BSC57 172 0 Z +bit 174 I ? BSC58 +bit 175 C 0 * +bit 176 O ? BSC58 175 0 Z +bit 177 I ? BSC59 +bit 178 C 0 * +bit 179 O ? BSC59 178 0 Z +bit 180 I ? BSC60 +bit 181 C 0 * +bit 182 O ? BSC60 181 0 Z +bit 183 I ? BSC61 +bit 184 C 0 * +bit 185 O ? BSC61 184 0 Z +bit 186 I ? BSC62 +bit 187 X ? * +bit 188 X ? * +bit 189 I ? BSC63 +bit 190 X ? * +bit 191 X ? * diff --git a/sie_fs/usr/local/share/urjtag/altera/epm3064a/l44 b/sie_fs/usr/local/share/urjtag/altera/epm3064a/l44 new file mode 100644 index 0000000..1b3d836 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/epm3064a/l44 @@ -0,0 +1,53 @@ +# +# $Id$ +# +# JTAG package script for EPM3064AL44 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias IN44 BSC0 +salias IN43 BSC1 +salias IO41 BSC2 +salias IO40 BSC4 +salias IO39 BSC9 +salias IO37 BSC12 +salias IO34 BSC14 +salias IO33 BSC16 +salias IO31 BSC18 +salias IO29 BSC23 +salias IO28 BSC24 +salias IO27 BSC27 +salias IO26 BSC28 +salias IO25 BSC29 +salias IO24 BSC31 +salias IO21 BSC32 +salias IO20 BSC34 +salias IO19 BSC35 +salias IO18 BSC36 +salias IO16 BSC40 +salias IO14 BSC45 +salias IO12 BSC47 +salias IO11 BSC49 +salias IO9 BSC50 +salias IO8 BSC51 +salias IO6 BSC56 +salias IO5 BSC59 +salias IO4 BSC61 +salias IN2 BSC62 +salias IN1 BSC63 diff --git a/sie_fs/usr/local/share/urjtag/altera/epm3064a/t100 b/sie_fs/usr/local/share/urjtag/altera/epm3064a/t100 new file mode 100644 index 0000000..2c13c09 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/epm3064a/t100 @@ -0,0 +1,85 @@ +# +# $Id$ +# +# JTAG package script for EPM3064AT100 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias IN88 BSC0 +salias IN87 BSC1 +salias IO85 BSC2 +salias IO84 BSC3 +salias IO83 BSC4 +salias IO81 BSC5 +salias IO80 BSC6 +salias IO79 BSC7 +salias IO76 BSC8 +salias IO75 BSC9 +salias IO71 BSC10 +salias IO69 BSC11 +salias IO68 BSC12 +salias IO67 BSC13 +salias IO64 BSC15 +salias IO63 BSC16 +salias IO61 BSC17 +salias IO60 BSC18 +salias IO58 BSC19 +salias IO57 BSC20 +salias IO56 BSC21 +salias IO54 BSC22 +salias IO52 BSC23 +salias IO48 BSC24 +salias IO47 BSC25 +salias IO46 BSC26 +salias IO45 BSC27 +salias IO44 BSC28 +salias IO42 BSC29 +salias IO41 BSC30 +salias IO40 BSC31 +salias IO37 BSC32 +salias IO36 BSC33 +salias IO35 BSC34 +salias IO32 BSC36 +salias IO31 BSC37 +salias IO30 BSC38 +salias IO29 BSC39 +salias IO25 BSC40 +salias IO23 BSC41 +salias IO21 BSC42 +salias IO20 BSC43 +salias IO19 BSC44 +salias IO17 BSC45 +salias IO16 BSC46 +salias IO14 BSC47 +salias IO13 BSC48 +salias IO12 BSC49 +salias IO10 BSC50 +salias IO9 BSC51 +salias IO8 BSC52 +salias IO6 BSC53 +salias IO100 BSC54 +salias IO99 BSC55 +salias IO98 BSC56 +salias IO97 BSC57 +salias IO96 BSC58 +salias IO94 BSC59 +salias IO93 BSC60 +salias IO92 BSC61 +salias IN90 BSC62 +salias IN89 BSC63 diff --git a/sie_fs/usr/local/share/urjtag/altera/epm3064a/t44 b/sie_fs/usr/local/share/urjtag/altera/epm3064a/t44 new file mode 100644 index 0000000..6b78782 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/epm3064a/t44 @@ -0,0 +1,53 @@ +# +# $Id$ +# +# JTAG package script for EPM3064AT44 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias IN38 BSC0 +salias IN37 BSC1 +salias IO35 BSC2 +salias IO34 BSC4 +salias IO33 BSC9 +salias IO31 BSC12 +salias IO28 BSC14 +salias IO27 BSC16 +salias IO25 BSC18 +salias IO23 BSC23 +salias IO22 BSC24 +salias IO21 BSC27 +salias IO20 BSC28 +salias IO19 BSC29 +salias IO18 BSC31 +salias IO15 BSC32 +salias IO14 BSC34 +salias IO13 BSC35 +salias IO12 BSC36 +salias IO10 BSC40 +salias IO8 BSC45 +salias IO6 BSC47 +salias IO5 BSC49 +salias IO3 BSC50 +salias IO2 BSC51 +salias IO44 BSC56 +salias IO43 BSC59 +salias IO42 BSC61 +salias IN40 BSC62 +salias IN39 BSC63 diff --git a/sie_fs/usr/local/share/urjtag/altera/epm7128aetc100/STEPPINGS b/sie_fs/usr/local/share/urjtag/altera/epm7128aetc100/STEPPINGS new file mode 100644 index 0000000..3c27005 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/epm7128aetc100/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id: STEPPINGS 541 2003-08-22 11:16:02Z telka $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Rojhalat Ibrahim +# + +# bits 31-28 of the Device Identification Register +0001 epm7128aetc100 1 diff --git a/sie_fs/usr/local/share/urjtag/altera/epm7128aetc100/epm7128aetc100 b/sie_fs/usr/local/share/urjtag/altera/epm7128aetc100/epm7128aetc100 new file mode 100644 index 0000000..ad00978 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/altera/epm7128aetc100/epm7128aetc100 @@ -0,0 +1,414 @@ +# +# $Id: epm7128aetc100 541 2003-08-22 11:16:02Z telka $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Rojhalat Ibrahim +# + +signal tdi +signal tck +signal tms +signal tdo +signal IO1 +signal IO2 +signal IO5 +signal IO6 +signal IO7 +signal IO8 +signal IO9 +signal IO10 +signal IO12 +signal IO13 +signal IO14 +signal IO16 +signal IO17 +signal IO19 +signal IO20 +signal IO21 +signal IO22 +signal IO23 +signal IO24 +signal IO25 +signal IO27 +signal IO28 +signal IO29 +signal IO30 +signal IO31 +signal IO32 +signal IO33 +signal IO35 +signal IO36 +signal IO37 +signal IO40 +signal IO41 +signal IO42 +signal IO44 +signal IO45 +signal IO46 +signal IO47 +signal IO48 +signal IO49 +signal IO50 +signal IO52 +signal IO53 +signal IO54 +signal IO55 +signal IO56 +signal IO57 +signal IO58 +signal IO60 +signal IO61 +signal IO63 +signal IO64 +signal IO65 +signal IO67 +signal IO68 +signal IO69 +signal IO70 +signal IO71 +signal IO72 +signal IO75 +signal IO76 +signal IO77 +signal IO78 +signal IO79 +signal IO80 +signal IO81 +signal IO83 +signal IO84 +signal IO85 +signal IN87 +signal IN88 +signal IN89 +signal IN90 +signal IO92 +signal IO93 +signal IO94 +signal IO96 +signal IO97 +signal IO98 +signal IO99 +signal IO100 + + +# mandatory data registers +register BSR 288 +register BR 1 + +# optional data registers +register DIR 32 + +# instructions +instruction length 10 + +# mandatory instructions +instruction EXTEST 0000000000 BSR +instruction SAMPLE/PRELOAD 0001010101 BSR +instruction BYPASS 1111111111 BR +instruction IDCODE 0001011001 DIR +instruction USERCODE 0000000111 DIR + + +bit 287 O 1 * +bit 286 O 1 * +bit 285 I 1 IN89 +bit 284 O 1 * +bit 283 O 1 * +bit 282 I 1 IN90 +bit 281 O 1 IO92 280 0 Z +bit 280 C 0 * +bit 279 I 1 IO92 +bit 278 O 1 IO93 277 0 Z +bit 277 C 0 * +bit 276 I 1 IO93 +bit 275 O 1 IO94 274 0 Z +bit 274 C 0 * +bit 273 I 1 IO94 +bit 272 O 1 * +bit 271 O 0 * +bit 270 O 1 * +bit 269 O 1 IO96 268 0 Z +bit 268 C 0 * +bit 267 I 1 IO96 +bit 266 O 1 IO97 265 0 Z +bit 265 C 0 * +bit 264 I 1 IO97 +bit 263 O 1 IO98 262 0 Z +bit 262 C 0 * +bit 261 I 1 IO98 +bit 260 O 1 IO99 259 0 Z +bit 259 C 0 * +bit 258 I 1 IO99 +bit 257 O 1 IO100 256 0 Z +bit 256 C 0 * +bit 255 I 1 IO100 +bit 254 O 1 * +bit 253 O 0 * +bit 252 O 1 * +bit 251 O 1 IO1 250 0 Z +bit 250 C 0 * +bit 249 I 1 IO1 +bit 248 O 1 IO2 247 0 Z +bit 247 C 0 * +bit 246 I 1 IO2 +bit 245 O 1 IO5 244 0 Z +bit 244 C 0 * +bit 243 I 1 IO5 +bit 242 O 1 IO6 241 0 Z +bit 241 C 0 * +bit 240 I 1 IO6 +bit 239 O 1 * +bit 238 O 0 * +bit 237 O 1 * +bit 236 O 1 IO7 235 0 Z +bit 235 C 0 * +bit 234 I 1 IO7 +bit 233 O 1 IO8 232 0 Z +bit 232 C 0 * +bit 231 I 1 IO8 +bit 230 O 1 IO9 229 0 Z +bit 229 C 0 * +bit 228 I 1 IO9 +bit 227 O 1 IO10 226 0 Z +bit 226 C 0 * +bit 225 I 1 IO10 +bit 224 O 1 IO12 223 0 Z +bit 223 C 0 * +bit 222 I 1 IO12 +bit 221 O 1 * +bit 220 O 0 * +bit 219 O 1 * +bit 218 O 1 IO13 217 0 Z +bit 217 C 0 * +bit 216 I 1 IO13 +bit 215 O 1 IO14 214 0 Z +bit 214 C 0 * +bit 213 I 1 IO14 +bit 212 O 1 IO16 211 0 Z +bit 211 C 0 * +bit 210 I 1 IO16 +bit 209 O 1 IO17 208 0 Z +bit 208 C 0 * +bit 207 I 1 IO17 +bit 206 O 1 * +bit 205 O 0 * +bit 204 O 1 * +bit 203 O 1 IO19 202 0 Z +bit 202 C 0 * +bit 201 I 1 IO19 +bit 200 O 1 IO20 199 0 Z +bit 199 C 0 * +bit 198 I 1 IO20 +bit 197 O 1 IO21 196 0 Z +bit 196 C 0 * +bit 195 I 1 IO21 +bit 194 O 1 IO22 193 0 Z +bit 193 C 0 * +bit 192 I 1 IO22 +bit 191 O 1 IO23 190 0 Z +bit 190 C 0 * +bit 189 I 1 IO23 +bit 188 O 1 * +bit 187 O 0 * +bit 186 O 1 * +bit 185 O 1 IO24 184 0 Z +bit 184 C 0 * +bit 183 I 1 IO24 +bit 182 O 1 IO25 181 0 Z +bit 181 C 0 * +bit 180 I 1 IO25 +bit 179 O 1 IO27 178 0 Z +bit 178 C 0 * +bit 177 I 1 IO27 +bit 176 O 1 IO28 175 0 Z +bit 175 C 0 * +bit 174 I 1 IO28 +bit 173 O 1 IO29 172 0 Z +bit 172 C 0 * +bit 171 I 1 IO29 +bit 170 O 1 * +bit 169 O 0 * +bit 168 O 1 * +bit 167 O 1 IO30 166 0 Z +bit 166 C 0 * +bit 165 I 1 IO30 +bit 164 O 1 IO31 163 0 Z +bit 163 C 0 * +bit 162 I 1 IO31 +bit 161 O 1 IO32 160 0 Z +bit 160 C 0 * +bit 159 I 1 IO32 +bit 158 O 1 IO33 157 0 Z +bit 157 C 0 * +bit 156 I 1 IO33 +bit 155 O 1 IO35 154 0 Z +bit 154 C 0 * +bit 153 I 1 IO35 +bit 152 O 1 * +bit 151 O 0 * +bit 150 O 1 * +bit 149 O 1 IO36 148 0 Z +bit 148 C 0 * +bit 147 I 1 IO36 +bit 146 O 1 IO37 145 0 Z +bit 145 C 0 * +bit 144 I 1 IO37 +bit 143 O 1 IO40 142 0 Z +bit 142 C 0 * +bit 141 I 1 IO40 +bit 140 O 1 IO41 139 0 Z +bit 139 C 0 * +bit 138 I 1 IO41 +bit 137 O 1 * +bit 136 O 0 * +bit 135 O 1 * +bit 134 O 1 IO42 133 0 Z +bit 133 C 0 * +bit 132 I 1 IO42 +bit 131 O 1 IO44 130 0 Z +bit 130 C 0 * +bit 129 I 1 IO44 +bit 128 O 1 IO45 127 0 Z +bit 127 C 0 * +bit 126 I 1 IO45 +bit 125 O 1 IO46 124 0 Z +bit 124 C 0 * +bit 123 I 1 IO46 +bit 122 O 1 IO47 121 0 Z +bit 121 C 0 * +bit 120 I 1 IO47 +bit 119 O 1 * +bit 118 O 0 * +bit 117 O 1 * +bit 116 O 1 IO48 115 0 Z +bit 115 C 0 * +bit 114 I 1 IO48 +bit 113 O 1 IO49 112 0 Z +bit 112 C 0 * +bit 111 I 1 IO49 +bit 110 O 1 IO50 109 0 Z +bit 109 C 0 * +bit 108 I 1 IO50 +bit 107 O 1 IO52 106 0 Z +bit 106 C 0 * +bit 105 I 1 IO52 +bit 104 O 1 IO53 103 0 Z +bit 103 C 0 * +bit 102 I 1 IO53 +bit 101 O 1 * +bit 100 O 0 * +bit 99 O 1 * +bit 98 O 1 IO54 97 0 Z +bit 97 C 0 * +bit 96 I 1 IO54 +bit 95 O 1 IO55 94 0 Z +bit 94 C 0 * +bit 93 I 1 IO55 +bit 92 O 1 IO56 91 0 Z +bit 91 C 0 * +bit 90 I 1 IO56 +bit 89 O 1 IO57 88 0 Z +bit 88 C 0 * +bit 87 I 1 IO57 +bit 86 O 1 IO58 85 0 Z +bit 85 C 0 * +bit 84 I 1 IO58 +bit 83 O 1 * +bit 82 O 0 * +bit 81 O 1 * +bit 80 O 1 IO60 79 0 Z +bit 79 C 0 * +bit 78 I 1 IO60 +bit 77 O 1 IO61 76 0 Z +bit 76 C 0 * +bit 75 I 1 IO61 +bit 74 O 1 IO63 73 0 Z +bit 73 C 0 * +bit 72 I 1 IO63 +bit 71 O 1 IO64 70 0 Z +bit 70 C 0 * +bit 69 I 1 IO64 +bit 68 O 1 * +bit 67 O 0 * +bit 66 O 1 * +bit 65 O 1 IO65 64 0 Z +bit 64 C 0 * +bit 63 I 1 IO65 +bit 62 O 1 IO67 61 0 Z +bit 61 C 0 * +bit 60 I 1 IO67 +bit 59 O 1 IO68 58 0 Z +bit 58 C 0 * +bit 57 I 1 IO68 +bit 56 O 1 IO69 55 0 Z +bit 55 C 0 * +bit 54 I 1 IO69 +bit 53 O 1 IO70 52 0 Z +bit 52 C 0 * +bit 51 I 1 IO70 +bit 50 O 1 * +bit 49 O 0 * +bit 48 O 1 * +bit 47 O 1 IO71 46 0 Z +bit 46 C 0 * +bit 45 I 1 IO71 +bit 44 O 1 IO72 43 0 Z +bit 43 C 0 * +bit 42 I 1 IO72 +bit 41 O 1 IO75 40 0 Z +bit 40 C 0 * +bit 39 I 1 IO75 +bit 38 O 1 IO76 37 0 Z +bit 37 C 0 * +bit 36 I 1 IO76 +bit 35 O 1 * +bit 34 O 0 * +bit 33 O 1 * +bit 32 O 1 IO77 31 0 Z +bit 31 C 0 * +bit 30 I 1 IO77 +bit 29 O 1 IO78 28 0 Z +bit 28 C 0 * +bit 27 I 1 IO78 +bit 26 O 1 IO79 25 0 Z +bit 25 C 0 * +bit 24 I 1 IO79 +bit 23 O 1 IO80 22 0 Z +bit 22 C 0 * +bit 21 I 1 IO80 +bit 20 O 1 IO81 19 0 Z +bit 19 C 0 * +bit 18 I 1 IO81 +bit 17 O 1 * +bit 16 O 0 * +bit 15 O 1 * +bit 14 O 1 IO83 13 0 Z +bit 13 C 0 * +bit 12 I 1 IO83 +bit 11 O 1 IO84 10 0 Z +bit 10 C 0 * +bit 9 I 1 IO84 +bit 8 O 1 IO85 7 0 Z +bit 7 C 0 * +bit 6 I 1 IO85 +bit 5 O 1 * +bit 4 O 1 * +bit 3 I 1 IN87 +bit 2 O 1 * +bit 1 O 1 * +bit 0 I 1 IN88 + diff --git a/sie_fs/usr/local/share/urjtag/analog/PARTS b/sie_fs/usr/local/share/urjtag/analog/PARTS new file mode 100644 index 0000000..bf5750f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/PARTS @@ -0,0 +1,30 @@ +# +# $Id: PARTS,v 1.1.1.1 2005/03/18 15:02:50 klingler Exp $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Richard Klingler +# + +# bits 27-12 of the Device Identification Register +0010011110100111 sharc21065l SHARC +0010011111100100 bf527 BF526 +0010011111100000 bf527 BF527 +0010011110100101 bf533 BF533 +0010011111001000 bf537 BF537 +0010011111000100 bf538 BF538 +0010011111011110 bf549 BF549 +0010011110111011 bf561 BF561 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf527/STEPPINGS b/sie_fs/usr/local/share/urjtag/analog/bf527/STEPPINGS new file mode 100644 index 0000000..67ea1bd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf527/STEPPINGS @@ -0,0 +1,22 @@ +# +# $Id: STEPPINGS 75 2005-11-11 09:12:34Z jiez $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# + +# bits 31-28 of the Device Identification Register +0000 bf527 0 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf527/bf527 b/sie_fs/usr/local/share/urjtag/analog/bf527/bf527 new file mode 100644 index 0000000..6be7fd7 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf527/bf527 @@ -0,0 +1,543 @@ +signal ADDR1 +signal ADDR2 +signal ADDR3 +signal ADDR4 +signal ADDR5 +signal ADDR6 +signal ADDR7 +signal ADDR8 +signal ADDR9 +signal ADDR10 +signal ADDR11 +signal ADDR12 +signal ADDR13 +signal ADDR14 +signal ADDR15 +signal ADDR16 +signal ADDR17 +signal ADDR18 +signal ADDR19 +signal DATA0 +signal DATA1 +signal DATA2 +signal DATA3 +signal DATA4 +signal DATA5 +signal DATA6 +signal DATA7 +signal DATA8 +signal DATA9 +signal DATA10 +signal DATA11 +signal DATA12 +signal DATA13 +signal DATA14 +signal DATA15 +signal PF0 +signal PF1 +signal PF2 +signal PF3 +signal PF4 +signal PF5 +signal PF6 +signal PF7 +signal PF8 +signal PF9 +signal PF10 +signal PF11 +signal PF12 +signal PF13 +signal PF14 +signal PF15 +signal PG0 +signal PG1 +signal PG2 +signal PG3 +signal PG4 +signal PG5 +signal PG6 +signal PG7 +signal PG8 +signal PG9 +signal PG10 +signal PG11 +signal PG12 +signal PG13 +signal PG14 +signal PG15 +signal PH0 +signal PH1 +signal PH2 +signal PH3 +signal PH4 +signal PH5 +signal PH6 +signal PH7 +signal PH8 +signal PH9 +signal PH10 +signal PH11 +signal PH12 +signal PH13 +signal PH14 +signal PH15 +signal BMODE0 +signal BMODE1 +signal BMODE2 +signal BMODE3 +signal PJ1 +signal PJ0 +signal PJ2 +signal PJ3 +signal NMI_B +signal RESET_B +signal CLKOUT +signal AMS_B0 +signal AMS_B1 +signal AMS_B2 +signal AMS_B3 +signal AOE_B +signal ARE_B +signal AWE_B +signal ARDY +signal SCKE +signal SMS_B +signal SRAS_B +signal SCAS_B +signal SWE_B +signal SA10 +signal ABE_B0 +signal ABE_B1 +signal TCK +signal TDI +signal TDO +signal TMS +signal TRST_B +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal VDDOTP +signal OTPVPP +signal USB_ID +signal USB_RSET +signal VDDUSB0 +signal VDDUSB1 +signal USB_VREF +signal USB_XTALIN +signal USB_XTALOUT +signal VDDEXT0 +signal VDDEXT1 +signal VDDEXT2 +signal VDDEXT3 +signal VDDEXT4 +signal VDDEXT5 +signal VDDEXT6 +signal VDDEXT7 +signal VDDEXT8 +signal VDDEXT9 +signal VDDEXT10 +signal VDDEXT11 +signal VDDEXT12 +signal VDDEXT13 +signal VDDEXT14 +signal VDDEXT15 +signal VDDEXT16 +signal VDDEXT17 +signal VDDEXT18 +signal VDDEXT19 +signal RTXI +signal RTXO +signal SSPG +signal CLKIN +signal VROUT +signal EXT_WAKE +signal USB_DM +signal USB_DP +signal USB_VBUS +signal CLKBUF +signal VDDRTC +signal VDDMEM0 +signal VDDMEM1 +signal VDDMEM2 +signal VDDMEM3 +signal VDDMEM4 +signal VDDMEM5 +signal VDDMEM6 +signal VDDMEM7 +signal VDDMEM8 +signal VDDMEM9 +signal VDDMEM10 +signal VDDMEM11 +signal VDDMEM12 +signal VDDMEM13 +signal VDDMEM14 +signal VDDMEM15 +signal VDDMEM16 +signal VDDMEM17 +signal VDDINT0 +signal VDDINT1 +signal VDDINT2 +signal VDDINT3 +signal VDDINT4 +signal VDDINT5 +signal VDDINT6 +signal VDDINT7 +signal VDDINT8 +signal VDDINT9 +signal VDDINT10 +signal VDDINT11 +signal VDDINT12 +signal VDDINT13 +signal VDDINT14 +signal VDDINT15 +signal VDDINT16 +signal VDDINT17 +signal VDDINT18 +signal VDDINT19 +signal VDDINT20 +signal VDDINT21 +signal VDDINT22 +signal VDDINT23 +signal VDDINT24 +signal VDDINT25 +signal VDDINT26 +signal VDDINT27 +signal VDDINT28 +signal VDDINT29 +signal VDDINT30 +signal VDDINT31 +signal VDDINT32 +signal VRSEL +signal XTAL +signal ADCLRC +signal ADCDAT +signal DACLRC +signal DACDAT +signal BCLK +signal XTO +signal XTI_MCLK +signal LHPOUT +signal RHPOUT +signal CSCL +signal CSDA +signal CCLKOUT +signal CSB +signal CMODE +signal LLINEIN +signal LOUT +signal RLINEIN +signal ROUT +signal VMID +signal MICBIAS +signal MICIN +signal AVDD0 +signal AVDD1 +signal AGND0 +signal AGND1 + +register BSR 233 +register BR 1 +register DIR 32 +register DBGSTAT 16 +register DBGCTL 16 +register EMUIR 32 +register EMUDAT 32 +register EMUPC 32 + +instruction length 5 + +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 10000 BSR +instruction IDCODE 00010 DIR +instruction BYPASS 11111 BR +instruction DBGSTAT_SCAN 01100 DBGSTAT +instruction DBGCTL_SCAN 00100 DBGCTL +instruction EMUIR_SCAN 01000 EMUIR +instruction EMUDAT_SCAN 10100 EMUDAT +instruction EMUPC_SCAN 11110 EMUPC + +bit 232 C 0 * +bit 231 O 1 ADDR14 8 0 Z +bit 230 O 1 ADDR15 8 0 Z +bit 229 O 1 ADDR16 8 0 Z +bit 228 O 1 ADDR17 8 0 Z +bit 227 O 1 ADDR18 8 0 Z +bit 226 O 1 ADDR19 8 0 Z +bit 225 O 1 DATA0 232 0 Z +bit 224 I 1 DATA0 +bit 223 O 1 DATA1 232 0 Z +bit 222 I 1 DATA1 +bit 221 O 1 DATA2 232 0 Z +bit 220 I 1 DATA2 +bit 219 O 1 DATA3 232 0 Z +bit 218 I 1 DATA3 +bit 217 O 1 DATA4 232 0 Z +bit 216 I 1 DATA4 +bit 215 O 1 DATA5 232 0 Z +bit 214 I 1 DATA5 +bit 213 O 1 DATA6 232 0 Z +bit 212 I 1 DATA6 +bit 211 O 1 DATA7 232 0 Z +bit 210 I 1 DATA7 +bit 209 O 1 DATA8 232 0 Z +bit 208 I 1 DATA8 +bit 207 O 1 DATA9 232 0 Z +bit 206 I 1 DATA9 +bit 205 O 1 DATA10 232 0 Z +bit 204 I 1 DATA10 +bit 203 O 1 DATA11 232 0 Z +bit 202 I 1 DATA11 +bit 201 O 1 DATA12 232 0 Z +bit 200 I 1 DATA12 +bit 199 O 1 DATA13 232 0 Z +bit 198 I 1 DATA13 +bit 197 O 1 DATA14 232 0 Z +bit 196 I 1 DATA14 +bit 195 O 1 DATA15 232 0 Z +bit 194 I 1 DATA15 +bit 193 C 0 * +bit 192 O 1 PG0 193 0 Z +bit 191 I 1 PG0 +bit 190 C 0 * +bit 189 O 1 PG1 190 0 Z +bit 188 I 1 PG1 +bit 187 C 0 * +bit 186 O 1 PG2 187 0 Z +bit 185 I 1 PG2 +bit 184 C 0 * +bit 183 O 1 PG3 184 0 Z +bit 182 I 1 PG3 +bit 181 I 1 BMODE0 +bit 180 I 1 BMODE1 +bit 179 I 1 BMODE2 +bit 178 I 1 BMODE3 +bit 177 C 0 * +bit 176 O 1 PG4 177 0 Z +bit 175 I 1 PG4 +bit 174 C 0 * +bit 173 O 1 PG5 174 0 Z +bit 172 I 1 PG5 +bit 171 C 0 * +bit 170 O 1 PG6 171 0 Z +bit 169 I 1 PG6 +bit 168 C 0 * +bit 167 O 1 PG7 168 0 Z +bit 166 I 1 PG7 +bit 165 C 0 * +bit 164 O 1 PG8 165 0 Z +bit 163 I 1 PG8 +bit 162 C 0 * +bit 161 O 1 PG9 162 0 Z +bit 160 I 1 PG9 +bit 159 C 0 * +bit 158 O 1 PG10 159 0 Z +bit 157 I 1 PG10 +bit 156 C 0 * +bit 155 O 1 PG11 156 0 Z +bit 154 I 1 PG11 +bit 153 C 0 * +bit 152 O 1 PG12 153 0 Z +bit 151 I 1 PG12 +bit 150 C 0 * +bit 149 O 1 PG13 150 0 Z +bit 148 I 1 PG13 +bit 147 C 0 * +bit 146 O 1 PG14 147 0 Z +bit 145 I 1 PG14 +bit 144 C 0 * +bit 143 O 1 PG15 144 0 Z +bit 142 I 1 PG15 +bit 141 I 1 PJ1 +bit 140 C 0 * +bit 139 O 1 PJ0 140 0 Z +bit 138 I 1 PJ0 +bit 137 C 0 * +bit 136 O 1 PF0 137 0 Z +bit 135 I 1 PF0 +bit 134 C 0 * +bit 133 O 1 PF1 134 0 Z +bit 132 I 1 PF1 +bit 131 C 0 * +bit 130 O 1 PF2 131 0 Z +bit 129 I 1 PF2 +bit 128 C 0 * +bit 127 O 1 PF3 128 0 Z +bit 126 I 1 PF3 +bit 125 C 0 * +bit 124 O 1 PF4 125 0 Z +bit 123 I 1 PF4 +bit 122 C 0 * +bit 121 O 1 PF5 122 0 Z +bit 120 I 1 PF5 +bit 119 C 0 * +bit 118 O 1 PF6 119 0 Z +bit 117 I 1 PF6 +bit 116 C 0 * +bit 115 O 1 PF7 116 0 Z +bit 114 I 1 PF7 +bit 113 C 0 * +bit 112 O 1 PF8 113 0 Z +bit 111 I 1 PF8 +bit 110 C 0 * +bit 109 O 1 PF9 110 0 Z +bit 108 I 1 PF9 +bit 107 C 0 * +bit 106 O 1 PF10 107 0 Z +bit 105 I 1 PF10 +bit 104 C 0 * +bit 103 O 1 PF11 104 0 Z +bit 102 I 1 PF11 +bit 101 O 1 * +bit 100 O 1 PJ2 100 1 Z +bit 99 I 1 PJ2 +bit 98 O 1 * +bit 97 O 1 PJ3 97 1 Z +bit 96 I 1 PJ3 +bit 95 C 0 * +bit 94 O 1 PF12 95 0 Z +bit 93 I 1 PF12 +bit 92 C 0 * +bit 91 O 1 PF13 92 0 Z +bit 90 I 1 PF13 +bit 89 C 0 * +bit 88 O 1 PF14 89 0 Z +bit 87 I 1 PF14 +bit 86 C 0 * +bit 85 O 1 PF15 86 0 Z +bit 84 I 1 PF15 +bit 83 C 0 * +bit 82 O 1 PH0 83 0 Z +bit 81 I 1 PH0 +bit 80 C 0 * +bit 79 O 1 PH1 80 0 Z +bit 78 I 1 PH1 +bit 77 C 0 * +bit 76 O 1 PH2 77 0 Z +bit 75 I 1 PH2 +bit 74 C 0 * +bit 73 O 1 PH3 74 0 Z +bit 72 I 1 PH3 +bit 71 C 0 * +bit 70 O 1 PH4 71 0 Z +bit 69 I 1 PH4 +bit 68 C 0 * +bit 67 O 1 PH5 68 0 Z +bit 66 I 1 PH5 +bit 65 C 0 * +bit 64 O 1 PH6 65 0 Z +bit 63 I 1 PH6 +bit 62 C 0 * +bit 61 O 1 PH7 62 0 Z +bit 60 I 1 PH7 +bit 59 C 0 * +bit 58 O 1 PH8 59 0 Z +bit 57 I 1 PH8 +bit 56 O 0 * +bit 55 C 0 * +bit 54 O 1 PH9 55 0 Z +bit 53 I 1 PH9 +bit 52 C 0 * +bit 51 O 1 PH10 52 0 Z +bit 50 I 1 PH10 +bit 49 C 0 * +bit 48 O 1 PH11 49 0 Z +bit 47 I 1 PH11 +bit 46 C 0 * +bit 45 O 1 PH12 46 0 Z +bit 44 I 1 PH12 +bit 43 C 0 * +bit 42 O 1 PH13 43 0 Z +bit 41 I 1 PH13 +bit 40 C 0 * +bit 39 O 1 PH14 40 0 Z +bit 38 I 1 PH14 +bit 37 C 0 * +bit 36 O 1 PH15 37 0 Z +bit 35 I 1 PH15 +bit 34 I 1 NMI_B +bit 33 I 1 RESET_B +bit 32 C 0 * +bit 31 O 1 CLKOUT 32 0 Z +bit 30 O 1 AMS_B0 25 0 Z +bit 29 O 1 AMS_B1 25 0 Z +bit 28 O 1 AMS_B2 25 0 Z +bit 27 O 1 AMS_B3 25 0 Z +bit 26 O 1 AOE_B 25 0 Z +bit 25 C 0 * +bit 24 O 1 ARE_B 25 0 Z +bit 23 O 1 AWE_B 25 0 Z +bit 22 I 1 ARDY +bit 21 O 1 SCKE 32 0 Z +bit 20 O 1 SMS_B 32 0 Z +bit 19 O 1 SRAS_B 32 0 Z +bit 18 O 1 SCAS_B 32 0 Z +bit 17 O 1 SWE_B 32 0 Z +bit 16 O 1 SA10 32 0 Z +bit 15 O 1 ABE_B0 8 0 Z +bit 14 O 1 ABE_B1 8 0 Z +bit 13 O 1 ADDR1 8 0 Z +bit 12 O 1 ADDR2 8 0 Z +bit 11 O 1 ADDR3 8 0 Z +bit 10 O 1 ADDR4 8 0 Z +bit 9 O 1 ADDR5 8 0 Z +bit 8 C 0 * +bit 7 O 1 ADDR6 8 0 Z +bit 6 O 1 ADDR7 8 0 Z +bit 5 O 1 ADDR8 8 0 Z +bit 4 O 1 ADDR9 8 0 Z +bit 3 O 1 ADDR10 8 0 Z +bit 2 O 1 ADDR11 8 0 Z +bit 1 O 1 ADDR12 8 0 Z +bit 0 O 1 ADDR13 8 0 Z diff --git a/sie_fs/usr/local/share/urjtag/analog/bf533/STEPPINGS b/sie_fs/usr/local/share/urjtag/analog/bf533/STEPPINGS new file mode 100644 index 0000000..90d3f71 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf533/STEPPINGS @@ -0,0 +1,28 @@ +# +# $Id: STEPPINGS,v 1.3 2005/07/08 15:05:41 rgetz Exp $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Richard Klingler +# + +# bits 31-28 of the Device Identification Register +0000 bf533 0 +0001 bf533 1 +0010 bf533 2 +0011 bf533 3 +0100 bf533 4 +0101 bf533 5 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf533/bf533 b/sie_fs/usr/local/share/urjtag/analog/bf533/bf533 new file mode 100644 index 0000000..1bf055d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf533/bf533 @@ -0,0 +1,378 @@ +signal ADDR[1] +signal ADDR[2] +signal ADDR[3] +signal ADDR[4] +signal ADDR[5] +signal ADDR[6] +signal ADDR[7] +signal ADDR[8] +signal ADDR[9] +signal ADDR[10] +signal ADDR[11] +signal ADDR[12] +signal ADDR[13] +signal ADDR[14] +signal ADDR[15] +signal ADDR[16] +signal ADDR[17] +signal ADDR[18] +signal ADDR[19] +signal AMS_B0 +signal AMS_B1 +signal AMS_B2 +signal AMS_B3 +signal AOE_B +signal ARDY +signal ARE_B +signal AWE_B +signal ABE_B0 +signal ABE_B1 +signal BG_B +signal BGH_B +signal BMODE0 +signal BMODE1 +signal BR_B +signal DATA[0] +signal DATA[1] +signal DATA[2] +signal DATA[3] +signal DATA[4] +signal DATA[5] +signal DATA[6] +signal DATA[7] +signal DATA[8] +signal DATA[9] +signal DATA[10] +signal DATA[11] +signal DATA[12] +signal DATA[13] +signal DATA[14] +signal DATA[15] +signal DR0PRI +signal DR0SEC +signal DR1PRI +signal DR1SEC +signal DT0PRI +signal DT0SEC +signal DT1PRI +signal DT1SEC +signal MISO +signal MOSI +signal NMI +signal PF0 +signal PF1 +signal PF2 +signal PF3 +signal PF4 +signal PF5 +signal PF6 +signal PF7 +signal PF8 +signal PF9 +signal PF10 +signal PF11 +signal PF12 +signal PF13 +signal PF14 +signal PF15 +signal PP_CLK +signal PP0 +signal PP1 +signal PP2 +signal PP3 +signal RESET_B +signal RFS0 +signal RFS1 +signal RSCLK0 +signal RSCLK1 +signal TSCLK0 +signal TSCLK1 +signal CLKOUT +signal RX +signal TX +signal SA10 +signal SCAS_B +signal SCK +signal SCKE +signal SMS_B +signal SRAS_B +signal SWE_B +signal TCK +signal TDI +signal TDO +signal TMS +signal TRST_B +signal EMU_B +signal TEST +signal TFS0 +signal TFS1 +signal TMR0 +signal TMR1 +signal TMR2 +signal RTXI +signal RTXO +signal VDD_INT0 +signal VDD_INT1 +signal VDD_INT2 +signal VDD_INT3 +signal VDD_INT4 +signal VDD_INT5 +signal VDD_EXT0 +signal VDD_EXT1 +signal VDD_EXT2 +signal VDD_EXT3 +signal VDD_EXT4 +signal VDD_EXT5 +signal VDD_EXT6 +signal VDD_EXT7 +signal VDD_EXT8 +signal VDD_EXT9 +signal VDD_EXT10 +signal VDD_EXT11 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal VDD_RTC +signal CLKIN +signal XTAL +signal VROUT0 + +register BSR 197 +register BR 1 +register DIR 32 +register DBGSTAT 16 +register DBGCTL 16 +register EMUIR 32 +register EMUDAT 32 +register EMUPC 32 + +instruction length 5 + +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 10000 BSR +instruction IDCODE 00010 DIR +instruction BYPASS 11111 BR +instruction DBGSTAT_SCAN 01100 DBGSTAT +instruction DBGCTL_SCAN 00100 DBGCTL +instruction EMUIR_SCAN 01000 EMUIR +instruction EMUDAT_SCAN 10100 EMUDAT +instruction EMUPC_SCAN 11110 EMUPC + +bit 196 C 0 * +bit 195 O 1 DATA[0] 196 0 Z +bit 194 I 1 DATA[0] +bit 193 O 1 DATA[1] 196 0 Z +bit 192 I 1 DATA[1] +bit 191 O 1 DATA[2] 196 0 Z +bit 190 I 1 DATA[2] +bit 189 O 1 DATA[3] 196 0 Z +bit 188 I 1 DATA[3] +bit 187 O 1 DATA[4] 196 0 Z +bit 186 I 1 DATA[4] +bit 185 O 1 DATA[5] 196 0 Z +bit 184 I 1 DATA[5] +bit 183 O 1 DATA[6] 196 0 Z +bit 182 I 1 DATA[6] +bit 181 O 1 DATA[7] 196 0 Z +bit 180 I 1 DATA[7] +bit 179 O 1 DATA[8] 196 0 Z +bit 178 I 1 DATA[8] +bit 177 O 1 DATA[9] 196 0 Z +bit 176 I 1 DATA[9] +bit 175 O 1 DATA[10] 196 0 Z +bit 174 I 1 DATA[10] +bit 173 O 1 DATA[11] 196 0 Z +bit 172 I 1 DATA[11] +bit 171 O 1 DATA[12] 196 0 Z +bit 170 I 1 DATA[12] +bit 169 O 1 DATA[13] 196 0 Z +bit 168 I 1 DATA[13] +bit 167 O 1 DATA[14] 196 0 Z +bit 166 I 1 DATA[14] +bit 165 O 1 DATA[15] 196 0 Z +bit 164 I 1 DATA[15] +bit 163 I 1 TEST +bit 162 I 1 BMODE0 +bit 161 I 1 BMODE1 +bit 160 I 1 RX +bit 159 O 1 TX +bit 158 C 0 * +bit 157 O 1 TMR0 158 0 Z +bit 156 I 1 TMR0 +bit 155 C 0 * +bit 154 O 1 TMR1 155 0 Z +bit 153 I 1 TMR1 +bit 152 C 0 * +bit 151 O 1 TMR2 152 0 Z +bit 150 I 1 TMR2 +bit 149 C 0 * +bit 148 O 1 RSCLK0 149 0 Z +bit 147 I 1 RSCLK0 +bit 146 C 0 * +bit 145 O 1 RFS0 146 0 Z +bit 144 I 1 RFS0 +bit 143 I 1 DR0PRI +bit 142 I 1 DR0SEC +bit 141 C 0 * +bit 140 O 1 TSCLK0 141 0 Z +bit 139 I 1 TSCLK0 +bit 138 C 0 * +bit 137 O 1 TFS0 138 0 Z +bit 136 I 1 TFS0 +bit 135 C 0 * +bit 134 O 1 DT0PRI 135 0 Z +bit 133 C 0 * +bit 132 O 1 DT0SEC 133 0 Z +bit 131 C 0 * +bit 130 O 1 RSCLK1 131 0 Z +bit 129 I 1 RSCLK1 +bit 128 C 0 * +bit 127 O 1 RFS1 128 0 Z +bit 126 I 1 RFS1 +bit 125 I 1 DR1PRI +bit 124 I 1 DR1SEC +bit 123 C 0 * +bit 122 O 1 TSCLK1 123 0 Z +bit 121 I 1 TSCLK1 +bit 120 C 0 * +bit 119 O 1 TFS1 120 0 Z +bit 118 I 1 TFS1 +bit 117 C 0 * +bit 116 O 1 DT1PRI 117 0 Z +bit 115 C 0 * +bit 114 O 1 DT1SEC 115 0 Z +bit 113 C 0 * +bit 112 O 1 MOSI 113 0 Z +bit 111 I 1 MOSI +bit 110 C 0 * +bit 109 O 1 MISO 110 0 Z +bit 108 I 1 MISO +bit 107 C 0 * +bit 106 O 1 SCK 107 0 Z +bit 105 I 1 SCK +bit 104 C 0 * +bit 103 O 1 PF0 104 0 Z +bit 102 I 1 PF0 +bit 101 C 0 * +bit 100 O 1 PF1 101 0 Z +bit 99 I 1 PF1 +bit 98 C 0 * +bit 97 O 1 PF2 98 0 Z +bit 96 I 1 PF2 +bit 95 C 0 * +bit 94 O 1 PF3 95 0 Z +bit 93 I 1 PF3 +bit 92 C 0 * +bit 91 O 1 PF4 92 0 Z +bit 90 I 1 PF4 +bit 89 C 0 * +bit 88 O 1 PF5 89 0 Z +bit 87 I 1 PF5 +bit 86 C 0 * +bit 85 O 1 PF6 86 0 Z +bit 84 I 1 PF6 +bit 83 C 0 * +bit 82 O 1 PF7 83 0 Z +bit 81 I 1 PF7 +bit 80 C 0 * +bit 79 O 1 PF8 80 0 Z +bit 78 I 1 PF8 +bit 77 C 0 * +bit 76 O 1 PF9 77 0 Z +bit 75 I 1 PF9 +bit 74 C 0 * +bit 73 O 1 PF10 74 0 Z +bit 72 I 1 PF10 +bit 71 C 0 * +bit 70 O 1 PF11 71 0 Z +bit 69 I 1 PF11 +bit 68 C 0 * +bit 67 O 1 PF12 68 0 Z +bit 66 I 1 PF12 +bit 65 C 0 * +bit 64 O 1 PF13 65 0 Z +bit 63 I 1 PF13 +bit 62 C 0 * +bit 61 O 1 PF14 62 0 Z +bit 60 I 1 PF14 +bit 59 C 0 * +bit 58 O 1 PF15 59 0 Z +bit 57 I 1 PF15 +bit 56 C 0 * +bit 55 O 1 PP3 56 0 Z +bit 54 I 1 PP3 +bit 53 C 0 * +bit 52 O 1 PP2 53 0 Z +bit 51 I 1 PP2 +bit 50 C 0 * +bit 49 O 1 PP1 50 0 Z +bit 48 I 1 PP1 +bit 47 C 0 * +bit 46 O 1 PP0 47 0 Z +bit 45 I 1 PP0 +bit 44 I 1 PP_CLK +bit 43 I 1 NMI +bit 42 I 1 RESET_B +bit 41 O 1 SCKE 39 0 Z +bit 40 O 1 SMS_B 39 0 Z +bit 39 C 0 * +bit 38 O 1 CLKOUT 39 0 Z +bit 37 O 1 SRAS_B 39 0 Z +bit 36 O 1 SCAS_B 39 0 Z +bit 35 O 1 SWE_B 39 0 Z +bit 34 O 1 SA10 39 0 Z +bit 33 I 1 BR_B +bit 32 I 1 ARDY +bit 31 O 1 AMS_B0 27 0 Z +bit 30 O 1 AMS_B1 27 0 Z +bit 29 O 1 AMS_B2 27 0 Z +bit 28 O 1 AMS_B3 27 0 Z +bit 27 C 0 * +bit 26 O 1 AOE_B 27 0 Z +bit 25 O 1 ARE_B 27 0 Z +bit 24 O 1 AWE_B 27 0 Z +bit 23 O 1 ABE_B0 17 0 Z +bit 22 O 1 ABE_B1 17 0 Z +bit 21 O 1 ADDR[1] 17 0 Z +bit 20 O 1 ADDR[2] 17 0 Z +bit 19 O 1 ADDR[3] 17 0 Z +bit 18 O 1 ADDR[4] 17 0 Z +bit 17 C 0 * +bit 16 O 1 ADDR[5] 17 0 Z +bit 15 O 1 ADDR[6] 17 0 Z +bit 14 O 1 ADDR[7] 17 0 Z +bit 13 O 1 ADDR[8] 17 0 Z +bit 12 O 1 ADDR[9] 17 0 Z +bit 11 O 1 ADDR[10] 17 0 Z +bit 10 O 1 ADDR[11] 17 0 Z +bit 9 O 1 ADDR[12] 17 0 Z +bit 8 O 1 ADDR[13] 17 0 Z +bit 7 O 1 ADDR[14] 17 0 Z +bit 6 O 1 ADDR[15] 17 0 Z +bit 5 O 1 ADDR[16] 17 0 Z +bit 4 O 1 ADDR[17] 17 0 Z +bit 3 O 1 ADDR[18] 17 0 Z +bit 2 O 1 ADDR[19] 17 0 Z +bit 1 O 1 BGH_B +bit 0 O 1 BG_B diff --git a/sie_fs/usr/local/share/urjtag/analog/bf537/STEPPINGS b/sie_fs/usr/local/share/urjtag/analog/bf537/STEPPINGS new file mode 100644 index 0000000..5a50526 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf537/STEPPINGS @@ -0,0 +1,26 @@ +# +# $Id: STEPPINGS 75 2005-11-11 09:12:34Z jiez $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Richard Klingler +# + +# bits 31-28 of the Device Identification Register +0000 bf537 0 +0001 bf537 1 +0010 bf537 2 +0011 bf537 3 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf537/bf537 b/sie_fs/usr/local/share/urjtag/analog/bf537/bf537 new file mode 100644 index 0000000..8c33ae9 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf537/bf537 @@ -0,0 +1,464 @@ +signal ADDR1 +signal ADDR2 +signal ADDR3 +signal ADDR4 +signal ADDR5 +signal ADDR6 +signal ADDR7 +signal ADDR8 +signal ADDR9 +signal ADDR10 +signal ADDR11 +signal ADDR12 +signal ADDR13 +signal ADDR14 +signal ADDR15 +signal ADDR16 +signal ADDR17 +signal ADDR18 +signal ADDR19 +signal AMS_B0 +signal AMS_B1 +signal AMS_B2 +signal AMS_B3 +signal AOE_B +signal ARDY +signal ARE_B +signal AWE_B +signal ABE_B0 +signal ABE_B1 +signal BG_B +signal BGH_B +signal BMODE0 +signal BMODE1 +signal BMODE2 +signal BR_B +signal DATA0 +signal DATA1 +signal DATA2 +signal DATA3 +signal DATA4 +signal DATA5 +signal DATA6 +signal DATA7 +signal DATA8 +signal DATA9 +signal DATA10 +signal DATA11 +signal DATA12 +signal DATA13 +signal DATA14 +signal DATA15 +signal NMI +signal PF0 +signal PF1 +signal PF2 +signal PF3 +signal PF4 +signal PF5 +signal PF6 +signal PF7 +signal PF8 +signal PF9 +signal PF10 +signal PF11 +signal PF12 +signal PF13 +signal PF14 +signal PF15 +signal PG0 +signal PG1 +signal PG2 +signal PG3 +signal PG4 +signal PG5 +signal PG6 +signal PG7 +signal PG8 +signal PG9 +signal PG10 +signal PG11 +signal PG12 +signal PG13 +signal PG14 +signal PG15 +signal PH0 +signal PH1 +signal PH2 +signal PH3 +signal PH4 +signal PH5 +signal PH6 +signal PH7 +signal PH8 +signal PH9 +signal PH10 +signal PH11 +signal PH12 +signal PH13 +signal PH14 +signal PH15 +signal PJ0 +signal PJ1 +signal PJ2 +signal PJ3 +signal PJ4 +signal PJ5 +signal PJ6 +signal PJ7 +signal PJ8 +signal PJ9 +signal PJ10 +signal PJ11 +signal RESET_B +signal CLKOUT +signal SA10 +signal SCAS_B +signal SCKE +signal SMS_B +signal SRAS_B +signal SWE_B +signal TDI +signal TDO +signal TCK +signal TMS +signal TRST_B +signal TEST +signal EMU_B +signal RTXI +signal RTXO +signal VDD_INT0 +signal VDD_INT1 +signal VDD_INT2 +signal VDD_INT3 +signal VDD_INT4 +signal VDD_INT5 +signal VDD_INT6 +signal VDD_EXT0 +signal VDD_EXT1 +signal VDD_EXT2 +signal VDD_EXT3 +signal VDD_EXT4 +signal VDD_EXT5 +signal VDD_EXT6 +signal VDD_EXT7 +signal VDD_EXT8 +signal VDD_EXT9 +signal VDD_EXT10 +signal VDD_EXT11 +signal VDD_EXT12 +signal VDD_EXT13 +signal VDD_EXT14 +signal VDD_EXT15 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal VDD_RTC +signal CLKIN +signal XTAL +signal CLKBUF +signal VROUT0 + +register BSR 261 +register BR 1 +register DIR 32 +register DBGSTAT 16 +register DBGCTL 16 +register EMUIR 32 +register EMUDAT 32 +register EMUPC 32 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 10000 BSR +instruction IDCODE 00010 DIR +instruction DBGSTAT_SCAN 01100 DBGSTAT +instruction DBGCTL_SCAN 00100 DBGCTL +instruction EMUIR_SCAN 01000 EMUIR +instruction EMUDAT_SCAN 10100 EMUDAT +instruction EMUPC_SCAN 11110 EMUPC + +bit 260 C 0 * +bit 259 O 1 DATA0 260 0 Z +bit 258 I 1 DATA0 +bit 257 O 1 DATA1 260 0 Z +bit 256 I 1 DATA1 +bit 255 O 1 DATA2 260 0 Z +bit 254 I 1 DATA2 +bit 253 O 1 DATA3 260 0 Z +bit 252 I 1 DATA3 +bit 251 O 1 DATA4 260 0 Z +bit 250 I 1 DATA4 +bit 249 O 1 DATA5 260 0 Z +bit 248 I 1 DATA5 +bit 247 O 1 DATA6 260 0 Z +bit 246 I 1 DATA6 +bit 245 O 1 DATA7 260 0 Z +bit 244 I 1 DATA7 +bit 243 O 1 DATA8 260 0 Z +bit 242 I 1 DATA8 +bit 241 O 1 DATA9 260 0 Z +bit 240 I 1 DATA9 +bit 239 O 1 DATA10 260 0 Z +bit 238 I 1 DATA10 +bit 237 O 1 DATA11 260 0 Z +bit 236 I 1 DATA11 +bit 235 O 1 DATA12 260 0 Z +bit 234 I 1 DATA12 +bit 233 O 1 DATA13 260 0 Z +bit 232 I 1 DATA13 +bit 231 O 1 DATA14 260 0 Z +bit 230 I 1 DATA14 +bit 229 O 1 DATA15 260 0 Z +bit 228 I 1 DATA15 +bit 227 I 1 TEST +bit 226 I 1 BMODE0 +bit 225 I 1 BMODE1 +bit 224 I 1 BMODE2 +bit 223 C 0 * +bit 222 O 1 PF0 223 0 Z +bit 221 I 1 PF0 +bit 220 C 0 * +bit 219 O 1 PF1 220 0 Z +bit 218 I 1 PF1 +bit 217 C 0 * +bit 216 O 1 PF2 217 0 Z +bit 215 I 1 PF2 +bit 214 C 0 * +bit 213 O 1 PF3 214 0 Z +bit 212 I 1 PF3 +bit 211 C 0 * +bit 210 O 1 PF4 211 0 Z +bit 209 I 1 PF4 +bit 208 C 0 * +bit 207 O 1 PF5 208 0 Z +bit 206 I 1 PF5 +bit 205 C 0 * +bit 204 O 1 PF6 205 0 Z +bit 203 I 1 PF6 +bit 202 C 0 * +bit 201 O 1 PF7 202 0 Z +bit 200 I 1 PF7 +bit 199 C 0 * +bit 198 O 1 PF8 199 0 Z +bit 197 I 1 PF8 +bit 196 C 0 * +bit 195 O 1 PF9 196 0 Z +bit 194 I 1 PF9 +bit 193 C 0 * +bit 192 O 1 PF10 193 0 Z +bit 191 I 1 PF10 +bit 190 C 0 * +bit 189 O 1 PF11 190 0 Z +bit 188 I 1 PF11 +bit 187 C 0 * +bit 186 O 1 PF12 187 0 Z +bit 185 I 1 PF12 +bit 184 C 0 * +bit 183 O 1 PF13 184 0 Z +bit 182 I 1 PF13 +bit 181 C 0 * +bit 180 O 1 PF14 181 0 Z +bit 179 I 1 PF14 +bit 178 C 0 * +bit 177 O 1 PF15 178 0 Z +bit 176 I 1 PF15 +bit 175 C 0 * +bit 174 O 1 PG0 175 0 Z +bit 173 I 1 PG0 +bit 172 C 0 * +bit 171 O 1 PG1 172 0 Z +bit 170 I 1 PG1 +bit 169 C 0 * +bit 168 O 1 PG2 169 0 Z +bit 167 I 1 PG2 +bit 166 C 0 * +bit 165 O 1 PG3 166 0 Z +bit 164 I 1 PG3 +bit 163 C 0 * +bit 162 O 1 PG4 163 0 Z +bit 161 I 1 PG4 +bit 160 C 0 * +bit 159 O 1 PG5 160 0 Z +bit 158 I 1 PG5 +bit 157 C 0 * +bit 156 O 1 PG6 157 0 Z +bit 155 I 1 PG6 +bit 154 C 0 * +bit 153 O 1 PG7 154 0 Z +bit 152 I 1 PG7 +bit 151 C 0 * +bit 150 O 1 PG10 151 0 Z +bit 149 I 1 PG10 +bit 148 C 0 * +bit 147 O 1 PG11 148 0 Z +bit 146 I 1 PG11 +bit 145 C 0 * +bit 144 O 1 PG12 145 0 Z +bit 143 I 1 PG12 +bit 142 C 0 * +bit 141 O 1 PG8 142 0 Z +bit 140 I 1 PG8 +bit 139 C 0 * +bit 138 O 1 PG9 139 0 Z +bit 137 I 1 PG9 +bit 136 C 0 * +bit 135 O 1 PG13 136 0 Z +bit 134 I 1 PG13 +bit 133 C 0 * +bit 132 O 1 PG14 133 0 Z +bit 131 I 1 PG14 +bit 130 C 0 * +bit 129 O 1 PG15 130 0 Z +bit 128 I 1 PG15 +bit 127 C 0 * +bit 126 O 1 PH0 127 0 Z +bit 125 I 1 PH0 +bit 124 C 0 * +bit 123 O 1 PH1 124 0 Z +bit 122 I 1 PH1 +bit 121 C 0 * +bit 120 O 1 PH2 121 0 Z +bit 119 I 1 PH2 +bit 118 C 0 * +bit 117 O 1 PH3 118 0 Z +bit 116 I 1 PH3 +bit 115 C 0 * +bit 114 O 1 PH4 115 0 Z +bit 113 I 1 PH4 +bit 112 C 0 * +bit 111 O 1 PH5 112 0 Z +bit 110 I 1 PH5 +bit 109 C 0 * +bit 108 O 1 PH6 109 0 Z +bit 107 I 1 PH6 +bit 106 C 0 * +bit 105 O 1 PH7 106 0 Z +bit 104 I 1 PH7 +bit 103 C 0 * +bit 102 O 1 PH8 103 0 Z +bit 101 I 1 PH8 +bit 100 C 0 * +bit 99 O 1 PH9 100 0 Z +bit 98 I 1 PH9 +bit 97 C 0 * +bit 96 O 1 PH10 97 0 Z +bit 95 I 1 PH10 +bit 94 C 0 * +bit 93 O 1 PH11 94 0 Z +bit 92 I 1 PH11 +bit 91 C 0 * +bit 90 O 1 PH12 91 0 Z +bit 89 I 1 PH12 +bit 88 C 0 * +bit 87 O 1 PH13 88 0 Z +bit 86 I 1 PH13 +bit 85 C 0 * +bit 84 O 1 PH14 85 0 Z +bit 83 I 1 PH14 +bit 82 C 0 * +bit 81 O 1 PH15 82 0 Z +bit 80 I 1 PH15 +bit 79 C 0 * +bit 78 O 1 PJ0 79 0 Z +bit 77 I 1 PJ0 +bit 76 C 0 * +bit 75 O 1 PJ1 76 0 Z +bit 74 I 1 PJ1 +bit 73 C 0 * +bit 72 O 1 PJ6 73 0 Z +bit 71 I 1 PJ6 +bit 70 C 0 * +bit 69 O 1 PJ7 70 0 Z +bit 68 I 1 PJ7 +bit 67 C 0 * +bit 66 O 1 PJ8 67 0 Z +bit 65 I 1 PJ8 +bit 64 C 0 * +bit 63 O 1 PJ4 64 0 Z +bit 62 I 1 PJ4 +bit 61 C 0 * +bit 60 O 1 PJ5 61 0 Z +bit 59 I 1 PJ5 +bit 58 C 0 * +bit 57 O 1 PJ9 58 0 Z +bit 56 I 1 PJ9 +bit 55 C 0 * +bit 54 O 1 PJ10 55 0 Z +bit 53 I 1 PJ10 +bit 52 C 0 * +bit 51 O 1 PJ11 52 0 Z +bit 50 I 1 PJ11 +bit 49 C 0 * +bit 48 O 1 PJ2 49 0 Z +bit 47 I 1 PJ2 +bit 46 C 0 * +bit 45 O 1 PJ3 46 0 Z +bit 44 I 1 PJ3 +bit 43 I 1 NMI +bit 42 I 1 RESET_B +bit 41 O 1 SCKE 39 0 Z +bit 40 O 1 SMS_B 39 0 Z +bit 39 C 0 * +bit 38 O 1 CLKOUT 39 0 Z +bit 37 O 1 SRAS_B 39 0 Z +bit 36 O 1 SCAS_B 39 0 Z +bit 35 O 1 SWE_B 39 0 Z +bit 34 O 1 SA10 39 0 Z +bit 33 I 1 BR_B +bit 32 I 1 ARDY +bit 31 O 1 AMS_B0 27 0 Z +bit 30 O 1 AMS_B1 27 0 Z +bit 29 O 1 AMS_B2 27 0 Z +bit 28 O 1 AMS_B3 27 0 Z +bit 27 C 0 * +bit 26 O 1 AOE_B 27 0 Z +bit 25 O 1 ARE_B 27 0 Z +bit 24 O 1 AWE_B 27 0 Z +bit 23 O 1 ABE_B0 17 0 Z +bit 22 O 1 ABE_B1 17 0 Z +bit 21 O 1 ADDR1 17 0 Z +bit 20 O 1 ADDR2 17 0 Z +bit 19 O 1 ADDR3 17 0 Z +bit 18 O 1 ADDR4 17 0 Z +bit 17 C 0 * +bit 16 O 1 ADDR5 17 0 Z +bit 15 O 1 ADDR6 17 0 Z +bit 14 O 1 ADDR7 17 0 Z +bit 13 O 1 ADDR8 17 0 Z +bit 12 O 1 ADDR9 17 0 Z +bit 11 O 1 ADDR10 17 0 Z +bit 10 O 1 ADDR11 17 0 Z +bit 9 O 1 ADDR12 17 0 Z +bit 8 O 1 ADDR13 17 0 Z +bit 7 O 1 ADDR14 17 0 Z +bit 6 O 1 ADDR15 17 0 Z +bit 5 O 1 ADDR16 17 0 Z +bit 4 O 1 ADDR17 17 0 Z +bit 3 O 1 ADDR18 17 0 Z +bit 2 O 1 ADDR19 17 0 Z +bit 1 O 1 BGH_B +bit 0 O 1 BG_B diff --git a/sie_fs/usr/local/share/urjtag/analog/bf538/STEPPINGS b/sie_fs/usr/local/share/urjtag/analog/bf538/STEPPINGS new file mode 100644 index 0000000..fdc6ac0 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf538/STEPPINGS @@ -0,0 +1,28 @@ +# +# $Id: STEPPINGS 75 2005-11-11 09:12:34Z jiez $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Richard Klingler +# + +# bits 31-28 of the Device Identification Register +0000 bf538 0 +0001 bf538 1 +0010 bf538 2 +0011 bf538 3 +0100 bf538 4 +0101 bf538 5 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf538/bf538 b/sie_fs/usr/local/share/urjtag/analog/bf538/bf538 new file mode 100644 index 0000000..67d7bab --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf538/bf538 @@ -0,0 +1,661 @@ +signal ABE_B0 +signal ABE_B1 +signal ADDR1 +signal ADDR2 +signal ADDR3 +signal ADDR4 +signal ADDR5 +signal ADDR6 +signal ADDR7 +signal ADDR8 +signal ADDR9 +signal ADDR10 +signal ADDR11 +signal ADDR12 +signal ADDR13 +signal ADDR14 +signal ADDR15 +signal ADDR16 +signal ADDR17 +signal ADDR18 +signal ADDR19 +signal AMS_B0 +signal AMS_B1 +signal AMS_B2 +signal AMS_B3 +signal AOE_B +signal ARDY +signal ARE_B +signal AWE_B +signal BG_B +signal BGH_B +signal BMODE0 +signal BMODE1 +signal BR_B +signal CANRX +signal CANTX +signal CLKIN +signal CLKOUT +signal DATA0 +signal DATA1 +signal DATA2 +signal DATA3 +signal DATA4 +signal DATA5 +signal DATA6 +signal DATA7 +signal DATA8 +signal DATA9 +signal DATA10 +signal DATA11 +signal DATA12 +signal DATA13 +signal DATA14 +signal DATA15 +signal DR0PRI +signal DR0SEC +signal DR1PRI +signal DR1SEC +signal DR2PRI +signal DR2SEC +signal DR3PRI +signal DR3SEC +signal DT0PRI +signal DT0SEC +signal DT1PRI +signal DT1SEC +signal DT2PRI +signal DT2SEC +signal DT3PRI +signal DT3SEC +signal EMU_B +signal FCE_B +signal FRESET_B +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GP +signal GPW_B +signal MISO0 +signal MISO1 +signal MISO2 +signal MOSI0 +signal MOSI1 +signal MOSI2 +signal PC4 +signal PC6 +signal PC7 +signal PC8 +signal PC5 +signal NC +signal NMI_B +signal PC9 +signal PF0 +signal PF1 +signal PF2 +signal PF3 +signal PF4 +signal PF5 +signal PF6 +signal PF7 +signal PF8 +signal PF9 +signal PF10 +signal PF11 +signal PF12 +signal PF13 +signal PF14 +signal PF15 +signal PPI_CLK +signal PPI0 +signal PPI1 +signal PPI2 +signal PPI3 +signal RESET_B +signal RFS0 +signal RFS1 +signal RFS2 +signal RFS3 +signal RSCLK0 +signal RSCLK1 +signal RSCLK2 +signal RSCLK3 +signal RTXI +signal RTXO +signal RX0 +signal RX1 +signal RX2 +signal SA10 +signal SCAS_B +signal SCK0 +signal SCK1 +signal SCK2 +signal SCKE +signal SCL0 +signal SCL1 +signal SDA0 +signal SDA1 +signal SMS_B +signal SPI1SS_B +signal SPI1SEL1_B +signal SPI2SS_B +signal SPI2SEL1_B +signal SRAS_B +signal SWE_B +signal TCK +signal TDI +signal TDO +signal TFS0 +signal TFS1 +signal TFS2 +signal TFS3 +signal TMR0 +signal TMR1 +signal TMR2 +signal TMS +signal TRST_B +signal TSCLK0 +signal TSCLK1 +signal TSCLK2 +signal TSCLK3 +signal TX0 +signal TX1 +signal TX2 +signal VDDEXT0 +signal VDDEXT1 +signal VDDEXT2 +signal VDDEXT3 +signal VDDEXT4 +signal VDDEXT5 +signal VDDEXT6 +signal VDDEXT7 +signal VDDEXT8 +signal VDDEXT9 +signal VDDEXT10 +signal VDDEXT11 +signal VDDEXT12 +signal VDDEXT13 +signal VDDEXT14 +signal VDDEXT15 +signal VDDEXT16 +signal VDDEXT17 +signal VDDEXT18 +signal VDDEXT19 +signal VDDINT0 +signal VDDINT1 +signal VDDINT2 +signal VDDINT3 +signal VDDINT4 +signal VDDINT5 +signal VDDINT6 +signal VDDINT7 +signal VDDINT8 +signal VDDINT9 +signal VDDINT10 +signal VDDINT11 +signal VDDRTC +signal VROUT0 +signal VROUT1 + +register BSR 325 +register BR 1 +register DIR 32 +register DBGSTAT 16 +register DBGCTL 16 +register EMUIR 32 +register EMUDAT 32 +register EMUPC 32 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 10000 BSR +instruction IDCODE 00010 DIR +instruction DBGSTAT_SCAN 01100 DBGSTAT +instruction DBGCTL_SCAN 00100 DBGCTL +instruction EMUIR_SCAN 01000 EMUIR +instruction EMUDAT_SCAN 10100 EMUDAT +instruction EMUPC_SCAN 11110 EMUPC + +bit 324 C 0 * +bit 323 O 1 DATA0 324 0 Z +bit 322 I 1 DATA0 +bit 321 O 1 DATA1 324 0 Z +bit 320 I 1 DATA1 +bit 319 O 1 DATA2 324 0 Z +bit 318 I 1 DATA2 +bit 317 C 0 * +bit 316 O 1 TSCLK2 317 0 Z +bit 315 I 1 TSCLK2 +bit 314 C 0 * +bit 313 O 1 DR2SEC 314 0 Z +bit 312 I 1 DR2SEC +bit 311 C 0 * +bit 310 O 1 DR2PRI 311 0 Z +bit 309 I 1 DR2PRI +bit 308 O 1 DATA3 324 0 Z +bit 307 I 1 DATA3 +bit 306 O 1 DATA4 324 0 Z +bit 305 I 1 DATA4 +bit 304 O 1 DATA5 324 0 Z +bit 303 I 1 DATA5 +bit 302 O 1 DATA6 324 0 Z +bit 301 I 1 DATA6 +bit 300 C 0 * +bit 299 O 1 RFS2 300 0 Z +bit 298 I 1 RFS2 +bit 297 O 1 DATA7 324 0 Z +bit 296 I 1 DATA7 +bit 295 O 1 DATA8 324 0 Z +bit 294 I 1 DATA8 +bit 293 O 1 DATA9 324 0 Z +bit 292 I 1 DATA9 +bit 291 O 1 DATA10 324 0 Z +bit 290 I 1 DATA10 +bit 289 O 1 DATA11 324 0 Z +bit 288 I 1 DATA11 +bit 287 C 0 * +bit 286 O 1 RSCLK2 287 0 Z +bit 285 I 1 RSCLK2 +bit 284 C 0 * +bit 283 O 1 RX2 284 0 Z +bit 282 I 1 RX2 +bit 281 O 1 DATA12 324 0 Z +bit 280 I 1 DATA12 +bit 279 O 1 DATA13 324 0 Z +bit 278 I 1 DATA13 +bit 277 O 1 DATA14 324 0 Z +bit 276 I 1 DATA14 +bit 275 O 1 DATA15 324 0 Z +bit 274 I 1 DATA15 +bit 273 I 1 BMODE0 +bit 272 I 1 BMODE1 +bit 271 I 1 RX0 +bit 270 O 1 TX0 +bit 269 C 0 * +bit 268 O 1 RSCLK1 269 0 Z +bit 267 I 1 RSCLK1 +bit 266 C 0 * +bit 265 O 1 TMR0 266 0 Z +bit 264 I 1 TMR0 +bit 263 C 0 * +bit 262 O 1 TMR1 263 0 Z +bit 261 I 1 TMR1 +bit 260 C 0 * +bit 259 O 1 TMR2 260 0 Z +bit 258 I 1 TMR2 +bit 257 C 0 * +bit 256 O 1 RSCLK0 257 0 Z +bit 255 I 1 RSCLK0 +bit 254 C 0 * +bit 253 O 1 RFS0 254 0 Z +bit 252 I 1 RFS0 +bit 251 I 1 DR0PRI +bit 250 I 1 DR0SEC +bit 249 C 0 * +bit 248 O 1 RFS1 249 0 Z +bit 247 I 1 RFS1 +bit 246 I 1 DR1PRI +bit 245 I 1 DR1SEC +bit 244 C 0 * +bit 243 O 1 TSCLK0 244 0 Z +bit 242 I 1 TSCLK0 +bit 241 C 0 * +bit 240 O 1 TFS0 241 0 Z +bit 239 I 1 TFS0 +bit 238 C 0 * +bit 237 O 1 DT0PRI 238 0 Z +bit 236 C 0 * +bit 235 O 1 DT0SEC 236 0 Z +bit 234 C 0 * +bit 233 O 1 PF0 234 0 Z +bit 232 I 1 PF0 +bit 231 C 0 * +bit 230 O 1 PF1 231 0 Z +bit 229 I 1 PF1 +bit 228 C 0 * +bit 227 O 1 PF2 228 0 Z +bit 226 I 1 PF2 +bit 225 C 0 * +bit 224 O 1 PF3 225 0 Z +bit 223 I 1 PF3 +bit 222 C 0 * +bit 221 O 1 PF4 222 0 Z +bit 220 I 1 PF4 +bit 219 C 0 * +bit 218 O 1 PF5 219 0 Z +bit 217 I 1 PF5 +bit 216 C 0 * +bit 215 O 1 TSCLK1 216 0 Z +bit 214 I 1 TSCLK1 +bit 213 C 0 * +bit 212 O 1 TFS1 213 0 Z +bit 211 I 1 TFS1 +bit 210 C 0 * +bit 209 O 1 DT1PRI 210 0 Z +bit 208 C 0 * +bit 207 O 1 PF6 208 0 Z +bit 206 I 1 PF6 +bit 205 C 0 * +bit 204 O 1 MOSI0 205 0 Z +bit 203 I 1 MOSI0 +bit 202 C 0 * +bit 201 O 1 MISO0 202 0 Z +bit 200 I 1 MISO0 +bit 199 C 0 * +bit 198 O 1 DT1SEC 199 0 Z +bit 197 C 0 * +bit 196 O 1 SCK0 197 0 Z +bit 195 I 1 SCK0 +bit 194 C 0 * +bit 193 O 1 PF7 194 0 Z +bit 192 I 1 PF7 +bit 191 C 0 * +bit 190 O 1 PF8 191 0 Z +bit 189 I 1 PF8 +bit 188 C 0 * +bit 187 O 1 PF9 188 0 Z +bit 186 I 1 PF9 +bit 185 C 0 * +bit 184 O 1 PF10 185 0 Z +bit 183 I 1 PF10 +bit 182 C 0 * +bit 181 O 1 PF11 182 0 Z +bit 180 I 1 PF11 +bit 179 C 0 * +bit 178 O 1 PF12 179 0 Z +bit 177 I 1 PF12 +bit 176 C 0 * +bit 175 O 1 PF13 176 0 Z +bit 174 I 1 PF13 +bit 173 C 0 * +bit 172 O 1 PF14 173 0 Z +bit 171 I 1 PF14 +bit 170 C 0 * +bit 169 O 1 PF15 170 0 Z +bit 168 I 1 PF15 +bit 167 C 0 * +bit 166 O 1 PPI3 167 0 Z +bit 165 I 1 PPI3 +bit 164 C 0 * +bit 163 O 1 PPI2 164 0 Z +bit 162 I 1 PPI2 +bit 161 C 0 * +bit 160 O 1 PPI1 161 0 Z +bit 159 I 1 PPI1 +bit 158 C 0 * +bit 157 O 1 PPI0 158 0 Z +bit 156 I 1 PPI0 +bit 155 C 0 * +bit 154 O 1 RX1 155 0 Z +bit 153 I 1 RX1 +bit 152 C 0 * +bit 151 O 1 TX1 152 0 Z +bit 150 I 1 TX1 +bit 149 I 1 PPI_CLK +bit 148 C 0 * +bit 147 O 1 SCL0 148 0 Z +bit 146 I 1 SCL0 +bit 145 C 0 * +bit 144 O 1 SDA0 145 0 Z +bit 143 I 1 SDA0 +bit 142 O 0 * +bit 141 O 0 * +bit 140 I 1 CANRX +bit 139 C 0 * +bit 138 O 1 CANTX 139 0 Z +bit 137 I 1 CANTX +bit 136 C 0 * +bit 135 O 1 SPI2SEL1_B 136 0 Z +bit 134 I 1 SPI2SEL1_B +bit 133 C 0 * +bit 132 O 1 SPI2SS_B 133 0 Z +bit 131 I 1 SPI2SS_B +bit 130 C 0 * +bit 129 O 1 MOSI2 130 0 Z +bit 128 I 1 MOSI2 +bit 127 C 0 * +bit 126 O 1 MISO2 127 0 Z +bit 125 I 1 MISO2 +bit 124 I 1 NMI_B +bit 123 I 1 RESET_B +bit 122 C 0 * +bit 121 O 1 SCK2 122 0 Z +bit 120 I 1 SCK2 +bit 119 C 0 * +bit 118 O 1 SPI1SEL1_B 119 0 Z +bit 117 I 1 SPI1SEL1_B +bit 116 C 0 * +bit 115 O 1 SPI1SS_B 116 0 Z +bit 114 I 1 SPI1SS_B +bit 113 C 0 * +bit 112 O 1 MOSI1 113 0 Z +bit 111 I 1 MOSI1 +bit 110 C 0 * +bit 109 O 1 MISO1 110 0 Z +bit 108 I 1 MISO1 +bit 107 C 0 * +bit 106 O 1 SCK1 107 0 Z +bit 105 I 1 SCK1 +bit 104 C 0 * +bit 103 O 1 SCL1 104 0 Z +bit 102 I 1 SCL1 +bit 101 C 0 * +bit 100 O 1 SDA1 101 0 Z +bit 99 I 1 SDA1 +bit 98 C 0 * +bit 97 O 1 PC9 98 0 Z +bit 96 I 1 PC9 +bit 95 O 0 * +bit 94 O 0 * +bit 93 I 1 GPW_B +bit 92 O 1 SCKE 84 0 Z +bit 91 O 1 SMS_B 84 0 Z +bit 90 C 0 * +bit 89 O 1 PC6 90 0 Z +bit 88 I 1 PC6 +bit 87 C 0 * +bit 86 O 1 PC7 87 0 Z +bit 85 I 1 PC7 +bit 84 C 0 * +bit 83 O 1 CLKOUT 84 0 Z +bit 82 I 1 ARDY +bit 81 I 1 BR_B +bit 80 C 0 * +bit 79 O 1 DT3SEC 80 0 Z +bit 78 I 1 DT3SEC +bit 77 C 0 * +bit 76 O 1 DT3PRI 77 0 Z +bit 75 I 1 DT3PRI +bit 74 C 0 * +bit 73 O 1 TFS3 74 0 Z +bit 72 I 1 TFS3 +bit 71 O 1 SRAS_B 84 0 Z +bit 70 O 1 SCAS_B 84 0 Z +bit 69 O 1 SWE_B 84 0 Z +bit 68 O 1 SA10 84 0 Z +bit 67 C 0 * +bit 66 O 1 PC8 67 0 Z +bit 65 I 1 PC8 +bit 64 C 0 * +bit 63 O 1 PC5 64 0 Z +bit 62 I 1 PC5 +bit 61 O 0 * +bit 60 O 0 * +bit 59 I 1 PC4 +bit 58 O 1 AMS_B0 45 0 Z +bit 57 C 0 * +bit 56 O 1 TSCLK3 57 0 Z +bit 55 I 1 TSCLK3 +bit 54 C 0 * +bit 53 O 1 DR3SEC 54 0 Z +bit 52 I 1 DR3SEC +bit 51 C 0 * +bit 50 O 1 DR3PRI 51 0 Z +bit 49 I 1 DR3PRI +bit 48 O 1 AMS_B1 45 0 Z +bit 47 O 1 AMS_B2 45 0 Z +bit 46 O 1 AMS_B3 45 0 Z +bit 45 C 0 * +bit 44 O 1 AOE_B 45 0 Z +bit 43 O 1 ARE_B 45 0 Z +bit 42 O 1 AWE_B 45 0 Z +bit 41 O 1 ABE_B0 26 0 Z +bit 40 O 1 ABE_B1 26 0 Z +bit 39 C 0 * +bit 38 O 1 RFS3 39 0 Z +bit 37 I 1 RFS3 +bit 36 C 0 * +bit 35 O 1 RSCLK3 36 0 Z +bit 34 I 1 RSCLK3 +bit 33 C 0 * +bit 32 O 1 TX2 33 0 Z +bit 31 I 1 TX2 +bit 30 O 1 ADDR1 26 0 Z +bit 29 O 1 ADDR2 26 0 Z +bit 28 O 1 ADDR3 26 0 Z +bit 27 O 1 ADDR4 26 0 Z +bit 26 C 0 * +bit 25 O 1 ADDR5 26 0 Z +bit 24 O 1 ADDR6 26 0 Z +bit 23 O 1 ADDR7 26 0 Z +bit 22 O 1 ADDR8 26 0 Z +bit 21 O 1 ADDR9 26 0 Z +bit 20 O 1 ADDR10 26 0 Z +bit 19 O 1 ADDR11 26 0 Z +bit 18 O 1 ADDR12 26 0 Z +bit 17 O 1 ADDR13 26 0 Z +bit 16 O 1 ADDR14 26 0 Z +bit 15 C 0 * +bit 14 O 1 DT2SEC 15 0 Z +bit 13 I 1 DT2SEC +bit 12 C 0 * +bit 11 O 1 DT2PRI 12 0 Z +bit 10 I 1 DT2PRI +bit 9 O 1 ADDR15 26 0 Z +bit 8 O 1 ADDR16 26 0 Z +bit 7 O 1 ADDR17 26 0 Z +bit 6 O 1 ADDR18 26 0 Z +bit 5 O 1 ADDR19 26 0 Z +bit 4 C 0 * +bit 3 O 1 TFS2 4 0 Z +bit 2 I 1 TFS2 +bit 1 O 1 BGH_B +bit 0 O 1 BG_B diff --git a/sie_fs/usr/local/share/urjtag/analog/bf549/STEPPINGS b/sie_fs/usr/local/share/urjtag/analog/bf549/STEPPINGS new file mode 100644 index 0000000..464ef8d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf549/STEPPINGS @@ -0,0 +1,24 @@ +# +# $Id: STEPPINGS 75 2005-11-11 09:12:34Z jiez $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Richard Klingler +# + +# bits 31-28 of the Device Identification Register +0000 bf549 0 +0001 bf549 1 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf549/bf549 b/sie_fs/usr/local/share/urjtag/analog/bf549/bf549 new file mode 100644 index 0000000..4205281 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf549/bf549 @@ -0,0 +1,1057 @@ +signal PORTA_0 +signal PORTA_1 +signal PORTA_2 +signal PORTA_3 +signal PORTA_4 +signal PORTA_5 +signal PORTA_6 +signal PORTA_7 +signal PORTA_8 +signal PORTA_9 +signal PORTA_10 +signal PORTA_11 +signal PORTA_12 +signal PORTA_13 +signal PORTA_14 +signal PORTA_15 +signal PORTB_0 +signal PORTB_1 +signal PORTB_2 +signal PORTB_3 +signal PORTB_4 +signal PORTB_5 +signal PORTB_6 +signal PORTB_7 +signal PORTB_8 +signal PORTB_9 +signal PORTB_10 +signal PORTB_11 +signal PORTB_12 +signal PORTB_13 +signal PORTB_14 +signal PORTC_0 +signal PORTC_1 +signal PORTC_2 +signal PORTC_3 +signal PORTC_4 +signal PORTC_5 +signal PORTC_6 +signal PORTC_7 +signal PORTC_8 +signal PORTC_9 +signal PORTC_10 +signal PORTC_11 +signal PORTC_12 +signal PORTC_13 +signal PORTD_0 +signal PORTD_1 +signal PORTD_2 +signal PORTD_3 +signal PORTD_4 +signal PORTD_5 +signal PORTD_6 +signal PORTD_7 +signal PORTD_8 +signal PORTD_9 +signal PORTD_10 +signal PORTD_11 +signal PORTD_12 +signal PORTD_13 +signal PORTD_14 +signal PORTD_15 +signal PORTE_0 +signal PORTE_1 +signal PORTE_2 +signal PORTE_3 +signal PORTE_4 +signal PORTE_5 +signal PORTE_6 +signal PORTE_7 +signal PORTE_8 +signal PORTE_9 +signal PORTE_10 +signal PORTE_11 +signal PORTE_12 +signal PORTE_13 +signal PORTE_14 +signal PORTE_15 +signal PORTF_0 +signal PORTF_1 +signal PORTF_2 +signal PORTF_3 +signal PORTF_4 +signal PORTF_5 +signal PORTF_6 +signal PORTF_7 +signal PORTF_8 +signal PORTF_9 +signal PORTF_10 +signal PORTF_11 +signal PORTF_12 +signal PORTF_13 +signal PORTF_14 +signal PORTF_15 +signal PORTG_0 +signal PORTG_1 +signal PORTG_2 +signal PORTG_3 +signal PORTG_4 +signal PORTG_5 +signal PORTG_6 +signal PORTG_7 +signal PORTG_8 +signal PORTG_9 +signal PORTG_10 +signal PORTG_11 +signal PORTG_12 +signal PORTG_13 +signal PORTG_14 +signal PORTG_15 +signal PORTH_0 +signal PORTH_1 +signal PORTH_2 +signal PORTH_3 +signal PORTH_4 +signal PORTH_5 +signal PORTH_6 +signal PORTH_7 +signal PORTH_8 +signal PORTH_9 +signal PORTH_10 +signal PORTH_11 +signal PORTH_12 +signal PORTH_13 +signal PORTI_0 +signal PORTI_1 +signal PORTI_2 +signal PORTI_3 +signal PORTI_4 +signal PORTI_5 +signal PORTI_6 +signal PORTI_7 +signal PORTI_8 +signal PORTI_9 +signal PORTI_10 +signal PORTI_11 +signal PORTI_12 +signal PORTI_13 +signal PORTI_14 +signal PORTI_15 +signal PORTJ_0 +signal PORTJ_1 +signal PORTJ_2 +signal PORTJ_3 +signal PORTJ_4 +signal PORTJ_5 +signal PORTJ_6 +signal PORTJ_7 +signal PORTJ_8 +signal PORTJ_9 +signal PORTJ_10 +signal PORTJ_11 +signal PORTJ_12 +signal PORTJ_13 +signal ATAPI_PDIAG +signal ABE0B +signal ABE1B +signal CLKOUT +signal DQ0 +signal DQ1 +signal DQ2 +signal DQ3 +signal DQ4 +signal DQ5 +signal DQ6 +signal DQ7 +signal DQ8 +signal DQ9 +signal DQ10 +signal DQ11 +signal DQ12 +signal DQ13 +signal DQ14 +signal DQ15 +signal LDM +signal UDM +signal LDQS +signal UDQS +signal BA0 +signal BA1 +signal A0 +signal A1 +signal A2 +signal A3 +signal A4 +signal A5 +signal A6 +signal A7 +signal A8 +signal A9 +signal A10 +signal A11 +signal A12 +signal WE_B +signal CAS_B +signal RAS_B +signal CS0_B +signal CS1_B +signal CKE +signal CK2_B +signal CK2 +signal CK1_B +signal CK1 +signal DATA15 +signal DATA14 +signal DATA13 +signal DATA12 +signal DATA11 +signal DATA10 +signal DATA9 +signal DATA8 +signal DATA7 +signal DATA6 +signal DATA5 +signal DATA4 +signal DATA3 +signal DATA2 +signal DATA1 +signal DATA0 +signal AWEB +signal AREB +signal RESETB +signal NMIB +signal AOEB +signal AMS3B +signal AMS2B +signal AMS1B +signal AMS0B +signal ADDR3 +signal ADDR2 +signal ADDR1 +signal MFS +signal BMODE0 +signal BMODE1 +signal BMODE2 +signal BMODE3 +signal TCK +signal TDI +signal TDO +signal TMS +signal TRSTB +signal EMU_B +signal IVDD0 +signal IVDD1 +signal IVDD2 +signal IVDD3 +signal IVDD4 +signal IVDD5 +signal IVDD6 +signal IVDD7 +signal IVDD8 +signal IVDD9 +signal IVDD10 +signal IVDD11 +signal IVDD12 +signal IVDD13 +signal IVDD14 +signal EVDD0 +signal EVDD1 +signal EVDD2 +signal EVDD3 +signal EVDD4 +signal EVDD5 +signal EVDD6 +signal EVDD7 +signal EVDD8 +signal EVDD9 +signal EVDD10 +signal EVDD11 +signal EVDD12 +signal EVDD13 +signal EVDD14 +signal EVDD15 +signal EVDD16 +signal EVDD17 +signal EVDD18 +signal EVDD19 +signal EVDD20 +signal EVDD21 +signal EVDD22 +signal EVDD23 +signal EVDD24 +signal EVDD25 +signal EVDD26 +signal EVDD27 +signal EVDD28 +signal EVDD29 +signal EVDD30 +signal EVDD31 +signal DVDD0 +signal DVDD1 +signal DVDD2 +signal DVDD3 +signal DVDD4 +signal DVDD5 +signal DVDD6 +signal DVDD7 +signal DVDD8 +signal DVDD9 +signal DVDD10 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal EGND_MC +signal EGND_MX +signal EVDD_MC +signal EVDD_MX +signal IVDD_MP +signal IGND_MP +signal RTCVDD +signal EXT_WAKE +signal DDR_VREF +signal DDR_VSSR +signal CLKIN +signal XTAL +signal RTXI +signal RTXO +signal PHYCLK +signal MLF_M +signal MLF_P +signal MXI +signal MXO +signal VROUT_A +signal VROUT_B +signal USB_DP +signal USB_DM +signal USB_ID +signal USB_RSET +signal USB_VBUS +signal USB_VDDA +signal USB_VDDB +signal USB_VREF +signal USB_XI + +register BSR 636 +register BR 1 +register DIR 32 +register DBGSTAT 16 +register DBGCTL 16 +register EMUIR 32 +register EMUDAT 32 +register EMUPC 32 + +instruction length 5 + +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 10000 BSR +instruction IDCODE 00010 DIR +instruction BYPASS 11111 BR +instruction DBGSTAT_SCAN 01100 DBGSTAT +instruction DBGCTL_SCAN 00100 DBGCTL +instruction EMUIR_SCAN 01000 EMUIR +instruction EMUDAT_SCAN 10100 EMUDAT +instruction EMUPC_SCAN 11110 EMUPC + +bit 635 C 0 * +bit 634 O 1 PORTA_10 635 0 Z +bit 633 I 1 PORTA_10 +bit 632 C 0 * +bit 631 O 1 PORTA_11 632 0 Z +bit 630 I 1 PORTA_11 +bit 629 C 0 * +bit 628 O 1 PORTA_12 629 0 Z +bit 627 I 1 PORTA_12 +bit 626 C 0 * +bit 625 O 1 PORTA_13 626 0 Z +bit 624 I 1 PORTA_13 +bit 623 C 0 * +bit 622 O 1 PORTA_14 623 0 Z +bit 621 I 1 PORTA_14 +bit 620 C 0 * +bit 619 O 1 PORTA_15 620 0 Z +bit 618 I 1 PORTA_15 +bit 617 C 0 * +bit 616 O 1 PORTB_12 617 0 Z +bit 615 I 1 PORTB_12 +bit 614 C 0 * +bit 613 O 1 PORTB_13 614 0 Z +bit 612 I 1 PORTB_13 +bit 611 C 0 * +bit 610 O 1 PORTB_14 611 0 Z +bit 609 I 1 PORTB_14 +bit 608 C 0 * +bit 607 O 1 PORTB_8 608 0 Z +bit 606 I 1 PORTB_8 +bit 605 C 0 * +bit 604 O 1 PORTB_9 605 0 Z +bit 603 I 1 PORTB_9 +bit 602 C 0 * +bit 601 O 1 PORTB_10 602 0 Z +bit 600 I 1 PORTB_10 +bit 599 C 0 * +bit 598 O 1 PORTB_11 599 0 Z +bit 597 I 1 PORTB_11 +bit 596 C 0 * +bit 595 O 1 PORTB_4 596 0 Z +bit 594 I 1 PORTB_4 +bit 593 C 0 * +bit 592 O 1 PORTB_5 593 0 Z +bit 591 I 1 PORTB_5 +bit 590 C 0 * +bit 589 O 1 PORTB_6 590 0 Z +bit 588 I 1 PORTB_6 +bit 587 C 0 * +bit 586 O 1 PORTB_7 587 0 Z +bit 585 I 1 PORTB_7 +bit 584 O 1 * +bit 583 O 1 PORTB_1 583 1 Z +bit 582 I 1 PORTB_1 +bit 581 O 1 * +bit 580 O 1 PORTB_0 580 1 Z +bit 579 I 1 PORTB_0 +bit 578 C 0 * +bit 577 O 1 PORTB_2 578 0 Z +bit 576 I 1 PORTB_2 +bit 575 C 0 * +bit 574 O 1 PORTB_3 575 0 Z +bit 573 I 1 PORTB_3 +bit 572 O 0 * +bit 571 I 1 BMODE3 +bit 570 I 1 BMODE2 +bit 569 I 1 BMODE1 +bit 568 I 1 BMODE0 +bit 567 C 0 * +bit 566 O 1 PORTD_14 567 0 Z +bit 565 I 1 PORTD_14 +bit 564 C 0 * +bit 563 O 1 PORTD_15 564 0 Z +bit 562 I 1 PORTD_15 +bit 561 C 0 * +bit 560 O 1 PORTD_13 561 0 Z +bit 559 I 1 PORTD_13 +bit 558 C 0 * +bit 557 O 1 PORTD_12 558 0 Z +bit 556 I 1 PORTD_12 +bit 555 C 0 * +bit 554 O 1 PORTD_11 555 0 Z +bit 553 I 1 PORTD_11 +bit 552 C 0 * +bit 551 O 1 PORTD_10 552 0 Z +bit 550 I 1 PORTD_10 +bit 549 C 0 * +bit 548 O 1 PORTD_9 549 0 Z +bit 547 I 1 PORTD_9 +bit 546 C 0 * +bit 545 O 1 PORTD_8 546 0 Z +bit 544 I 1 PORTD_8 +bit 543 C 0 * +bit 542 O 1 PORTD_7 543 0 Z +bit 541 I 1 PORTD_7 +bit 540 C 0 * +bit 539 O 1 PORTD_6 540 0 Z +bit 538 I 1 PORTD_6 +bit 537 C 0 * +bit 536 O 1 PORTD_5 537 0 Z +bit 535 I 1 PORTD_5 +bit 534 C 0 * +bit 533 O 1 PORTD_4 534 0 Z +bit 532 I 1 PORTD_4 +bit 531 C 0 * +bit 530 O 1 PORTD_3 531 0 Z +bit 529 I 1 PORTD_3 +bit 528 C 0 * +bit 527 O 1 PORTD_2 528 0 Z +bit 526 I 1 PORTD_2 +bit 525 C 0 * +bit 524 O 1 PORTD_1 525 0 Z +bit 523 I 1 PORTD_1 +bit 522 C 0 * +bit 521 O 1 PORTD_0 522 0 Z +bit 520 I 1 PORTD_0 +bit 519 C 0 * +bit 518 O 1 PORTE_13 519 0 Z +bit 517 I 1 PORTE_13 +bit 516 C 0 * +bit 515 O 1 PORTE_12 516 0 Z +bit 514 I 1 PORTE_12 +bit 513 C 0 * +bit 512 O 1 PORTE_11 513 0 Z +bit 511 I 1 PORTE_11 +bit 510 C 0 * +bit 509 O 1 PORTG_4 510 0 Z +bit 508 I 1 PORTG_4 +bit 507 C 0 * +bit 506 O 1 PORTG_3 507 0 Z +bit 505 I 1 PORTG_3 +bit 504 C 0 * +bit 503 O 1 PORTF_15 504 0 Z +bit 502 I 1 PORTF_15 +bit 501 C 0 * +bit 500 O 1 PORTF_14 501 0 Z +bit 499 I 1 PORTF_14 +bit 498 C 0 * +bit 497 O 1 PORTF_13 498 0 Z +bit 496 I 1 PORTF_13 +bit 495 C 0 * +bit 494 O 1 PORTF_12 495 0 Z +bit 493 I 1 PORTF_12 +bit 492 C 0 * +bit 491 O 1 PORTF_11 492 0 Z +bit 490 I 1 PORTF_11 +bit 489 C 0 * +bit 488 O 1 PORTF_10 489 0 Z +bit 487 I 1 PORTF_10 +bit 486 C 0 * +bit 485 O 1 PORTF_9 486 0 Z +bit 484 I 1 PORTF_9 +bit 483 C 0 * +bit 482 O 1 PORTF_8 483 0 Z +bit 481 I 1 PORTF_8 +bit 480 C 0 * +bit 479 O 1 PORTF_7 480 0 Z +bit 478 I 1 PORTF_7 +bit 477 C 0 * +bit 476 O 1 PORTF_6 477 0 Z +bit 475 I 1 PORTF_6 +bit 474 C 0 * +bit 473 O 1 PORTF_5 474 0 Z +bit 472 I 1 PORTF_5 +bit 471 C 0 * +bit 470 O 1 PORTF_4 471 0 Z +bit 469 I 1 PORTF_4 +bit 468 C 0 * +bit 467 O 1 PORTF_3 468 0 Z +bit 466 I 1 PORTF_3 +bit 465 C 0 * +bit 464 O 1 PORTF_2 465 0 Z +bit 463 I 1 PORTF_2 +bit 462 C 0 * +bit 461 O 1 PORTF_1 462 0 Z +bit 460 I 1 PORTF_1 +bit 459 C 0 * +bit 458 O 1 PORTF_0 459 0 Z +bit 457 I 1 PORTF_0 +bit 456 C 0 * +bit 455 O 1 PORTG_2 456 0 Z +bit 454 I 1 PORTG_2 +bit 453 C 0 * +bit 452 O 1 PORTG_1 453 0 Z +bit 451 I 1 PORTG_1 +bit 450 C 0 * +bit 449 O 1 PORTG_0 450 0 Z +bit 448 I 1 PORTG_0 +bit 447 C 0 * +bit 446 O 1 PORTC_3 447 0 Z +bit 445 I 1 PORTC_3 +bit 444 C 0 * +bit 443 O 1 PORTC_2 444 0 Z +bit 442 I 1 PORTC_2 +bit 441 C 0 * +bit 440 O 1 PORTC_1 441 0 Z +bit 439 I 1 PORTC_1 +bit 438 C 0 * +bit 437 O 1 PORTC_0 438 0 Z +bit 436 I 1 PORTC_0 +bit 435 C 0 * +bit 434 O 1 PORTC_7 435 0 Z +bit 433 I 1 PORTC_7 +bit 432 C 0 * +bit 431 O 1 PORTC_6 432 0 Z +bit 430 I 1 PORTC_6 +bit 429 C 0 * +bit 428 O 1 PORTC_5 429 0 Z +bit 427 I 1 PORTC_5 +bit 426 C 0 * +bit 425 O 1 PORTC_4 426 0 Z +bit 424 I 1 PORTC_4 +bit 423 C 0 * +bit 422 O 1 PORTH_7 423 0 Z +bit 421 I 1 PORTH_7 +bit 420 C 0 * +bit 419 O 1 PORTH_5 420 0 Z +bit 418 I 1 PORTH_5 +bit 417 C 0 * +bit 416 O 1 MFS 417 0 Z +bit 415 I 1 MFS +bit 414 C 0 * +bit 413 O 1 PORTH_6 414 0 Z +bit 412 I 1 PORTH_6 +bit 411 C 0 * +bit 410 O 1 ADDR1 411 0 Z +bit 409 I 1 ADDR1 +bit 408 C 0 * +bit 407 O 1 ADDR2 408 0 Z +bit 406 I 1 ADDR2 +bit 405 C 0 * +bit 404 O 1 ADDR3 405 0 Z +bit 403 I 1 ADDR3 +bit 402 C 0 * +bit 401 O 1 PORTH_8 402 0 Z +bit 400 I 1 PORTH_8 +bit 399 C 0 * +bit 398 O 1 PORTH_9 399 0 Z +bit 397 I 1 PORTH_9 +bit 396 C 0 * +bit 395 O 1 PORTH_10 396 0 Z +bit 394 I 1 PORTH_10 +bit 393 C 0 * +bit 392 O 1 PORTH_11 393 0 Z +bit 391 I 1 PORTH_11 +bit 390 C 0 * +bit 389 O 1 PORTH_12 390 0 Z +bit 388 I 1 PORTH_12 +bit 387 C 0 * +bit 386 O 1 PORTH_13 387 0 Z +bit 385 I 1 PORTH_13 +bit 384 C 0 * +bit 383 O 1 PORTI_0 384 0 Z +bit 382 I 1 PORTI_0 +bit 381 C 0 * +bit 380 O 1 PORTI_1 381 0 Z +bit 379 I 1 PORTI_1 +bit 378 C 0 * +bit 377 O 1 PORTI_2 378 0 Z +bit 376 I 1 PORTI_2 +bit 375 C 0 * +bit 374 O 1 PORTI_3 375 0 Z +bit 373 I 1 PORTI_3 +bit 372 C 0 * +bit 371 O 1 PORTI_4 372 0 Z +bit 370 I 1 PORTI_4 +bit 369 C 0 * +bit 368 O 1 PORTI_5 369 0 Z +bit 367 I 1 PORTI_5 +bit 366 C 0 * +bit 365 O 1 PORTI_6 366 0 Z +bit 364 I 1 PORTI_6 +bit 363 C 0 * +bit 362 O 1 PORTI_7 363 0 Z +bit 361 I 1 PORTI_7 +bit 360 C 0 * +bit 359 O 1 PORTI_8 360 0 Z +bit 358 I 1 PORTI_8 +bit 357 C 0 * +bit 356 O 1 PORTI_9 357 0 Z +bit 355 I 1 PORTI_9 +bit 354 C 0 * +bit 353 O 1 PORTI_10 354 0 Z +bit 352 I 1 PORTI_10 +bit 351 C 0 * +bit 350 O 1 PORTI_11 351 0 Z +bit 349 I 1 PORTI_11 +bit 348 C 0 * +bit 347 O 1 PORTI_12 348 0 Z +bit 346 I 1 PORTI_12 +bit 345 C 0 * +bit 344 O 1 PORTI_13 345 0 Z +bit 343 I 1 PORTI_13 +bit 342 C 0 * +bit 341 O 1 PORTI_14 342 0 Z +bit 340 I 1 PORTI_14 +bit 339 C 0 * +bit 338 O 1 PORTI_15 339 0 Z +bit 337 I 1 PORTI_15 +bit 336 C 0 * +bit 335 O 1 AMS0B 336 0 Z +bit 334 I 1 AMS0B +bit 333 C 0 * +bit 332 O 1 AMS1B 333 0 Z +bit 331 I 1 AMS1B +bit 330 C 0 * +bit 329 O 1 AMS2B 330 0 Z +bit 328 I 1 AMS2B +bit 327 C 0 * +bit 326 O 1 AMS3B 327 0 Z +bit 325 I 1 AMS3B +bit 324 C 0 * +bit 323 O 1 AOEB 324 0 Z +bit 322 I 1 AOEB +bit 321 I 1 NMIB +bit 320 I 1 RESETB +bit 319 C 0 * +bit 318 O 1 AREB 319 0 Z +bit 317 I 1 AREB +bit 316 C 0 * +bit 315 O 1 AWEB 316 0 Z +bit 314 I 1 AWEB +bit 313 C 0 * +bit 312 O 1 DATA0 313 0 Z +bit 311 I 1 DATA0 +bit 310 C 0 * +bit 309 O 1 DATA1 310 0 Z +bit 308 I 1 DATA1 +bit 307 C 0 * +bit 306 O 1 DATA2 307 0 Z +bit 305 I 1 DATA2 +bit 304 C 0 * +bit 303 O 1 DATA3 304 0 Z +bit 302 I 1 DATA3 +bit 301 C 0 * +bit 300 O 1 DATA4 301 0 Z +bit 299 I 1 DATA4 +bit 298 C 0 * +bit 297 O 1 DATA5 298 0 Z +bit 296 I 1 DATA5 +bit 295 C 0 * +bit 294 O 1 DATA6 295 0 Z +bit 293 I 1 DATA6 +bit 292 C 0 * +bit 291 O 1 DATA7 292 0 Z +bit 290 I 1 DATA7 +bit 289 C 0 * +bit 288 O 1 DATA8 289 0 Z +bit 287 I 1 DATA8 +bit 286 C 0 * +bit 285 O 1 DATA9 286 0 Z +bit 284 I 1 DATA9 +bit 283 C 0 * +bit 282 O 1 DATA10 283 0 Z +bit 281 I 1 DATA10 +bit 280 C 0 * +bit 279 O 1 DATA11 280 0 Z +bit 278 I 1 DATA11 +bit 277 C 0 * +bit 276 O 1 DATA12 277 0 Z +bit 275 I 1 DATA12 +bit 274 C 0 * +bit 273 O 1 DATA13 274 0 Z +bit 272 I 1 DATA13 +bit 271 C 0 * +bit 270 O 1 DATA14 271 0 Z +bit 269 I 1 DATA14 +bit 268 C 0 * +bit 267 O 1 DATA15 268 0 Z +bit 266 I 1 DATA15 +bit 265 C 0 * +bit 264 O 1 ABE1B 265 0 Z +bit 263 I 1 ABE1B +bit 262 C 0 * +bit 261 O 1 ABE0B 262 0 Z +bit 260 I 1 ABE0B +bit 259 O 1 CK1 +bit 258 O 1 CK1_B +bit 257 O 1 CK2 +bit 256 O 1 CK2_B +bit 255 O 1 CKE +bit 254 O 1 CS1_B +bit 253 O 1 CS0_B +bit 252 O 1 RAS_B +bit 251 O 1 CAS_B +bit 250 O 1 WE_B +bit 249 O 1 A12 +bit 248 O 1 A11 +bit 247 O 1 A10 +bit 246 O 1 A9 +bit 245 O 1 A8 +bit 244 O 1 A7 +bit 243 O 1 A6 +bit 242 O 1 A5 +bit 241 O 1 A4 +bit 240 O 1 A3 +bit 239 O 1 A2 +bit 238 O 1 A1 +bit 237 O 1 A0 +bit 236 O 1 BA1 +bit 235 O 1 BA0 +bit 234 C 0 * +bit 233 O 1 UDQS 234 0 Z +bit 232 I 1 UDQS +bit 231 C 0 * +bit 230 O 1 LDQS 231 0 Z +bit 229 I 1 LDQS +bit 228 O 1 UDM +bit 227 O 1 LDM +bit 226 C 0 * +bit 225 O 1 DQ15 226 0 Z +bit 224 I 1 DQ15 +bit 223 C 0 * +bit 222 O 1 DQ14 223 0 Z +bit 221 I 1 DQ14 +bit 220 C 0 * +bit 219 O 1 DQ13 220 0 Z +bit 218 I 1 DQ13 +bit 217 C 0 * +bit 216 O 1 DQ12 217 0 Z +bit 215 I 1 DQ12 +bit 214 C 0 * +bit 213 O 1 DQ11 214 0 Z +bit 212 I 1 DQ11 +bit 211 C 0 * +bit 210 O 1 DQ10 211 0 Z +bit 209 I 1 DQ10 +bit 208 C 0 * +bit 207 O 1 DQ9 208 0 Z +bit 206 I 1 DQ9 +bit 205 C 0 * +bit 204 O 1 DQ8 205 0 Z +bit 203 I 1 DQ8 +bit 202 C 0 * +bit 201 O 1 DQ7 202 0 Z +bit 200 I 1 DQ7 +bit 199 C 0 * +bit 198 O 1 DQ6 199 0 Z +bit 197 I 1 DQ6 +bit 196 C 0 * +bit 195 O 1 DQ5 196 0 Z +bit 194 I 1 DQ5 +bit 193 C 0 * +bit 192 O 1 DQ4 193 0 Z +bit 191 I 1 DQ4 +bit 190 C 0 * +bit 189 O 1 DQ3 190 0 Z +bit 188 I 1 DQ3 +bit 187 C 0 * +bit 186 O 1 DQ2 187 0 Z +bit 185 I 1 DQ2 +bit 184 C 0 * +bit 183 O 1 DQ1 184 0 Z +bit 182 I 1 DQ1 +bit 181 C 0 * +bit 180 O 1 DQ0 181 0 Z +bit 179 I 1 DQ0 +bit 178 O 1 CLKOUT +bit 177 C 0 * +bit 176 O 1 PORTJ_11 177 0 Z +bit 175 I 1 PORTJ_11 +bit 174 C 0 * +bit 173 O 1 PORTJ_12 174 0 Z +bit 172 I 1 PORTJ_12 +bit 171 C 0 * +bit 170 O 1 PORTJ_13 171 0 Z +bit 169 I 1 PORTJ_13 +bit 168 C 0 * +bit 167 O 1 PORTJ_0 168 0 Z +bit 166 I 1 PORTJ_0 +bit 165 C 0 * +bit 164 O 1 PORTJ_1 165 0 Z +bit 163 I 1 PORTJ_1 +bit 162 C 0 * +bit 161 O 1 PORTJ_2 162 0 Z +bit 160 I 1 PORTJ_2 +bit 159 C 0 * +bit 158 O 1 PORTJ_3 159 0 Z +bit 157 I 1 PORTJ_3 +bit 156 C 0 * +bit 155 O 1 PORTJ_4 156 0 Z +bit 154 I 1 PORTJ_4 +bit 153 I 1 ATAPI_PDIAG +bit 152 C 0 * +bit 151 O 1 PORTJ_5 152 0 Z +bit 150 I 1 PORTJ_5 +bit 149 C 0 * +bit 148 O 1 PORTJ_6 149 0 Z +bit 147 I 1 PORTJ_6 +bit 146 C 0 * +bit 145 O 1 PORTJ_7 146 0 Z +bit 144 I 1 PORTJ_7 +bit 143 C 0 * +bit 142 O 1 PORTJ_8 143 0 Z +bit 141 I 1 PORTJ_8 +bit 140 C 0 * +bit 139 O 1 PORTJ_9 140 0 Z +bit 138 I 1 PORTJ_9 +bit 137 C 0 * +bit 136 O 1 PORTJ_10 137 0 Z +bit 135 I 1 PORTJ_10 +bit 134 C 0 * +bit 133 O 1 PORTG_13 134 0 Z +bit 132 I 1 PORTG_13 +bit 131 C 0 * +bit 130 O 1 PORTG_12 131 0 Z +bit 129 I 1 PORTG_12 +bit 128 C 0 * +bit 127 O 1 PORTE_7 128 0 Z +bit 126 I 1 PORTE_7 +bit 125 C 0 * +bit 124 O 1 PORTE_8 125 0 Z +bit 123 I 1 PORTE_8 +bit 122 C 0 * +bit 121 O 1 PORTE_9 122 0 Z +bit 120 I 1 PORTE_9 +bit 119 C 0 * +bit 118 O 1 PORTE_10 119 0 Z +bit 117 I 1 PORTE_10 +bit 116 C 0 * +bit 115 O 1 PORTE_0 116 0 Z +bit 114 I 1 PORTE_0 +bit 113 C 0 * +bit 112 O 1 PORTE_1 113 0 Z +bit 111 I 1 PORTE_1 +bit 110 C 0 * +bit 109 O 1 PORTE_2 110 0 Z +bit 108 I 1 PORTE_2 +bit 107 C 0 * +bit 106 O 1 PORTE_4 107 0 Z +bit 105 I 1 PORTE_4 +bit 104 C 0 * +bit 103 O 1 PORTE_5 104 0 Z +bit 102 I 1 PORTE_5 +bit 101 C 0 * +bit 100 O 1 PORTE_6 101 0 Z +bit 99 I 1 PORTE_6 +bit 98 C 0 * +bit 97 O 1 PORTH_4 98 0 Z +bit 96 I 1 PORTH_4 +bit 95 C 0 * +bit 94 O 1 PORTH_3 95 0 Z +bit 93 I 1 PORTH_3 +bit 92 C 0 * +bit 91 O 1 PORTH_2 92 0 Z +bit 90 I 1 PORTH_2 +bit 89 C 0 * +bit 88 O 1 PORTH_0 89 0 Z +bit 87 I 1 PORTH_0 +bit 86 O 1 * +bit 85 O 1 PORTE_15 85 1 Z +bit 84 I 1 PORTE_15 +bit 83 O 1 * +bit 82 O 1 PORTE_14 82 1 Z +bit 81 I 1 PORTE_14 +bit 80 C 0 * +bit 79 O 1 PORTG_8 80 0 Z +bit 78 I 1 PORTG_8 +bit 77 C 0 * +bit 76 O 1 PORTG_9 77 0 Z +bit 75 I 1 PORTG_9 +bit 74 C 0 * +bit 73 O 1 PORTG_10 74 0 Z +bit 72 I 1 PORTG_10 +bit 71 C 0 * +bit 70 O 1 PORTG_11 71 0 Z +bit 69 I 1 PORTG_11 +bit 68 C 0 * +bit 67 O 1 PORTG_5 68 0 Z +bit 66 I 1 PORTG_5 +bit 65 C 0 * +bit 64 O 1 PORTG_6 65 0 Z +bit 63 I 1 PORTG_6 +bit 62 C 0 * +bit 61 O 1 PORTG_7 62 0 Z +bit 60 I 1 PORTG_7 +bit 59 C 0 * +bit 58 O 1 PORTE_3 59 0 Z +bit 57 I 1 PORTE_3 +bit 56 C 0 * +bit 55 O 1 PORTH_1 56 0 Z +bit 54 I 1 PORTH_1 +bit 53 C 0 * +bit 52 O 1 PORTC_13 53 0 Z +bit 51 I 1 PORTC_13 +bit 50 C 0 * +bit 49 O 1 PORTC_12 50 0 Z +bit 48 I 1 PORTC_12 +bit 47 C 0 * +bit 46 O 1 PORTC_11 47 0 Z +bit 45 I 1 PORTC_11 +bit 44 C 0 * +bit 43 O 1 PORTC_10 44 0 Z +bit 42 I 1 PORTC_10 +bit 41 C 0 * +bit 40 O 1 PORTC_9 41 0 Z +bit 39 I 1 PORTC_9 +bit 38 C 0 * +bit 37 O 1 PORTC_8 38 0 Z +bit 36 I 1 PORTC_8 +bit 35 C 0 * +bit 34 O 1 PORTG_15 35 0 Z +bit 33 I 1 PORTG_15 +bit 32 C 0 * +bit 31 O 1 PORTG_14 32 0 Z +bit 30 I 1 PORTG_14 +bit 29 C 0 * +bit 28 O 1 PORTA_0 29 0 Z +bit 27 I 1 PORTA_0 +bit 26 C 0 * +bit 25 O 1 PORTA_1 26 0 Z +bit 24 I 1 PORTA_1 +bit 23 C 0 * +bit 22 O 1 PORTA_2 23 0 Z +bit 21 I 1 PORTA_2 +bit 20 C 0 * +bit 19 O 1 PORTA_3 20 0 Z +bit 18 I 1 PORTA_3 +bit 17 C 0 * +bit 16 O 1 PORTA_4 17 0 Z +bit 15 I 1 PORTA_4 +bit 14 C 0 * +bit 13 O 1 PORTA_5 14 0 Z +bit 12 I 1 PORTA_5 +bit 11 C 0 * +bit 10 O 1 PORTA_6 11 0 Z +bit 9 I 1 PORTA_6 +bit 8 C 0 * +bit 7 O 1 PORTA_7 8 0 Z +bit 6 I 1 PORTA_7 +bit 5 C 0 * +bit 4 O 1 PORTA_8 5 0 Z +bit 3 I 1 PORTA_8 +bit 2 C 0 * +bit 1 O 1 PORTA_9 2 0 Z +bit 0 I 1 PORTA_9 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf561/STEPPINGS b/sie_fs/usr/local/share/urjtag/analog/bf561/STEPPINGS new file mode 100644 index 0000000..d3392f1 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf561/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 82 2006-11-06 04:22:52Z jiez $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Richard Klingler +# + +# bits 31-28 of the Device Identification Register +0010 bf561 2 +0011 bf561 3 +0101 bf561 5 diff --git a/sie_fs/usr/local/share/urjtag/analog/bf561/bf561 b/sie_fs/usr/local/share/urjtag/analog/bf561/bf561 new file mode 100644 index 0000000..d1a15c3 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/bf561/bf561 @@ -0,0 +1,610 @@ +signal ADDR2 +signal ADDR3 +signal ADDR4 +signal ADDR5 +signal ADDR6 +signal ADDR7 +signal ADDR8 +signal ADDR9 +signal ADDR10 +signal ADDR11 +signal ADDR12 +signal ADDR13 +signal ADDR14 +signal ADDR15 +signal ADDR16 +signal ADDR17 +signal ADDR18 +signal ADDR19 +signal ADDR20 +signal ADDR21 +signal ADDR22 +signal ADDR23 +signal ADDR24 +signal ADDR25 +signal DATA0 +signal DATA1 +signal DATA2 +signal DATA3 +signal DATA4 +signal DATA5 +signal DATA6 +signal DATA7 +signal DATA8 +signal DATA9 +signal DATA10 +signal DATA11 +signal DATA12 +signal DATA13 +signal DATA14 +signal DATA15 +signal DATA16 +signal DATA17 +signal DATA18 +signal DATA19 +signal DATA20 +signal DATA21 +signal DATA22 +signal DATA23 +signal DATA24 +signal DATA25 +signal DATA26 +signal DATA27 +signal DATA28 +signal DATA29 +signal DATA30 +signal DATA31 +signal AMS_B0 +signal AMS_B1 +signal AMS_B2 +signal AMS_B3 +signal AOE_B +signal ARDY +signal ARE_B +signal AWE_B +signal ABE_B0 +signal ABE_B1 +signal ABE_B2 +signal ABE_B3 +signal BG_B +signal BGH_B +signal BMODE0 +signal BMODE1 +signal BR_B +signal BY_PASS +signal PPI1_DATA0 +signal PPI1_DATA1 +signal PPI1_DATA2 +signal PPI1_DATA3 +signal PPI1_DATA4 +signal PPI1_DATA5 +signal PPI1_DATA6 +signal PPI1_DATA7 +signal PPI1_DATA8 +signal PPI1_DATA9 +signal PPI1_DATA10 +signal PPI1_DATA11 +signal PPI1_DATA12 +signal PPI1_DATA13 +signal PPI1_DATA14 +signal PPI1_DATA15 +signal PPI2_DATA0 +signal PPI2_DATA1 +signal PPI2_DATA2 +signal PPI2_DATA3 +signal PPI2_DATA4 +signal PPI2_DATA5 +signal PPI2_DATA6 +signal PPI2_DATA7 +signal PPI2_DATA8 +signal PPI2_DATA9 +signal PPI2_DATA10 +signal PPI2_DATA11 +signal PPI2_DATA12 +signal PPI2_DATA13 +signal PPI2_DATA14 +signal PPI2_DATA15 +signal DR0PRI +signal DR0SEC +signal DR1PRI +signal DR1SEC +signal DT0PRI +signal DT0SEC +signal DT1PRI +signal DT1SEC +signal MISO +signal MOSI +signal NMI_0 +signal NMI_1 +signal PF0 +signal PF1 +signal PF2 +signal PF3 +signal PF4 +signal PF5 +signal PF6 +signal PF7 +signal PF8 +signal PF9 +signal PF10 +signal PF11 +signal PF12 +signal PF13 +signal PF14 +signal PF15 +signal RESET_B +signal RFS0 +signal RFS1 +signal RSCLK0 +signal RSCLK1 +signal TSCLK0 +signal TSCLK1 +signal RX +signal TX +signal SA10 +signal SCAS_B +signal SCK +signal SCKE +signal SLEEP +signal SMS_B0 +signal SMS_B1 +signal SMS_B2 +signal SMS_B3 +signal SRAS_B +signal SWE_B +signal SCLK0 +signal SCLK1 +signal TCK +signal TDI +signal TDO +signal TMS +signal TRST_B +signal EMU_B +signal TEST +signal TFS0 +signal TFS1 +signal PPI1_CLK +signal PPI2_CLK +signal PPI1_SYNC1 +signal PPI1_SYNC2 +signal PPI1_SYNC3 +signal PPI2_SYNC1 +signal PPI2_SYNC2 +signal PPI2_SYNC3 +signal VDD_INT0 +signal VDD_INT1 +signal VDD_INT2 +signal VDD_INT3 +signal VDD_INT4 +signal VDD_INT5 +signal VDD_INT6 +signal VDD_INT7 +signal VDD_INT8 +signal GND_INT0 +signal GND_INT1 +signal GND_INT2 +signal GND_INT3 +signal GND_INT4 +signal GND_INT5 +signal GND_INT6 +signal VDD_EXT0 +signal VDD_EXT1 +signal VDD_EXT2 +signal VDD_EXT3 +signal VDD_EXT4 +signal VDD_EXT5 +signal VDD_EXT6 +signal VDD_EXT7 +signal VDD_EXT8 +signal VDD_EXT9 +signal VDD_EXT10 +signal VDD_EXT11 +signal VDD_EXT12 +signal VDD_EXT13 +signal VDD_EXT14 +signal VDD_EXT15 +signal VDD_EXT16 +signal VDD_EXT17 +signal VDD_EXT18 +signal CLKIN +signal XTAL +signal VREF_FLT +signal VREG +signal PSMON_VDD +signal PSMON_GND +signal GND_EXT0 +signal GND_EXT1 +signal GND_EXT2 +signal GND_EXT3 +signal GND_EXT4 +signal GND_EXT5 +signal GND_EXT6 +signal GND_EXT7 +signal GND_EXT8 +signal GND_EXT9 +signal GND_EXT10 +signal GND_EXT11 +signal GND_EXT12 +signal GND_EXT13 +signal GND_EXT14 +signal GND_EXT15 +signal GND_EXT16 +signal GND_EXT17 +signal GND_EXT18 + +register BSR 355 +register BR 1 +register DIR 32 +register DBGSTAT 16 +register DBGCTL 16 +register EMUIR 32 +register EMUDAT 32 +register EMUPC 32 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 10000 BSR +instruction IDCODE 00010 DIR +instruction DBGSTAT_SCAN 01100 DBGSTAT +instruction DBGCTL_SCAN 00100 DBGCTL +instruction EMUIR_SCAN 01000 EMUIR +instruction EMUDAT_SCAN 10100 EMUDAT +instruction EMUPC_SCAN 11110 EMUPC + +bit 354 I 1 TEST +bit 353 I 1 BMODE1 +bit 352 I 1 BMODE0 +bit 351 O 1 SLEEP +bit 350 I 1 NMI_0 +bit 349 C 0 * +bit 348 O 1 MISO 349 0 Z +bit 347 I 1 MISO +bit 346 C 0 * +bit 345 O 1 MOSI 346 0 Z +bit 344 I 1 MOSI +bit 343 C 0 * +bit 342 O 1 SCK 343 0 Z +bit 341 I 1 SCK +bit 340 C 0 * +bit 339 O 1 RX 340 0 Z +bit 338 I 1 RX +bit 337 C 0 * +bit 336 O 1 TX 337 0 Z +bit 335 I 1 TX +bit 334 C 0 * +bit 333 O 1 RSCLK1 334 0 Z +bit 332 I 1 RSCLK1 +bit 331 C 0 * +bit 330 O 1 RFS1 331 0 Z +bit 329 I 1 RFS1 +bit 328 C 0 * +bit 327 O 1 DR1SEC 328 0 Z +bit 326 I 1 DR1SEC +bit 325 C 0 * +bit 324 O 1 DR1PRI 325 0 Z +bit 323 I 1 DR1PRI +bit 322 C 0 * +bit 321 O 1 TSCLK1 322 0 Z +bit 320 I 1 TSCLK1 +bit 319 C 0 * +bit 318 O 1 TFS1 319 0 Z +bit 317 I 1 TFS1 +bit 316 C 0 * +bit 315 O 1 DT1SEC 316 0 Z +bit 314 I 1 DT1SEC +bit 313 C 0 * +bit 312 O 1 DT1PRI 313 0 Z +bit 311 I 1 DT1PRI +bit 310 C 0 * +bit 309 O 1 RSCLK0 310 0 Z +bit 308 I 1 RSCLK0 +bit 307 C 0 * +bit 306 O 1 RFS0 307 0 Z +bit 305 I 1 RFS0 +bit 304 C 0 * +bit 303 O 1 DR0SEC 304 0 Z +bit 302 I 1 DR0SEC +bit 301 C 0 * +bit 300 O 1 DR0PRI 301 0 Z +bit 299 I 1 DR0PRI +bit 298 C 0 * +bit 297 O 1 TSCLK0 298 0 Z +bit 296 I 1 TSCLK0 +bit 295 C 0 * +bit 294 O 1 TFS0 295 0 Z +bit 293 I 1 TFS0 +bit 292 C 0 * +bit 291 O 1 DT0SEC 292 0 Z +bit 290 I 1 DT0SEC +bit 289 C 0 * +bit 288 O 1 DT0PRI 289 0 Z +bit 287 I 1 DT0PRI +bit 286 O 1 DATA31 254 0 Z +bit 285 I 1 DATA31 +bit 284 O 1 DATA30 254 0 Z +bit 283 I 1 DATA30 +bit 282 O 1 DATA29 254 0 Z +bit 281 I 1 DATA29 +bit 280 O 1 DATA28 254 0 Z +bit 279 I 1 DATA28 +bit 278 O 1 DATA27 254 0 Z +bit 277 I 1 DATA27 +bit 276 O 1 DATA26 254 0 Z +bit 275 I 1 DATA26 +bit 274 O 1 DATA25 254 0 Z +bit 273 I 1 DATA25 +bit 272 O 1 DATA24 254 0 Z +bit 271 I 1 DATA24 +bit 270 O 1 DATA23 254 0 Z +bit 269 I 1 DATA23 +bit 268 O 1 DATA22 254 0 Z +bit 267 I 1 DATA22 +bit 266 O 1 DATA21 254 0 Z +bit 265 I 1 DATA21 +bit 264 O 1 DATA20 254 0 Z +bit 263 I 1 DATA20 +bit 262 O 1 DATA19 254 0 Z +bit 261 I 1 DATA19 +bit 260 O 1 DATA18 254 0 Z +bit 259 I 1 DATA18 +bit 258 O 1 DATA17 254 0 Z +bit 257 I 1 DATA17 +bit 256 O 1 DATA16 254 0 Z +bit 255 I 1 DATA16 +bit 254 C 0 * +bit 253 O 1 DATA15 221 0 Z +bit 252 I 1 DATA15 +bit 251 O 1 DATA14 221 0 Z +bit 250 I 1 DATA14 +bit 249 O 1 DATA13 221 0 Z +bit 248 I 1 DATA13 +bit 247 O 1 DATA12 221 0 Z +bit 246 I 1 DATA12 +bit 245 O 1 DATA11 221 0 Z +bit 244 I 1 DATA11 +bit 243 O 1 DATA10 221 0 Z +bit 242 I 1 DATA10 +bit 241 O 1 DATA9 221 0 Z +bit 240 I 1 DATA9 +bit 239 O 1 DATA8 221 0 Z +bit 238 I 1 DATA8 +bit 237 O 1 DATA7 221 0 Z +bit 236 I 1 DATA7 +bit 235 O 1 DATA6 221 0 Z +bit 234 I 1 DATA6 +bit 233 O 1 DATA5 221 0 Z +bit 232 I 1 DATA5 +bit 231 O 1 DATA4 221 0 Z +bit 230 I 1 DATA4 +bit 229 O 1 DATA3 221 0 Z +bit 228 I 1 DATA3 +bit 227 O 1 DATA2 221 0 Z +bit 226 I 1 DATA2 +bit 225 O 1 DATA1 221 0 Z +bit 224 I 1 DATA1 +bit 223 O 1 DATA0 221 0 Z +bit 222 I 1 DATA0 +bit 221 C 0 * +bit 220 O 1 ADDR2 219 0 Z +bit 219 C 0 * +bit 218 O 1 ADDR3 219 0 Z +bit 217 O 1 ADDR4 219 0 Z +bit 216 O 1 ADDR5 219 0 Z +bit 215 O 1 ADDR6 219 0 Z +bit 214 O 1 ADDR7 219 0 Z +bit 213 O 1 ADDR8 219 0 Z +bit 212 O 1 ABE_B3 219 0 Z +bit 211 O 1 ABE_B2 219 0 Z +bit 210 O 1 ABE_B1 219 0 Z +bit 209 O 1 ABE_B0 219 0 Z +bit 208 O 1 BGH_B +bit 207 O 1 BG_B +bit 206 I 1 BR_B +bit 205 O 1 SA10 194 0 Z +bit 204 O 1 SCLK1 194 0 Z +bit 203 O 1 SCLK0 194 0 Z +bit 202 O 1 SWE_B 194 0 Z +bit 201 O 1 SCAS_B 194 0 Z +bit 200 O 1 SCKE 194 0 Z +bit 199 O 1 SRAS_B 194 0 Z +bit 198 O 1 SMS_B3 194 0 Z +bit 197 O 1 SMS_B2 194 0 Z +bit 196 O 1 SMS_B1 194 0 Z +bit 195 O 1 SMS_B0 194 0 Z +bit 194 C 0 * +bit 193 I 1 ARDY +bit 192 O 1 ARE_B 189 0 Z +bit 191 O 1 AOE_B 189 0 Z +bit 190 O 1 AWE_B 189 0 Z +bit 189 C 0 * +bit 188 O 1 AMS_B0 189 0 Z +bit 187 O 1 AMS_B1 189 0 Z +bit 186 O 1 AMS_B2 189 0 Z +bit 185 O 1 AMS_B3 189 0 Z +bit 184 O 1 ADDR9 175 0 Z +bit 183 O 1 ADDR10 175 0 Z +bit 182 O 1 ADDR11 175 0 Z +bit 181 O 1 ADDR12 175 0 Z +bit 180 O 1 ADDR13 175 0 Z +bit 179 O 1 ADDR14 175 0 Z +bit 178 O 1 ADDR15 175 0 Z +bit 177 O 1 ADDR16 175 0 Z +bit 176 O 1 ADDR17 175 0 Z +bit 175 C 0 * +bit 174 O 1 ADDR18 175 0 Z +bit 173 O 1 ADDR19 175 0 Z +bit 172 O 1 ADDR20 175 0 Z +bit 171 O 1 ADDR21 175 0 Z +bit 170 O 1 ADDR22 175 0 Z +bit 169 O 1 ADDR23 175 0 Z +bit 168 O 1 ADDR24 175 0 Z +bit 167 O 1 ADDR25 175 0 Z +bit 166 I 1 PPI2_CLK +bit 165 I 1 PPI1_CLK +bit 164 C 0 * +bit 163 O 1 PPI1_SYNC3 164 0 Z +bit 162 I 1 PPI1_SYNC3 +bit 161 C 0 * +bit 160 O 1 PPI1_SYNC2 161 0 Z +bit 159 I 1 PPI1_SYNC2 +bit 158 C 0 * +bit 157 O 1 PPI1_SYNC1 158 0 Z +bit 156 I 1 PPI1_SYNC1 +bit 155 C 0 * +bit 154 O 1 PPI1_DATA15 155 0 Z +bit 153 I 1 PPI1_DATA15 +bit 152 C 0 * +bit 151 O 1 PPI1_DATA14 152 0 Z +bit 150 I 1 PPI1_DATA14 +bit 149 C 0 * +bit 148 O 1 PPI1_DATA13 149 0 Z +bit 147 I 1 PPI1_DATA13 +bit 146 C 0 * +bit 145 O 1 PPI1_DATA12 146 0 Z +bit 144 I 1 PPI1_DATA12 +bit 143 C 0 * +bit 142 O 1 PPI1_DATA11 143 0 Z +bit 141 I 1 PPI1_DATA11 +bit 140 C 0 * +bit 139 O 1 PPI1_DATA10 140 0 Z +bit 138 I 1 PPI1_DATA10 +bit 137 I 1 RESET_B +bit 136 I 1 BY_PASS +bit 135 C 0 * +bit 134 O 1 PPI1_DATA9 135 0 Z +bit 133 I 1 PPI1_DATA9 +bit 132 C 0 * +bit 131 O 1 PPI1_DATA8 132 0 Z +bit 130 I 1 PPI1_DATA8 +bit 129 C 0 * +bit 128 O 1 PPI1_DATA7 129 0 Z +bit 127 I 1 PPI1_DATA7 +bit 126 C 0 * +bit 125 O 1 PPI1_DATA6 126 0 Z +bit 124 I 1 PPI1_DATA6 +bit 123 C 0 * +bit 122 O 1 PPI1_DATA5 123 0 Z +bit 121 I 1 PPI1_DATA5 +bit 120 C 0 * +bit 119 O 1 PPI1_DATA4 120 0 Z +bit 118 I 1 PPI1_DATA4 +bit 117 C 0 * +bit 116 O 1 PPI1_DATA3 117 0 Z +bit 115 I 1 PPI1_DATA3 +bit 114 C 0 * +bit 113 O 1 PPI1_DATA2 114 0 Z +bit 112 I 1 PPI1_DATA2 +bit 111 C 0 * +bit 110 O 1 PPI1_DATA1 111 0 Z +bit 109 I 1 PPI1_DATA1 +bit 108 C 0 * +bit 107 O 1 PPI1_DATA0 108 0 Z +bit 106 I 1 PPI1_DATA0 +bit 105 C 0 * +bit 104 O 1 PPI2_SYNC3 105 0 Z +bit 103 I 1 PPI2_SYNC3 +bit 102 C 0 * +bit 101 O 1 PPI2_SYNC2 102 0 Z +bit 100 I 1 PPI2_SYNC2 +bit 99 C 0 * +bit 98 O 1 PPI2_SYNC1 99 0 Z +bit 97 I 1 PPI2_SYNC1 +bit 96 C 0 * +bit 95 O 1 PPI2_DATA15 96 0 Z +bit 94 I 1 PPI2_DATA15 +bit 93 C 0 * +bit 92 O 1 PPI2_DATA14 93 0 Z +bit 91 I 1 PPI2_DATA14 +bit 90 C 0 * +bit 89 O 1 PPI2_DATA13 90 0 Z +bit 88 I 1 PPI2_DATA13 +bit 87 C 0 * +bit 86 O 1 PPI2_DATA12 87 0 Z +bit 85 I 1 PPI2_DATA12 +bit 84 C 0 * +bit 83 O 1 PPI2_DATA11 84 0 Z +bit 82 I 1 PPI2_DATA11 +bit 81 C 0 * +bit 80 O 1 PPI2_DATA10 81 0 Z +bit 79 I 1 PPI2_DATA10 +bit 78 C 0 * +bit 77 O 1 PPI2_DATA9 78 0 Z +bit 76 I 1 PPI2_DATA9 +bit 75 C 0 * +bit 74 O 1 PPI2_DATA8 75 0 Z +bit 73 I 1 PPI2_DATA8 +bit 72 C 0 * +bit 71 O 1 PPI2_DATA7 72 0 Z +bit 70 I 1 PPI2_DATA7 +bit 69 C 0 * +bit 68 O 1 PPI2_DATA6 69 0 Z +bit 67 I 1 PPI2_DATA6 +bit 66 C 0 * +bit 65 O 1 PPI2_DATA5 66 0 Z +bit 64 I 1 PPI2_DATA5 +bit 63 C 0 * +bit 62 O 1 PPI2_DATA4 63 0 Z +bit 61 I 1 PPI2_DATA4 +bit 60 C 0 * +bit 59 O 1 PPI2_DATA3 60 0 Z +bit 58 I 1 PPI2_DATA3 +bit 57 C 0 * +bit 56 O 1 PPI2_DATA2 57 0 Z +bit 55 I 1 PPI2_DATA2 +bit 54 C 0 * +bit 53 O 1 PPI2_DATA1 54 0 Z +bit 52 I 1 PPI2_DATA1 +bit 51 C 0 * +bit 50 O 1 PPI2_DATA0 51 0 Z +bit 49 I 1 PPI2_DATA0 +bit 48 C 0 * +bit 47 O 1 PF0 48 0 Z +bit 46 I 1 PF0 +bit 45 C 0 * +bit 44 O 1 PF1 45 0 Z +bit 43 I 1 PF1 +bit 42 C 0 * +bit 41 O 1 PF2 42 0 Z +bit 40 I 1 PF2 +bit 39 C 0 * +bit 38 O 1 PF3 39 0 Z +bit 37 I 1 PF3 +bit 36 C 0 * +bit 35 O 1 PF4 36 0 Z +bit 34 I 1 PF4 +bit 33 C 0 * +bit 32 O 1 PF5 33 0 Z +bit 31 I 1 PF5 +bit 30 C 0 * +bit 29 O 1 PF6 30 0 Z +bit 28 I 1 PF6 +bit 27 C 0 * +bit 26 O 1 PF7 27 0 Z +bit 25 I 1 PF7 +bit 24 C 0 * +bit 23 O 1 PF8 24 0 Z +bit 22 I 1 PF8 +bit 21 C 0 * +bit 20 O 1 PF9 21 0 Z +bit 19 I 1 PF9 +bit 18 C 0 * +bit 17 O 1 PF10 18 0 Z +bit 16 I 1 PF10 +bit 15 C 0 * +bit 14 O 1 PF11 15 0 Z +bit 13 I 1 PF11 +bit 12 C 0 * +bit 11 O 1 PF12 12 0 Z +bit 10 I 1 PF12 +bit 9 C 0 * +bit 8 O 1 PF13 9 0 Z +bit 7 I 1 PF13 +bit 6 C 0 * +bit 5 O 1 PF14 6 0 Z +bit 4 I 1 PF14 +bit 3 C 0 * +bit 2 O 1 PF15 3 0 Z +bit 1 I 1 PF15 +bit 0 I 1 NMI_1 diff --git a/sie_fs/usr/local/share/urjtag/analog/sharc21065l/STEPPINGS b/sie_fs/usr/local/share/urjtag/analog/sharc21065l/STEPPINGS new file mode 100644 index 0000000..1ca4d48 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/sharc21065l/STEPPINGS @@ -0,0 +1,32 @@ +# +# $Id: STEPPINGS v 1.0 20/09/2006 $ +# +# Copyright (C) 2006 Kila Medical Systems. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Lesly A.M , 2006 +# +# Documentation: +# [1] Analog Devices Inc.,"ADSP-21065L SHARC Technical Reference", September 1998 +# +# +# bits 31-28 of the Device Identification Register +0000 sharc21065l 0 +0001 sharc21065l 1 +0010 sharc21065l 2 +0011 sharc21065l 3 + diff --git a/sie_fs/usr/local/share/urjtag/analog/sharc21065l/sharc21065l b/sie_fs/usr/local/share/urjtag/analog/sharc21065l/sharc21065l new file mode 100644 index 0000000..e509350 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/analog/sharc21065l/sharc21065l @@ -0,0 +1,515 @@ +# +# $Id: sharc21065l,v 1.0 20/09/2006 $ +# +# JTAG declarations for ADSP SHARC 21065L +# Copyright (C) 2006 Kila Medical Systems. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Lesly A.M , 2006 +# +# Documentation: +# [1] Analog Devices Inc.,"ADSP-21065L SHARC Technical Reference", September 1998 +# [2] Analog Devices Inc.,"BSDL Description for ADSP-21065L: MBGA Package", BSDL rev 1.1 +# http://www.analog.com/UploadedFiles/BSDL_Files/435954194035486111591903bsdl_21065l_bga.txt +# +# + + +signal ADDR0 +signal ADDR1 +signal ADDR2 +signal ADDR3 +signal ADDR4 +signal ADDR5 +signal ADDR6 +signal ADDR7 +signal ADDR8 +signal ADDR9 +signal ADDR10 +signal ADDR11 +signal ADDR12 +signal ADDR13 +signal ADDR14 +signal ADDR15 +signal ADDR16 +signal ADDR17 +signal ADDR18 +signal ADDR19 +signal ADDR20 +signal ADDR21 +signal ADDR22 +signal ADDR23 +signal DATA0 +signal DATA1 +signal DATA2 +signal DATA3 +signal DATA4 +signal DATA5 +signal DATA6 +signal DATA7 +signal DATA8 +signal DATA9 +signal DATA10 +signal DATA11 +signal DATA12 +signal DATA13 +signal DATA14 +signal DATA15 +signal DATA16 +signal DATA17 +signal DATA18 +signal DATA19 +signal DATA20 +signal DATA21 +signal DATA22 +signal DATA23 +signal DATA24 +signal DATA25 +signal DATA26 +signal DATA27 +signal DATA28 +signal DATA29 +signal DATA30 +signal DATA31 +signal MS0 +signal MS1 +signal MS2 +signal MS3 +signal RD_B +signal WR_B +signal SW_B +signal ACK +signal TS_B +signal IRQ0_B +signal IRQ1_B +signal IRQ2_B +signal FLAG0 +signal FLAG1 +signal FLAG2 +signal FLAG3 +signal FLAG4 +signal FLAG5 +signal FLAG6 +signal FLAG7 +signal FLAG8 +signal FLAG9 +signal FLAG10 +signal FLAG11 +signal HBR_B +signal HBG_B +signal CS_B +signal REDY +signal DMAR1_B +signal DMAR2_B +signal DMAG1_B +signal DMAG2_B +signal BR1 +signal BR2 +signal ID0 +signal ID1 +signal CPA_B +signal DT0A +signal DT0B +signal DR0A +signal DR0B +signal TCLK0 +signal RCLK0 +signal TFS0 +signal RFS0 +signal DT1A +signal DT1B +signal DR1A +signal DR1B +signal TCLK1 +signal RCLK1 +signal TFS1 +signal RFS1 +signal BSEL +signal BMS_B +signal CLKIN +signal RESET_B +signal TCK +signal TMS +signal TDI +signal TDO +signal TRST +signal EMU +signal BMSTR +signal SDWE_B +signal SDA10 +signal CAS_B +signal RAS_B +signal DQM +signal SDCKE +signal SDCLK0 +signal SDCLK1 +signal PWM_EVENT0 +signal PWM_EVENT1 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal VDD13 +signal VDD14 +signal VDD15 +signal VDD16 +signal VDD17 +signal VDD18 +signal VDD19 +signal VDD20 +signal VDD21 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 + +register BSR 285 +register BR 1 +register DIR 32 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 10000 BSR + +bit 284 O 1 EMU 278 0 Z +bit 283 I 1 ID0 +bit 282 I 1 ID1 +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 C 0 * +bit 277 C 0 * +bit 276 I 1 FLAG4 +bit 275 O 1 FLAG4 277 0 Z +bit 274 I 1 FLAG5 +bit 273 O 1 FLAG5 270 0 Z +bit 272 I 1 FLAG6 +bit 271 O 1 FLAG6 269 0 Z +bit 270 C 0 * +bit 269 C 0 * +bit 268 C 0 * +bit 267 I 1 FLAG7 +bit 266 O 1 FLAG7 268 0 Z +bit 265 I 1 DATA31 +bit 264 O 1 DATA31 243 0 Z +bit 263 I 1 DATA30 +bit 262 O 1 DATA30 243 0 Z +bit 261 I 1 DATA29 +bit 260 O 1 DATA29 243 0 Z +bit 259 I 1 DATA28 +bit 258 O 1 DATA28 243 0 Z +bit 257 I 1 DATA27 +bit 256 O 1 DATA27 243 0 Z +bit 255 I 1 DATA26 +bit 254 O 1 DATA26 243 0 Z +bit 253 I 1 DATA25 +bit 252 O 1 DATA25 243 0 Z +bit 251 I 1 DATA24 +bit 250 O 1 DATA24 243 0 Z +bit 249 I 1 DATA23 +bit 248 O 1 DATA23 243 0 Z +bit 247 I 1 DATA22 +bit 246 O 1 DATA22 243 0 Z +bit 245 I 1 DATA21 +bit 244 O 1 DATA21 243 0 Z +bit 243 C 0 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 DATA20 +bit 238 O 1 DATA20 243 0 Z +bit 237 I 1 DATA19 +bit 236 O 1 DATA19 243 0 Z +bit 235 I 1 DATA18 +bit 234 O 1 DATA18 243 0 Z +bit 233 I 1 DATA17 +bit 232 O 1 DATA17 243 0 Z +bit 231 I 1 DATA16 +bit 230 O 1 DATA16 243 0 Z +bit 229 I 1 DATA15 +bit 228 O 1 DATA15 243 0 Z +bit 227 I 1 DATA14 +bit 226 O 1 DATA14 243 0 Z +bit 225 O 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 I 1 DATA13 +bit 218 O 1 DATA13 209 0 Z +bit 217 I 1 DATA12 +bit 216 O 1 DATA12 209 0 Z +bit 215 I 1 DATA11 +bit 214 O 1 DATA11 209 0 Z +bit 213 I 1 DATA10 +bit 212 O 1 DATA10 209 0 Z +bit 211 I 1 DATA9 +bit 210 O 1 DATA9 209 0 Z +bit 209 C 0 * +bit 208 I 1 DATA8 +bit 207 O 1 DATA8 209 0 Z +bit 206 I 1 DATA7 +bit 205 O 1 DATA7 209 0 Z +bit 204 I 1 DATA6 +bit 203 O 1 DATA6 209 0 Z +bit 202 I 1 DATA5 +bit 201 O 1 DATA5 209 0 Z +bit 200 I 1 DATA4 +bit 199 O 1 DATA4 209 0 Z +bit 198 I 1 DATA3 +bit 197 O 1 DATA3 209 0 Z +bit 196 I 1 DATA2 +bit 195 O 1 DATA2 209 0 Z +bit 194 I 1 DATA1 +bit 193 O 1 DATA1 209 0 Z +bit 192 I 1 DATA0 +bit 191 O 1 DATA0 209 0 Z +bit 190 C 0 * +bit 189 I 1 FLAG8 +bit 188 O 1 FLAG8 190 0 Z +bit 187 I 1 FLAG9 +bit 186 O 1 FLAG9 183 0 Z +bit 185 I 1 FLAG10 +bit 184 O 1 FLAG10 182 0 Z +bit 183 C 0 * +bit 182 C 0 * +bit 181 C 0 * +bit 180 I 1 FLAG11 +bit 179 O 1 FLAG11 181 0 Z +bit 178 I 1 MS3 +bit 177 O 1 MS3 153 0 Z +bit 176 I 1 MS2 +bit 175 O 1 MS2 153 0 Z +bit 174 I 1 MS1 +bit 173 O 1 MS1 153 0 Z +bit 172 I 1 MS0 +bit 171 O 1 MS0 153 0 Z +bit 170 I 1 ACK +bit 169 O 1 ACK 167 0 Z +bit 168 O 1 * +bit 167 C 0 * +bit 166 I 1 CPA_B +bit 165 O 1 CPA_B 165 1 Z +bit 164 I 1 SW_B +bit 163 O 1 SW_B 153 0 Z +bit 162 O 1 REDY 161 0 Z +bit 161 C 0 * +bit 160 I 1 RD_B +bit 159 O 1 RD_B 153 0 Z +bit 158 I 1 WR_B +bit 157 O 1 WR_B 153 0 Z +bit 156 O 1 * +bit 155 I 1 TS_B +bit 154 I 1 CS_B +bit 153 C 0 * +bit 152 O 1 BMSTR +bit 151 I 1 HBG_B +bit 150 O 1 HBG_B 147 0 Z +bit 149 O 1 DMAG2_B 153 0 Z +bit 148 O 1 DMAG1_B 153 0 Z +bit 147 C 0 * +bit 146 O 1 SDA10 131 0 Z +bit 145 I 1 SDCKE +bit 144 O 1 SDCKE 131 0 Z +bit 143 O 1 DQM 131 0 Z +bit 142 I 1 SDWE_B +bit 141 O 1 SDWE_B 131 0 Z +bit 140 I 1 CAS_B +bit 139 O 1 CAS_B 131 0 Z +bit 138 I 1 RAS_B +bit 137 O 1 RAS_B 131 0 Z +bit 136 I 1 HBR_B +bit 135 I 1 DMAR2_B +bit 134 I 1 DMAR1_B +bit 133 I 1 SDCLK0 +bit 132 O 1 SDCLK0 131 0 Z +bit 131 C 0 * +bit 130 I 1 SDCLK1 +bit 129 O 1 SDCLK1 128 0 Z +bit 128 C 0 * +bit 127 I 1 CLKIN +bit 126 I 1 BR2 +bit 125 O 1 BR2 120 0 Z +bit 124 I 1 BR1 +bit 123 O 1 BR1 119 0 Z +bit 122 I 1 PWM_EVENT0 +bit 121 O 1 PWM_EVENT0 118 0 Z +bit 120 C 0 * +bit 119 C 0 * +bit 118 C 0 * +bit 117 C 0 * +bit 116 I 1 PWM_EVENT1 +bit 115 O 1 PWM_EVENT1 117 0 Z +bit 114 O 1 DT1B 112 0 Z +bit 113 O 1 DT1A 111 0 Z +bit 112 C 0 * +bit 111 C 0 * +bit 110 C 0 * +bit 109 I 1 TCLK1 +bit 108 O 1 TCLK1 110 0 Z +bit 107 I 1 TFS1 +bit 106 O 1 TFS1 101 0 Z +bit 105 I 1 DR1B +bit 104 I 1 DR1A +bit 103 I 1 RCLK1 +bit 102 O 1 RCLK1 100 0 Z +bit 101 C 0 * +bit 100 C 0 * +bit 99 C 0 * +bit 98 I 1 RFS1 +bit 97 O 1 RFS1 99 0 Z +bit 96 O 1 DT0B 94 0 Z +bit 95 O 1 DT0A 93 0 Z +bit 94 C 0 * +bit 93 C 0 * +bit 92 C 0 * +bit 91 I 1 TCLK0 +bit 90 O 1 TCLK0 92 0 Z +bit 89 I 1 TFS0 +bit 88 O 1 TFS0 83 0 Z +bit 87 I 1 DR0B +bit 86 I 1 DR0A +bit 85 I 1 RCLK0 +bit 84 O 1 RCLK0 82 0 Z +bit 83 C 0 * +bit 82 C 0 * +bit 81 C 0 * +bit 80 I 1 RFS0 +bit 79 O 1 RFS0 81 0 Z +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 I 1 IRQ2_B +bit 74 I 1 IRQ1_B +bit 73 I 1 IRQ0_B +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 I 1 FLAG3 +bit 65 O 1 FLAG3 64 0 Z +bit 64 C 0 * +bit 63 C 0 * +bit 62 I 1 FLAG2 +bit 61 O 1 FLAG2 63 0 Z +bit 60 I 1 FLAG1 +bit 59 O 1 FLAG1 56 0 Z +bit 58 I 1 FLAG0 +bit 57 O 1 FLAG0 55 0 Z +bit 56 C 0 * +bit 55 C 0 * +bit 54 I 1 ADDR0 +bit 53 O 1 ADDR0 36 0 Z +bit 52 I 1 ADDR1 +bit 51 O 1 ADDR1 36 0 Z +bit 50 I 1 ADDR2 +bit 49 O 1 ADDR2 36 0 Z +bit 48 I 1 ADDR3 +bit 47 O 1 ADDR3 36 0 Z +bit 46 I 1 ADDR4 +bit 45 O 1 ADDR4 36 0 Z +bit 44 I 1 ADDR5 +bit 43 O 1 ADDR5 36 0 Z +bit 42 I 1 ADDR6 +bit 41 O 1 ADDR6 36 0 Z +bit 40 I 1 ADDR7 +bit 39 O 1 ADDR7 36 0 Z +bit 38 I 1 ADDR8 +bit 37 O 1 ADDR8 36 0 Z +bit 36 C 0 * +bit 35 I 1 ADDR9 +bit 34 O 1 ADDR9 36 0 Z +bit 33 I 1 ADDR10 +bit 32 O 1 ADDR10 36 0 Z +bit 31 I 1 ADDR11 +bit 30 O 1 ADDR11 36 0 Z +bit 29 I 1 ADDR12 +bit 28 O 1 ADDR12 36 0 Z +bit 27 I 1 ADDR13 +bit 26 O 1 ADDR13 36 0 Z +bit 25 I 1 ADDR14 +bit 24 O 1 ADDR14 36 0 Z +bit 23 I 1 ADDR15 +bit 22 O 1 ADDR15 36 0 Z +bit 21 I 1 ADDR16 +bit 20 O 1 ADDR16 36 0 Z +bit 19 I 1 ADDR17 +bit 18 O 1 ADDR17 36 0 Z +bit 17 I 1 ADDR18 +bit 16 O 1 ADDR18 36 0 Z +bit 15 I 1 ADDR19 +bit 14 O 1 ADDR19 36 0 Z +bit 13 I 1 ADDR20 +bit 12 O 1 ADDR20 36 0 Z +bit 11 I 1 ADDR21 +bit 10 O 1 ADDR21 36 0 Z +bit 9 I 1 ADDR22 +bit 8 O 1 ADDR22 36 0 Z +bit 7 I 1 ADDR23 +bit 6 O 1 ADDR23 36 0 Z +bit 5 I 1 RESET_B +bit 4 C 0 * +bit 3 O 1 * +bit 2 I 1 BMS_B +bit 1 O 1 BMS_B 4 0 Z +bit 0 I 1 BSEL + +initbus SHARC_21065L diff --git a/sie_fs/usr/local/share/urjtag/atheros/ar2312/ar2312 b/sie_fs/usr/local/share/urjtag/atheros/ar2312/ar2312 new file mode 100644 index 0000000..8b3461c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atheros/ar2312/ar2312 @@ -0,0 +1,50 @@ +# +# $Id$ +# +# JTAG declarations for Atheros AR2312 +# Copyright (C) 2005 Marek Michalkiewicz +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marek Michalkiewicz , 2005. +# + +register BR 1 +register BSR 1 +register DIR 32 +register EJIMPCODE 32 +register EJADDRESS 32 +register EJDATA 32 +register EJCONTROL 32 +register EJALL 96 +register EJFASTDATA 33 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction SAMPLE/PRELOAD 00010 BSR +instruction IDCODE 00001 DIR +instruction EJTAG_IMPCODE 00011 EJIMPCODE +instruction EJTAG_ADDRESS 01000 EJADDRESS +instruction EJTAG_DATA 01001 EJDATA +instruction EJTAG_CONTROL 01010 EJCONTROL +instruction EJTAG_ALL 01011 EJALL +instruction EJTAGBOOT 01100 BR +instruction NORMALBOOT 01101 BR +instruction EJTAG_FASTDATA 01110 EJFASTDATA + +initbus ejtag +endian big diff --git a/sie_fs/usr/local/share/urjtag/atmel/PARTS b/sie_fs/usr/local/share/urjtag/atmel/PARTS new file mode 100644 index 0000000..43152a0 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/PARTS @@ -0,0 +1,41 @@ +# +# $Id: PARTS 719 2007-11-07 08:10:32Z kawk $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# Modified by Stefan Pledl , 2007. +# +# Documentation: +# [1] Atmel Corporation, "ATmega128(L) - 8-bit AVR Microcontroller with +# 128K Bytes In-System Programmable Flash", 2002, Rev. 2467E-AVR-05/02 +# +# [2] Atmel Corporation, 1504BSDL.ZIP - BSDL files for ATF1504AS/ASL and +# ATF1504ASV/ASVL +# + +# bits 27-12 of the Device Identification Register +1001011100000010 atmega128 ATmega128 # see Table 100 in [1] +0101101100000110 at91sam7s64 AT91SAM7S64 +0101101100000111 at91sam7s32 AT91SAM7S32 +0101101100001001 at91sam7s256 AT91SAM7S256 +0101101100001010 at91sam7s128 AT91SAM7S128 +0101101100001100 at91sam7s321 AT91SAM7S321 +0001010100010100 atf15xx ATF1504ASV # see 1504ASV_J44.bsd + # in [2] + diff --git a/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/STEPPINGS b/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/STEPPINGS new file mode 100644 index 0000000..4a2f52e --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/STEPPINGS @@ -0,0 +1,30 @@ +# +# $Id: STEPPINGS,v 1.1 2002/08/23 14:08:33 telka Exp $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Atmel Corporation, "ATmega128(L) - 8-bit AVR Microcontroller with +# 128K Bytes In-System Programmable Flash", 2002, Rev. 2467E-AVR-05/02 +# + +# bits 31-28 of the Device Identification Register +# see Table 99 in [1] +0000 at91sam7s_tq64v0 0 diff --git a/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/at91sam7s_tq48v0 b/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/at91sam7s_tq48v0 new file mode 100644 index 0000000..64334ae --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/at91sam7s_tq48v0 @@ -0,0 +1,138 @@ +signal erase +signal icetck +signal icetdi +signal icetms +signal jtagsel +signal selv32 +signal test +signal nrst +signal pa0 +signal pa1 +signal pa10 +signal pa11 +signal pa12 +signal pa13 +signal pa14 +signal pa15 +signal pa16 +signal pa17 +signal pa18 +signal pa19 +signal pa2 +signal pa20 +signal pa3 +signal pa4 +signal pa5 +signal pa6 +signal pa7 +signal pa8 +signal pa9 + +register BSR 97 +register BR 1 +register DIR 32 + +instruction length 3 + +instruction BYPASS 111 BR +instruction EXTEST 000 BSR +instruction SAMPLE/PRELOAD 001 BSR +instruction IDCODE 010 DIR + +bit 96 I 1 pa17 +bit 95 O 1 pa17 94 1 Z +bit 94 C 1 * +bit 93 I 1 pa18 +bit 92 O 1 pa18 91 1 Z +bit 91 C 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 I 1 pa19 +bit 86 O 1 pa19 85 1 Z +bit 85 C 1 * +bit 84 I 1 pa20 +bit 83 O 1 pa20 82 1 Z +bit 82 C 1 * +bit 81 I 1 pa16 +bit 80 O 1 pa16 79 1 Z +bit 79 C 1 * +bit 78 I 1 pa15 +bit 77 O 1 pa15 76 1 Z +bit 76 C 1 * +bit 75 I 1 pa14 +bit 74 O 1 pa14 73 1 Z +bit 73 C 1 * +bit 72 I 1 pa13 +bit 71 O 1 pa13 70 1 Z +bit 70 C 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 I 1 pa12 +bit 59 O 1 pa12 58 1 Z +bit 58 C 1 * +bit 57 I 1 pa11 +bit 56 O 1 pa11 55 1 Z +bit 55 C 1 * +bit 54 I 1 pa10 +bit 53 O 1 pa10 52 1 Z +bit 52 C 1 * +bit 51 I 1 pa9 +bit 50 O 1 pa9 49 1 Z +bit 49 C 1 * +bit 48 I 1 pa8 +bit 47 O 1 pa8 46 1 Z +bit 46 C 1 * +bit 45 I 1 pa7 +bit 44 O 1 pa7 43 1 Z +bit 43 C 1 * +bit 42 I 1 pa6 +bit 41 O 1 pa6 40 1 Z +bit 40 C 1 * +bit 39 I 1 pa5 +bit 38 O 1 pa5 37 1 Z +bit 37 C 1 * +bit 36 I 1 pa4 +bit 35 O 1 pa4 34 1 Z +bit 34 C 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 I 1 pa3 +bit 20 O 1 pa3 19 1 Z +bit 19 C 1 * +bit 18 I 1 pa2 +bit 17 O 1 pa2 16 1 Z +bit 16 C 1 * +bit 15 I 1 pa1 +bit 14 O 1 pa1 13 1 Z +bit 13 C 1 * +bit 12 I 1 pa0 +bit 11 O 1 pa0 10 1 Z +bit 10 C 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 O 1 * +bit 4 O 1 * +bit 3 O 1 * +bit 2 O 1 * +bit 1 O 1 * +bit 0 I 1 erase diff --git a/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/at91sam7s_tq64v0 b/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/at91sam7s_tq64v0 new file mode 100644 index 0000000..e010fbe --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/at91sam7s256/at91sam7s_tq64v0 @@ -0,0 +1,138 @@ +signal erase 55 +signal icetck 53 +signal icetdi 33 +signal icetms 51 +signal jtagsel 50 +signal selv32 61 +signal test 40 +signal nrst 39 +signal pa0 48 +signal pa1 47 +signal pa10 29 +signal pa11 28 +signal pa12 27 +signal pa13 22 +signal pa14 21 +signal pa15 20 +signal pa16 19 +signal pa17 9 +signal pa18 10 +signal pa19 13 +signal pa2 44 +signal pa20 16 +signal pa3 43 +signal pa4 36 +signal pa5 35 +signal pa6 34 +signal pa7 32 +signal pa8 31 +signal pa9 30 + +register BSR 97 +register BR 1 +register DIR 32 + +instruction length 3 + +instruction BYPASS 111 BR +instruction EXTEST 000 BSR +instruction SAMPLE/PRELOAD 001 BSR +instruction IDCODE 010 DIR + +bit 96 I 1 pa17 +bit 95 O 1 pa17 94 1 Z +bit 94 C 1 * +bit 93 I 1 pa18 +bit 92 O 1 pa18 91 1 Z +bit 91 C 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 I 1 pa19 +bit 86 O 1 pa19 85 1 Z +bit 85 C 1 * +bit 84 I 1 pa20 +bit 83 O 1 pa20 82 1 Z +bit 82 C 1 * +bit 81 I 1 pa16 +bit 80 O 1 pa16 79 1 Z +bit 79 C 1 * +bit 78 I 1 pa15 +bit 77 O 1 pa15 76 1 Z +bit 76 C 1 * +bit 75 I 1 pa14 +bit 74 O 1 pa14 73 1 Z +bit 73 C 1 * +bit 72 I 1 pa13 +bit 71 O 1 pa13 70 1 Z +bit 70 C 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 I 1 pa12 +bit 59 O 1 pa12 58 1 Z +bit 58 C 1 * +bit 57 I 1 pa11 +bit 56 O 1 pa11 55 1 Z +bit 55 C 1 * +bit 54 I 1 pa10 +bit 53 O 1 pa10 52 1 Z +bit 52 C 1 * +bit 51 I 1 pa9 +bit 50 O 1 pa9 49 1 Z +bit 49 C 1 * +bit 48 I 1 pa8 +bit 47 O 1 pa8 46 1 Z +bit 46 C 1 * +bit 45 I 1 pa7 +bit 44 O 1 pa7 43 1 Z +bit 43 C 1 * +bit 42 I 1 pa6 +bit 41 O 1 pa6 40 1 Z +bit 40 C 1 * +bit 39 I 1 pa5 +bit 38 O 1 pa5 37 1 Z +bit 37 C 1 * +bit 36 I 1 pa4 +bit 35 O 1 pa4 34 1 Z +bit 34 C 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 I 1 pa3 +bit 20 O 1 pa3 19 1 Z +bit 19 C 1 * +bit 18 I 1 pa2 +bit 17 O 1 pa2 16 1 Z +bit 16 C 1 * +bit 15 I 1 pa1 +bit 14 O 1 pa1 13 1 Z +bit 13 C 1 * +bit 12 I 1 pa0 +bit 11 O 1 pa0 10 1 Z +bit 10 C 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 O 1 * +bit 4 O 1 * +bit 3 O 1 * +bit 2 O 1 * +bit 1 O 1 * +bit 0 I 1 erase diff --git a/sie_fs/usr/local/share/urjtag/atmel/atf15xx/STEPPINGS b/sie_fs/usr/local/share/urjtag/atmel/atf15xx/STEPPINGS new file mode 100644 index 0000000..5e9859a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/atf15xx/STEPPINGS @@ -0,0 +1,30 @@ +# +# $Id: STEPPINGS 20 2005-05-24 02:39:42Z philwil $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# Modified by Stefan Pledl , 2007 +# +# Documentation: +# [1] Atmel Corporation, 1504BSDL.ZIP - BSDL files for ATF1504AS/ASL and +# ATF1504ASV/ASVL +# + +# bits 31-28 of the Device Identification Register +0000 atf1504asv A diff --git a/sie_fs/usr/local/share/urjtag/atmel/atf15xx/atf1504asv b/sie_fs/usr/local/share/urjtag/atmel/atf15xx/atf1504asv new file mode 100644 index 0000000..50bfb73 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/atf15xx/atf1504asv @@ -0,0 +1,275 @@ +# +# $Id: STEPPINGS 20 2005-05-24 02:39:42Z philwil $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Generated by Stefan Pledl , 2007 +# with bsdl2jtag +# +# Documentation: +# [1] Atmel Corporation, 1504BSDL.ZIP - BSDL files for ATF1504AS/ASL and +# ATF1504ASV/ASVL +# + +signal IO4 +signal IO5 +signal IO6 +signal IO8 +signal IO9 +signal IO11 +signal IO12 +signal IO14 +signal IO16 +signal IO17 +signal IO18 +signal IO19 +signal IO20 +signal IO21 +signal IO24 +signal IO25 +signal IO26 +signal IO27 +signal IO28 +signal IO29 +signal IO31 +signal IO33 +signal IO34 +signal IO36 +signal IO37 +signal IO39 +signal IO40 +signal IO41 +signal TCK +signal TDI +signal TDO +signal TMS +signal DIN1 +signal DIN2 +signal DIN3 +signal DIN4 +signal VCC1 +signal VCC2 +signal VCC3 +signal VCC4 +signal GND1 +signal GND2 +signal GND3 + +register BSR 192 +register BR 1 +register DIR 32 + +instruction length 10 + +instruction EXTEST 0000000000 BSR +instruction BYPASS 1111111111 BR +instruction SAMPLE/PRELOAD 0001010101 BSR +instruction IDCODE 0001011001 DIR + +bit 191 O 1 IO4 190 0 Z +bit 190 C 0 * +bit 189 O 1 * +bit 188 O 0 * +bit 187 O 1 IO5 186 0 Z +bit 186 C 0 * +bit 185 O 1 * +bit 184 O 0 * +bit 183 O 1 * +bit 182 O 0 * +bit 181 O 1 IO6 180 0 Z +bit 180 C 0 * +bit 179 O 1 * +bit 178 O 0 * +bit 177 O 1 * +bit 176 O 0 * +bit 175 O 1 * +bit 174 O 0 * +bit 173 O 1 * +bit 172 O 0 * +bit 171 O 1 * +bit 170 O 0 * +bit 169 O 1 IO8 168 0 Z +bit 168 C 0 * +bit 167 O 1 IO9 166 0 Z +bit 166 C 0 * +bit 165 O 1 IO11 164 0 Z +bit 164 C 0 * +bit 163 O 1 * +bit 162 O 0 * +bit 161 O 1 IO12 160 0 Z +bit 160 C 0 * +bit 159 O 1 * +bit 158 O 0 * +bit 157 O 1 * +bit 156 O 0 * +bit 155 O 1 IO14 154 0 Z +bit 154 C 0 * +bit 153 O 1 * +bit 152 O 0 * +bit 151 O 1 * +bit 150 O 0 * +bit 149 O 1 * +bit 148 O 0 * +bit 147 O 1 * +bit 146 O 0 * +bit 145 O 1 IO16 144 0 Z +bit 144 C 0 * +bit 143 O 1 IO17 142 0 Z +bit 142 C 0 * +bit 141 O 1 * +bit 140 O 0 * +bit 139 O 1 * +bit 138 O 0 * +bit 137 O 1 IO18 136 0 Z +bit 136 C 0 * +bit 135 O 1 IO19 134 0 Z +bit 134 C 0 * +bit 133 O 1 IO20 132 0 Z +bit 132 C 0 * +bit 131 O 1 * +bit 130 O 0 * +bit 129 O 1 IO21 128 0 Z +bit 128 C 0 * +bit 127 O 1 IO24 126 0 Z +bit 126 C 0 * +bit 125 O 1 * +bit 124 O 0 * +bit 123 O 1 IO25 122 0 Z +bit 122 C 0 * +bit 121 O 1 IO26 120 0 Z +bit 120 C 0 * +bit 119 O 1 IO27 118 0 Z +bit 118 C 0 * +bit 117 O 1 * +bit 116 O 0 * +bit 115 O 1 * +bit 114 O 0 * +bit 113 O 1 IO28 112 0 Z +bit 112 C 0 * +bit 111 O 1 IO29 110 0 Z +bit 110 C 0 * +bit 109 O 1 * +bit 108 O 0 * +bit 107 O 1 * +bit 106 O 0 * +bit 105 O 1 * +bit 104 O 0 * +bit 103 O 1 * +bit 102 O 0 * +bit 101 O 1 IO31 100 0 Z +bit 100 C 0 * +bit 99 O 1 * +bit 98 O 0 * +bit 97 O 1 * +bit 96 O 0 * +bit 95 O 1 IO33 94 0 Z +bit 94 C 0 * +bit 93 O 1 * +bit 92 O 0 * +bit 91 O 1 IO34 90 0 Z +bit 90 C 0 * +bit 89 O 1 IO36 88 0 Z +bit 88 C 0 * +bit 87 O 1 IO37 86 0 Z +bit 86 C 0 * +bit 85 O 1 * +bit 84 O 0 * +bit 83 O 1 * +bit 82 O 0 * +bit 81 O 1 * +bit 80 O 0 * +bit 79 O 1 IO39 78 0 Z +bit 78 C 0 * +bit 77 O 1 * +bit 76 O 0 * +bit 75 O 1 * +bit 74 O 0 * +bit 73 O 1 * +bit 72 O 0 * +bit 71 O 1 * +bit 70 O 0 * +bit 69 O 1 IO40 68 0 Z +bit 68 C 0 * +bit 67 O 1 * +bit 66 O 0 * +bit 65 O 1 IO41 64 0 Z +bit 64 C 0 * +bit 63 I 1 DIN1 +bit 62 I 1 DIN2 +bit 61 I 1 IO4 +bit 60 O 1 * +bit 59 I 1 IO5 +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO6 +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 I 1 IO8 +bit 50 I 1 IO9 +bit 49 I 1 IO11 +bit 48 O 1 * +bit 47 I 1 IO12 +bit 46 O 1 * +bit 45 I 1 IO14 +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 I 1 IO16 +bit 39 I 1 IO17 +bit 38 O 1 * +bit 37 O 1 * +bit 36 I 1 IO18 +bit 35 I 1 IO19 +bit 34 I 1 IO20 +bit 33 O 1 * +bit 32 I 1 IO21 +bit 31 I 1 IO24 +bit 30 O 1 * +bit 29 I 1 IO25 +bit 28 I 1 IO26 +bit 27 I 1 IO27 +bit 26 O 1 * +bit 25 O 1 * +bit 24 I 1 IO28 +bit 23 I 1 IO29 +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 I 1 IO31 +bit 17 O 1 * +bit 16 I 1 IO33 +bit 15 O 1 * +bit 14 I 1 IO34 +bit 13 I 1 IO36 +bit 12 I 1 IO37 +bit 11 O 1 * +bit 10 O 1 * +bit 9 I 1 IO39 +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 O 1 * +bit 4 I 1 IO40 +bit 3 O 1 * +bit 2 I 1 IO41 +bit 1 I 1 DIN3 +bit 0 I 1 DIN4 diff --git a/sie_fs/usr/local/share/urjtag/atmel/atmega128/STEPPINGS b/sie_fs/usr/local/share/urjtag/atmel/atmega128/STEPPINGS new file mode 100644 index 0000000..d5f4560 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/atmega128/STEPPINGS @@ -0,0 +1,32 @@ +# +# $Id: STEPPINGS 103 2002-08-23 14:08:33Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Atmel Corporation, "ATmega128(L) - 8-bit AVR Microcontroller with +# 128K Bytes In-System Programmable Flash", 2002, Rev. 2467E-AVR-05/02 +# + +# bits 31-28 of the Device Identification Register +# see Table 99 in [1] +0011 atmega128 C +0101 atmega128 F +0110 atmega128 G diff --git a/sie_fs/usr/local/share/urjtag/atmel/atmega128/atmega128 b/sie_fs/usr/local/share/urjtag/atmel/atmega128/atmega128 new file mode 100644 index 0000000..24bad76 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/atmel/atmega128/atmega128 @@ -0,0 +1,59 @@ +# +# $Id: atmega128 244 2002-10-31 19:44:58Z telka $ +# +# JTAG declarations for ATmega128 +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Atmel Corporation, "ATmega128(L) - 8-bit AVR Microcontroller with +# 128K Bytes In-System Programmable Flash", 2002, Rev. 2467E-AVR-05/02 +# + +# mandatory data registers +register BSR 205 # see Table 106 in [1] +register BR 1 +# optional data registers +register DIR 32 +# user-defined registers +register RR 1 # Reset Register +register PER 16 # Programming Enable Register +register PCR 15 # Programming Command Register +register VFPLR 2048 # Virtual Flash Page Load Register +register VFPRR 2056 # Virtual Flash Page Read Register + +# see page 250 in [1] +instruction length 4 +# mandatory instructions +instruction EXTEST 0000 BSR # see page 250 in [1] +instruction SAMPLE/PRELOAD 0010 BSR # see page 251 in [1] +instruction BYPASS 1111 BR # see page 251 in [1] +# optional instructions +instruction IDCODE 0001 DIR # see page 250 in [1] +# user-defined instructions +instruction AVR_RESET 1100 RR # see page 251 and page 303 in [1] +# unknown data registers for PRIVATE? instructions +#instruction PRIVATE0 1000 # see page 246 in [1] +#instruction PRIVATE1 1001 # see page 246 in [1] +#instruction PRIVATE2 1010 # see page 246 in [1] +#instruction PRIVATE3 1011 # see page 246 in [1] +instruction PROG_ENABLE 0100 PER # see page 303 in [1] +instruction PROG_COMMANDS 0101 PCR # see page 304 in [1] +instruction PROG_PAGELOAD 0110 VFPLR # see page 304 in [1] +instruction PROG_PAGEREAD 0111 VFPRR # see page 304 in [1] diff --git a/sie_fs/usr/local/share/urjtag/brecis/PARTS b/sie_fs/usr/local/share/urjtag/brecis/PARTS new file mode 100644 index 0000000..cd16ac0 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/brecis/PARTS @@ -0,0 +1,23 @@ +# +# $Id$ +# +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# + +# bits 27-12 of the Device Identification Register +0000001000000010 msp2006 MSP2006 diff --git a/sie_fs/usr/local/share/urjtag/brecis/msp2006/STEPPINGS b/sie_fs/usr/local/share/urjtag/brecis/msp2006/STEPPINGS new file mode 100644 index 0000000..a4848c7 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/brecis/msp2006/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id$ +# +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# + +# bits 31-28 of the Device Identification Register +0001 msp2006 1 diff --git a/sie_fs/usr/local/share/urjtag/brecis/msp2006/msp2006 b/sie_fs/usr/local/share/urjtag/brecis/msp2006/msp2006 new file mode 100644 index 0000000..9a5ca06 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/brecis/msp2006/msp2006 @@ -0,0 +1,29 @@ +# +# $Id$ + +register BR 1 +register BSR 1 +register DIR 32 +register EJIMPCODE 32 +register EJADDRESS 32 +register EJDATA 32 +register EJCONTROL 32 +register EJALL 96 +register EJFASTDATA 33 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction SAMPLE/PRELOAD 00010 BSR +instruction IDCODE 00001 DIR +instruction EJTAG_IMPCODE 00011 EJIMPCODE +instruction EJTAG_ADDRESS 01000 EJADDRESS +instruction EJTAG_DATA 01001 EJDATA +instruction EJTAG_CONTROL 01010 EJCONTROL +instruction EJTAG_ALL 01011 EJALL +instruction EJTAGBOOT 01100 BR +instruction NORMALBOOT 01101 BR +instruction EJTAG_FASTDATA 01110 EJFASTDATA + +initbus ejtag +endian big diff --git a/sie_fs/usr/local/share/urjtag/broadcom/PARTS b/sie_fs/usr/local/share/urjtag/broadcom/PARTS new file mode 100644 index 0000000..bf631db --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/PARTS @@ -0,0 +1,28 @@ +# +# $Id: PARTS 599 2004-06-13 18:16:43Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +# bits 27-12 of the Device Identification Register +0001001001010000 bcm1250 BCM1250 +0011001100010000 bcm3310 BCM3310 +0101010000100001 bcm5421s BCM5421S +0100011100010010 bcm4712 BCM4712 diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm1250/STEPPINGS b/sie_fs/usr/local/share/urjtag/broadcom/bcm1250/STEPPINGS new file mode 100644 index 0000000..634a1f7 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm1250/STEPPINGS @@ -0,0 +1,26 @@ +# +# $Id: STEPPINGS 380 2003-02-25 08:26:15Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +# bits 31-28 of the Device Identification Register +0011 bcm1250 Ver 3 +1011 bcm1250 Ver 11 diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm1250/bcm1250 b/sie_fs/usr/local/share/urjtag/broadcom/bcm1250/bcm1250 new file mode 100644 index 0000000..5b0b804 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm1250/bcm1250 @@ -0,0 +1,2342 @@ +# +# $Id: bcm1250 558 2003-09-05 21:09:14Z telka $ +# +# JTAG declarations for BCM1250 +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +signal TRST_L +signal TMS +signal TDO +signal TDI +signal TCK +signal SPARE2 +signal SPARE3 +signal SPARE4 +signal SPARE5 +signal SDA0 +signal SDA1 +signal SCL0 +signal SCL1 +signal S1_TIN +signal S1_RTS_TSTROBE +signal S1_RIN +signal S1_DOUT +signal S1_DIN +signal S1_CTS_TCLKIN +signal S1_COUT +signal S1_CIN_RCLKIN +signal S0_TIN +signal S0_RTS_TSTROBE +signal S0_RIN +signal S0_DOUT +signal S0_DIN +signal S0_CTS_TCLKIN +signal S0_COUT +signal S0_CIN_RCLKIN +signal RESET_L +signal RESETOUT_L +signal REFCLK2 +signal REFCLK01 +signal P_TRDY_L +signal P_STOP_L +signal P_SERR_L +signal P_RST_L +signal P_REQ_l0 +signal P_REQ_L1 +signal P_REQ_L2 +signal P_REQ_L3 +signal P_PERR_L +signal P_PAR +signal P_IRDY_L +signal P_INTD_L +signal P_INTC_L +signal P_INTB_L +signal P_INTA_L +signal P_GNT_l0 +signal P_GNT_L1 +signal P_GNT_L2 +signal P_GNT_L3 +signal P_FRAME_L +signal P_DEVSEL_L +signal P_CLK +signal P_CBE_L0 +signal P_CBE_L1 +signal P_CBE_L2 +signal P_CBE_L3 +signal P_AD0 +signal P_AD1 +signal P_AD2 +signal P_AD3 +signal P_AD4 +signal P_AD5 +signal P_AD6 +signal P_AD7 +signal P_AD8 +signal P_AD9 +signal P_AD10 +signal P_AD11 +signal P_AD12 +signal P_AD13 +signal P_AD14 +signal P_AD15 +signal P_AD16 +signal P_AD17 +signal P_AD18 +signal P_AD19 +signal P_AD20 +signal P_AD21 +signal P_AD22 +signal P_AD23 +signal P_AD24 +signal P_AD25 +signal P_AD26 +signal P_AD27 +signal P_AD28 +signal P_AD29 +signal P_AD30 +signal P_AD31 +signal PLLBYP +signal PC_ENVPP +signal PC_ENV5V +signal PC_ENV3V +signal M1_WE_L +signal M1_RAS_L +signal M1_ECC0 +signal M1_ECC1 +signal M1_ECC2 +signal M1_ECC3 +signal M1_ECC4 +signal M1_ECC5 +signal M1_ECC6 +signal M1_ECC7 +signal M1_DQS0 +signal M1_DQS1 +signal M1_DQS2 +signal M1_DQS3 +signal M1_DQS4 +signal M1_DQS5 +signal M1_DQS6 +signal M1_DQS7 +signal M1_DQS8 +signal M1_DQ0 +signal M1_DQ1 +signal M1_DQ2 +signal M1_DQ3 +signal M1_DQ4 +signal M1_DQ5 +signal M1_DQ6 +signal M1_DQ7 +signal M1_DQ8 +signal M1_DQ9 +signal M1_DQ10 +signal M1_DQ11 +signal M1_DQ12 +signal M1_DQ13 +signal M1_DQ14 +signal M1_DQ15 +signal M1_DQ16 +signal M1_DQ17 +signal M1_DQ18 +signal M1_DQ19 +signal M1_DQ20 +signal M1_DQ21 +signal M1_DQ22 +signal M1_DQ23 +signal M1_DQ24 +signal M1_DQ25 +signal M1_DQ26 +signal M1_DQ27 +signal M1_DQ28 +signal M1_DQ29 +signal M1_DQ30 +signal M1_DQ31 +signal M1_DQ32 +signal M1_DQ33 +signal M1_DQ34 +signal M1_DQ35 +signal M1_DQ36 +signal M1_DQ37 +signal M1_DQ38 +signal M1_DQ39 +signal M1_DQ40 +signal M1_DQ41 +signal M1_DQ42 +signal M1_DQ43 +signal M1_DQ44 +signal M1_DQ45 +signal M1_DQ46 +signal M1_DQ47 +signal M1_DQ48 +signal M1_DQ49 +signal M1_DQ50 +signal M1_DQ51 +signal M1_DQ52 +signal M1_DQ53 +signal M1_DQ54 +signal M1_DQ55 +signal M1_DQ56 +signal M1_DQ57 +signal M1_DQ58 +signal M1_DQ59 +signal M1_DQ60 +signal M1_DQ61 +signal M1_DQ62 +signal M1_DQ63 +signal M1_CS_L0 +signal M1_CS_L1 +signal M1_CS_L2 +signal M1_CS_L3 +signal M1_CLK_L +signal M1_CLK +signal M1_CKE +signal M1_CAS_L +signal M1_BA0 +signal M1_BA1 +signal M1_A0 +signal M1_A1 +signal M1_A2 +signal M1_A3 +signal M1_A4 +signal M1_A5 +signal M1_A6 +signal M1_A7 +signal M1_A8 +signal M1_A9 +signal M1_A10 +signal M1_A11 +signal M1_A12 +signal M0_WE_L +signal M0_RAS_L +signal M0_ECC0 +signal M0_ECC1 +signal M0_ECC2 +signal M0_ECC3 +signal M0_ECC4 +signal M0_ECC5 +signal M0_ECC6 +signal M0_ECC7 +signal M0_DQS0 +signal M0_DQS1 +signal M0_DQS2 +signal M0_DQS3 +signal M0_DQS4 +signal M0_DQS5 +signal M0_DQS6 +signal M0_DQS7 +signal M0_DQS8 +signal M0_DQ0 +signal M0_DQ1 +signal M0_DQ2 +signal M0_DQ3 +signal M0_DQ4 +signal M0_DQ5 +signal M0_DQ6 +signal M0_DQ7 +signal M0_DQ8 +signal M0_DQ9 +signal M0_DQ10 +signal M0_DQ11 +signal M0_DQ12 +signal M0_DQ13 +signal M0_DQ14 +signal M0_DQ15 +signal M0_DQ16 +signal M0_DQ17 +signal M0_DQ18 +signal M0_DQ19 +signal M0_DQ20 +signal M0_DQ21 +signal M0_DQ22 +signal M0_DQ23 +signal M0_DQ24 +signal M0_DQ25 +signal M0_DQ26 +signal M0_DQ27 +signal M0_DQ28 +signal M0_DQ29 +signal M0_DQ30 +signal M0_DQ31 +signal M0_DQ32 +signal M0_DQ33 +signal M0_DQ34 +signal M0_DQ35 +signal M0_DQ36 +signal M0_DQ37 +signal M0_DQ38 +signal M0_DQ39 +signal M0_DQ40 +signal M0_DQ41 +signal M0_DQ42 +signal M0_DQ43 +signal M0_DQ44 +signal M0_DQ45 +signal M0_DQ46 +signal M0_DQ47 +signal M0_DQ48 +signal M0_DQ49 +signal M0_DQ50 +signal M0_DQ51 +signal M0_DQ52 +signal M0_DQ53 +signal M0_DQ54 +signal M0_DQ55 +signal M0_DQ56 +signal M0_DQ57 +signal M0_DQ58 +signal M0_DQ59 +signal M0_DQ60 +signal M0_DQ61 +signal M0_DQ62 +signal M0_DQ63 +signal M0_CS_L0 +signal M0_CS_L1 +signal M0_CS_L2 +signal M0_CS_L3 +signal M0_CLK_L +signal M0_CLK +signal M0_CKE +signal M0_CAS_L +signal M0_BA0 +signal M0_BA1 +signal M0_A0 +signal M0_A1 +signal M0_A2 +signal M0_A3 +signal M0_A4 +signal M0_A5 +signal M0_A6 +signal M0_A7 +signal M0_A8 +signal M0_A9 +signal M0_A10 +signal M0_A11 +signal M0_A12 +signal LDT_TX_CTLP +signal LDT_TX_CTLN +signal LDT_TX_CLKP +signal LDT_TX_CLKN +signal LDT_TX_CADP0 +signal LDT_TX_CADP1 +signal LDT_TX_CADP2 +signal LDT_TX_CADP3 +signal LDT_TX_CADP4 +signal LDT_TX_CADP5 +signal LDT_TX_CADP6 +signal LDT_TX_CADP7 +signal LDT_TX_CADN0 +signal LDT_TX_CADN1 +signal LDT_TX_CADN2 +signal LDT_TX_CADN3 +signal LDT_TX_CADN4 +signal LDT_TX_CADN5 +signal LDT_TX_CADN6 +signal LDT_TX_CADN7 +signal LDT_RX_CTLP +signal LDT_RX_CTLN +signal LDT_RX_CADP0 +signal LDT_RX_CADP1 +signal LDT_RX_CADP2 +signal LDT_RX_CADP3 +signal LDT_RX_CADP4 +signal LDT_RX_CADP5 +signal LDT_RX_CADP6 +signal LDT_RX_CADP7 +signal LDT_RX_CADN0 +signal LDT_RX_CADN1 +signal LDT_RX_CADN2 +signal LDT_RX_CADN3 +signal LDT_RX_CADN4 +signal LDT_RX_CADN5 +signal LDT_RX_CADN6 +signal LDT_RX_CADN7 +signal LDT_RESET_L +signal LDT_PWROK +signal IO_WR_L +signal IO_RW +signal IO_RDY +signal IO_OE_L +signal IO_CS_L0 +signal IO_CS_L1 +signal IO_CS_L2 +signal IO_CS_L3 +signal IO_CS_L4 +signal IO_CS_L5 +signal IO_CS_L6 +signal IO_CS_L7 +signal IO_CLK100 +signal IO_ALE +signal IO_AD0 +signal IO_AD1 +signal IO_AD2 +signal IO_AD3 +signal IO_AD4 +signal IO_AD5 +signal IO_AD6 +signal IO_AD7 +signal IO_AD8 +signal IO_AD9 +signal IO_AD10 +signal IO_AD11 +signal IO_AD12 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD24 +signal IO_AD25 +signal IO_AD26 +signal IO_AD27 +signal IO_AD28 +signal IO_AD29 +signal IO_AD30 +signal IO_AD31 +signal GPIO0 +signal GPIO1 +signal GPIO2 +signal GPIO3 +signal GPIO4 +signal GPIO5 +signal GPIO6 +signal GPIO7 +signal GPIO8 +signal GPIO9 +signal GPIO10 +signal GPIO11 +signal GPIO12 +signal GPIO13 +signal GPIO14 +signal GPIO15 +signal E2_TXER +signal E2_TXEN +signal E2_TXD0 +signal E2_TXD1 +signal E2_TXD2 +signal E2_TXD3 +signal E2_TXD4 +signal E2_TXD5 +signal E2_TXD6 +signal E2_TXD7 +signal E2_TCLKO +signal E2_TCLKI +signal E2_RXER +signal E2_RXDV +signal E2_RXD0 +signal E2_RXD1 +signal E2_RXD2 +signal E2_RXD3 +signal E2_RXD4 +signal E2_RXD5 +signal E2_RXD6 +signal E2_RXD7 +signal E2_RCLK +signal E2_MDIO +signal E2_MDC +signal E2_GENO +signal E2_CRS +signal E2_COL +signal E1_TXER +signal E1_TXEN +signal E1_TXD0 +signal E1_TXD1 +signal E1_TXD2 +signal E1_TXD3 +signal E1_TXD4 +signal E1_TXD5 +signal E1_TXD6 +signal E1_TXD7 +signal E1_TCLKO +signal E1_TCLKI +signal E1_RXER +signal E1_RXDV +signal E1_RXD0 +signal E1_RXD1 +signal E1_RXD2 +signal E1_RXD3 +signal E1_RXD4 +signal E1_RXD5 +signal E1_RXD6 +signal E1_RXD7 +signal E1_RCLK +signal E1_MDIO +signal E1_MDC +signal E1_GENO +signal E1_CRS +signal E1_COL +signal E0_TXER +signal E0_TXEN +signal E0_TXD0 +signal E0_TXD1 +signal E0_TXD2 +signal E0_TXD3 +signal E0_TXD4 +signal E0_TXD5 +signal E0_TXD6 +signal E0_TXD7 +signal E0_TCLKO +signal E0_TCLKI +signal E0_RXER +signal E0_RXDV +signal E0_RXD0 +signal E0_RXD1 +signal E0_RXD2 +signal E0_RXD3 +signal E0_RXD4 +signal E0_RXD5 +signal E0_RXD6 +signal E0_RXD7 +signal E0_RCLK +signal E0_MDIO +signal E0_MDC +signal E0_GENO +signal E0_CRS +signal E0_COL +signal DEBUG_L +signal COLDRES_L +signal CLK100P +signal CLK100N +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal GND121 +signal GND122 +signal GND123 +signal GND124 +signal GND125 +signal GND126 +signal GND127 +signal GND128 +signal GND129 +signal GND130 +signal GND131 +signal GND132 +signal GND133 +signal GND134 +signal GND135 +signal GND136 +signal GND137 +signal GND138 +signal GND139 +signal GND140 +signal GND141 +signal GND142 +signal GND143 +signal GND144 +signal GND145 +signal GND146 +signal GND147 +signal GND148 +signal GND149 +signal GND150 +signal GND151 +signal GND152 +signal GND153 +signal GND154 +signal GND155 +signal GND156 +signal GND157 +signal GND158 +signal GND159 +signal GND160 +signal GND161 +signal GND162 +signal GND163 +signal GND164 +signal GND165 +signal GND166 +signal GND167 +signal GND168 +signal GND169 +signal GND170 +signal GND171 +signal GND172 +signal GND173 +signal GND174 +signal GND175 +signal GND176 +signal GND177 +signal GND178 +signal GND179 +signal GND180 +signal LDT_RX_CAL0 +signal LDT_RX_CAL1 +signal LDT_RX_CLKN +signal LDT_RX_CLKP +signal LDT_TX_CAL0 +signal LDT_TX_CAL1 +signal M0_VREF +signal M1_VREF +signal TEMPN +signal TEMPP +signal VDDCORE0 +signal VDDCORE1 +signal VDDCORE2 +signal VDDCORE3 +signal VDDCORE4 +signal VDDCORE5 +signal VDDCORE6 +signal VDDCORE7 +signal VDDCORE8 +signal VDDCORE9 +signal VDDCORE10 +signal VDDCORE11 +signal VDDCORE12 +signal VDDCORE13 +signal VDDCORE14 +signal VDDCORE15 +signal VDDCORE16 +signal VDDCORE17 +signal VDDCORE18 +signal VDDCORE19 +signal VDDCORE20 +signal VDDCORE21 +signal VDDCORE22 +signal VDDCORE23 +signal VDDCORE24 +signal VDDCORE25 +signal VDDCORE26 +signal VDDCORE27 +signal VDDCORE28 +signal VDDCORE29 +signal VDDCORE30 +signal VDDCORE31 +signal VDDCORE32 +signal VDDCORE33 +signal VDDCORE34 +signal VDDCORE35 +signal VDDCORE36 +signal VDDCORE37 +signal VDDCORE38 +signal VDDCORE39 +signal VDDCORE40 +signal VDDCORE41 +signal VDDCORE42 +signal VDDCORE43 +signal VDDCORE44 +signal VDDCORE45 +signal VDDCORE46 +signal VDDIO0 +signal VDDIO1 +signal VDDIO2 +signal VDDIO3 +signal VDDIO4 +signal VDDIO5 +signal VDDIO6 +signal VDDIO7 +signal VDDIO8 +signal VDDIO9 +signal VDDIO10 +signal VDDIO11 +signal VDDIO12 +signal VDDIO13 +signal VDDIO14 +signal VDDIO15 +signal VDDIO16 +signal VDDIO17 +signal VDDIO18 +signal VDDIO19 +signal VDDIO20 +signal VDDIO21 +signal VDDIO22 +signal VDDIO23 +signal VDDIO24 +signal VDDIO25 +signal VDDIO26 +signal VDDIO27 +signal VDDIO28 +signal VDDIO29 +signal VDDIO30 +signal VDDIO31 +signal VDDIO32 +signal VDDIO33 +signal VDDIO34 +signal VDDIO35 +signal VDDIO36 +signal VDDIO37 +signal VDDIO38 +signal VDDIO39 +signal VDDIO40 +signal VDDIO41 +signal VDDIO42 +signal VDDIO43 +signal VDDIO44 +signal VDDIO45 +signal VDDIO46 +signal VDDIO47 +signal VDDIO48 +signal VDDIO49 +signal VDDIO50 +signal VDDIO51 +signal VDDIO52 +signal VDDIO53 +signal VDDIO54 +signal VDDIO55 +signal VDDLDT0 +signal VDDLDT1 +signal VDDLDT2 +signal VDDLDT3 +signal VDDLDT4 +signal VDDLDT5 +signal VDDLDT6 +signal VDDLDT7 +signal VDDLDT8 +signal VDDLDT9 +signal VDDLDT10 +signal VDDLDT11 +signal VDDLDT12 +signal VDDLDT13 +signal VDDLDT14 +signal VDDLDT15 +signal VDDLDT16 +signal VDDLDT17 +signal VDDLDT18 +signal VDDMEM0 +signal VDDMEM1 +signal VDDMEM2 +signal VDDMEM3 +signal VDDMEM4 +signal VDDMEM5 +signal VDDMEM6 +signal VDDMEM7 +signal VDDMEM8 +signal VDDMEM9 +signal VDDMEM10 +signal VDDMEM11 +signal VDDMEM12 +signal VDDMEM13 +signal VDDMEM14 +signal VDDMEM15 +signal VDDMEM16 +signal VDDMEM17 +signal VDDMEM18 +signal VDDMEM19 +signal VDDMEM20 +signal VDDMEM21 +signal VDDMEM22 +signal VDDMEM23 +signal VDDMEM24 +signal VDDMEM25 +signal VDDMEM26 +signal VDDMEM27 +signal VDDMEM28 +signal VDDMEM29 +signal VDDMEM30 +signal VDDMEM31 +signal VDDMEM32 +signal VDDMEM33 +signal VDDMEM34 +signal VDDMEM35 +signal VDDMEM36 +signal VDDMEM37 +signal VDDMEM38 +signal VDDMEM39 +signal VDDMEM40 +signal VDDMEM41 +signal VDDMEM42 +signal VDDMEM43 +signal VDDMEM44 +signal VDDMEM45 +signal VDDMEM46 +signal VDDMEM47 +signal VDDMEM48 + +register BSR 1434 +register BR 1 +register DIR 32 +register CTRL 12 +register DATAR 277 +register ADDRR 77 +register SCD 64 +register IMP 32 + + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction IDCODE 000001 DIR +instruction SAMPLE/PRELOAD 111101 BSR +instruction BYPASS 111111 BR +instruction CONTROLL 001010 CTRL +instruction DATA 001001 DATAR +instruction ADDR 001000 ADDRR +instruction SCANSCD 110110 SCD +instruction IMPCODE 000011 IMP + +bit 1433 O 0 * +bit 1432 O 0 * +bit 1431 O 0 * +bit 1430 O 0 * +bit 1429 O 0 * +bit 1428 O 0 * +bit 1427 O 0 * +bit 1426 O 0 * +bit 1425 O 0 * +bit 1424 O 0 * +bit 1423 O 0 * +bit 1422 O 0 * +bit 1421 O 0 * +bit 1420 O 0 * +bit 1419 O 0 * +bit 1418 O 0 * +bit 1417 C 0 * +bit 1416 O 0 * +bit 1415 O 0 * +bit 1414 I 1 LDT_RX_CTLP +bit 1413 I 1 LDT_RX_CTLN +bit 1412 O 1 LDT_TX_CADP0 1417 0 Z +bit 1411 O 1 LDT_TX_CADN0 1417 0 Z +bit 1410 I 1 LDT_RX_CADP7 +bit 1409 I 1 LDT_RX_CADN7 +bit 1408 O 1 LDT_TX_CADP1 1417 0 Z +bit 1407 O 1 LDT_TX_CADN1 1417 0 Z +bit 1406 I 1 LDT_RX_CADP6 +bit 1405 I 1 LDT_RX_CADN6 +bit 1404 O 1 LDT_TX_CADP2 1417 0 Z +bit 1403 O 1 LDT_TX_CADN2 1417 0 Z +bit 1402 I 1 LDT_RX_CADP5 +bit 1401 I 1 LDT_RX_CADN5 +bit 1400 O 1 LDT_TX_CADP3 1417 0 Z +bit 1399 O 1 LDT_TX_CADN3 1417 0 Z +bit 1398 I 1 LDT_RX_CADP4 +bit 1397 I 1 LDT_RX_CADN4 +bit 1396 O 1 LDT_TX_CLKP 1417 0 Z +bit 1395 O 1 LDT_TX_CLKN 1417 0 Z +bit 1394 O 1 LDT_TX_CADP4 1417 0 Z +bit 1393 O 1 LDT_TX_CADN4 1417 0 Z +bit 1392 I 1 LDT_RX_CADP3 +bit 1391 I 1 LDT_RX_CADN3 +bit 1390 O 1 LDT_TX_CADP5 1417 0 Z +bit 1389 O 1 LDT_TX_CADN5 1417 0 Z +bit 1388 I 1 LDT_RX_CADP2 +bit 1387 I 1 LDT_RX_CADN2 +bit 1386 O 1 LDT_TX_CADP6 1417 0 Z +bit 1385 O 1 LDT_TX_CADN6 1417 0 Z +bit 1384 I 1 LDT_RX_CADP1 +bit 1383 I 1 LDT_RX_CADN1 +bit 1382 O 1 LDT_TX_CADP7 1417 0 Z +bit 1381 O 1 LDT_TX_CADN7 1417 0 Z +bit 1380 I 1 LDT_RX_CADP0 +bit 1379 I 1 LDT_RX_CADN0 +bit 1378 O 1 LDT_TX_CTLP 1417 0 Z +bit 1377 O 1 LDT_TX_CTLN 1417 0 Z +bit 1376 O 1 LDT_PWROK 1375 0 Z +bit 1375 C 0 * +bit 1374 I 1 LDT_PWROK +bit 1373 O 1 LDT_RESET_L 1372 0 Z +bit 1372 C 0 * +bit 1371 I 1 LDT_RESET_L +bit 1370 O 1 M1_DQ0 1369 0 Z +bit 1369 C 0 * +bit 1368 I 1 M1_DQ0 +bit 1367 O 1 M1_DQ1 1366 0 Z +bit 1366 C 0 * +bit 1365 I 1 M1_DQ1 +bit 1364 O 1 M1_DQ2 1363 0 Z +bit 1363 C 0 * +bit 1362 I 1 M1_DQ2 +bit 1361 O 1 M1_DQ3 1360 0 Z +bit 1360 C 0 * +bit 1359 I 1 M1_DQ3 +bit 1358 O 1 M1_DQS0 1357 0 Z +bit 1357 C 0 * +bit 1356 I 1 M1_DQS0 +bit 1355 O 1 M1_DQ4 1354 0 Z +bit 1354 C 0 * +bit 1353 I 1 M1_DQ4 +bit 1352 O 1 M1_DQ5 1351 0 Z +bit 1351 C 0 * +bit 1350 I 1 M1_DQ5 +bit 1349 O 1 M1_DQ6 1348 0 Z +bit 1348 C 0 * +bit 1347 I 1 M1_DQ6 +bit 1346 O 1 M1_DQ7 1345 0 Z +bit 1345 C 0 * +bit 1344 I 1 M1_DQ7 +bit 1343 O 1 M1_DQ8 1342 0 Z +bit 1342 C 0 * +bit 1341 I 1 M1_DQ8 +bit 1340 O 1 M1_DQ9 1339 0 Z +bit 1339 C 0 * +bit 1338 I 1 M1_DQ9 +bit 1337 O 1 M1_DQ10 1336 0 Z +bit 1336 C 0 * +bit 1335 I 1 M1_DQ10 +bit 1334 O 1 M1_DQ11 1333 0 Z +bit 1333 C 0 * +bit 1332 I 1 M1_DQ11 +bit 1331 O 1 M1_DQS1 1330 0 Z +bit 1330 C 0 * +bit 1329 I 1 M1_DQS1 +bit 1328 O 1 M1_DQ12 1327 0 Z +bit 1327 C 0 * +bit 1326 I 1 M1_DQ12 +bit 1325 O 1 M1_DQ13 1324 0 Z +bit 1324 C 0 * +bit 1323 I 1 M1_DQ13 +bit 1322 O 1 M1_DQ14 1321 0 Z +bit 1321 C 0 * +bit 1320 I 1 M1_DQ14 +bit 1319 O 1 M1_DQ15 1318 0 Z +bit 1318 C 0 * +bit 1317 I 1 M1_DQ15 +bit 1316 O 1 M1_DQ16 1315 0 Z +bit 1315 C 0 * +bit 1314 I 1 M1_DQ16 +bit 1313 O 1 M1_DQ17 1312 0 Z +bit 1312 C 0 * +bit 1311 I 1 M1_DQ17 +bit 1310 O 1 M1_DQ18 1309 0 Z +bit 1309 C 0 * +bit 1308 I 1 M1_DQ18 +bit 1307 O 1 M1_DQ19 1306 0 Z +bit 1306 C 0 * +bit 1305 I 1 M1_DQ19 +bit 1304 O 1 M1_DQS2 1303 0 Z +bit 1303 C 0 * +bit 1302 I 1 M1_DQS2 +bit 1301 O 1 M1_DQ20 1300 0 Z +bit 1300 C 0 * +bit 1299 I 1 M1_DQ20 +bit 1298 O 1 M1_DQ21 1297 0 Z +bit 1297 C 0 * +bit 1296 I 1 M1_DQ21 +bit 1295 O 1 M1_DQ22 1294 0 Z +bit 1294 C 0 * +bit 1293 I 1 M1_DQ22 +bit 1292 O 1 M1_DQ23 1291 0 Z +bit 1291 C 0 * +bit 1290 I 1 M1_DQ23 +bit 1289 O 1 M1_DQ24 1288 0 Z +bit 1288 C 0 * +bit 1287 I 1 M1_DQ24 +bit 1286 O 1 M1_DQ25 1285 0 Z +bit 1285 C 0 * +bit 1284 I 1 M1_DQ25 +bit 1283 O 1 M1_DQ26 1282 0 Z +bit 1282 C 0 * +bit 1281 I 1 M1_DQ26 +bit 1280 O 1 M1_DQ27 1279 0 Z +bit 1279 C 0 * +bit 1278 I 1 M1_DQ27 +bit 1277 O 1 M1_DQS3 1276 0 Z +bit 1276 C 0 * +bit 1275 I 1 M1_DQS3 +bit 1274 O 1 M1_DQ28 1273 0 Z +bit 1273 C 0 * +bit 1272 I 1 M1_DQ28 +bit 1271 O 1 M1_DQ29 1270 0 Z +bit 1270 C 0 * +bit 1269 I 1 M1_DQ29 +bit 1268 O 1 M1_DQ30 1267 0 Z +bit 1267 C 0 * +bit 1266 I 1 M1_DQ30 +bit 1265 O 1 M1_DQ31 1264 0 Z +bit 1264 C 0 * +bit 1263 I 1 M1_DQ31 +bit 1262 O 1 M1_A12 1261 0 Z +bit 1261 C 0 * +bit 1260 O 0 * +bit 1259 O 1 M1_A8 1258 0 Z +bit 1258 C 0 * +bit 1257 O 0 * +bit 1256 O 1 M1_A11 1255 0 Z +bit 1255 C 0 * +bit 1254 O 0 * +bit 1253 O 1 M1_A5 1252 0 Z +bit 1252 C 0 * +bit 1251 O 0 * +bit 1250 O 1 M1_A9 1249 0 Z +bit 1249 C 0 * +bit 1248 O 0 * +bit 1247 O 1 M1_A6 1246 0 Z +bit 1246 C 0 * +bit 1245 O 0 * +bit 1244 O 1 M1_A7 1243 0 Z +bit 1243 C 0 * +bit 1242 O 0 * +bit 1241 O 1 M1_A2 1240 0 Z +bit 1240 C 0 * +bit 1239 O 0 * +bit 1238 O 1 M1_A4 1237 0 Z +bit 1237 C 0 * +bit 1236 O 0 * +bit 1235 O 1 M1_A1 1234 0 Z +bit 1234 C 0 * +bit 1233 O 0 * +bit 1232 O 1 M1_A3 1231 0 Z +bit 1231 C 0 * +bit 1230 O 0 * +bit 1229 O 1 M1_BA1 1228 0 Z +bit 1228 C 0 * +bit 1227 O 0 * +bit 1226 O 1 M1_A0 1225 0 Z +bit 1225 C 0 * +bit 1224 O 0 * +bit 1223 O 1 M1_WE_L 1222 0 Z +bit 1222 C 0 * +bit 1221 O 0 * +bit 1220 O 1 M1_A10 1219 0 Z +bit 1219 C 0 * +bit 1218 O 0 * +bit 1217 O 1 M1_CAS_L 1216 0 Z +bit 1216 C 0 * +bit 1215 O 0 * +bit 1214 O 1 M1_BA0 1213 0 Z +bit 1213 C 0 * +bit 1212 O 0 * +bit 1211 O 1 M1_CS_L1 1210 0 Z +bit 1210 C 0 * +bit 1209 O 0 * +bit 1208 O 1 M1_CS_L0 1207 0 Z +bit 1207 C 0 * +bit 1206 O 0 * +bit 1205 O 1 M1_CS_L2 1204 0 Z +bit 1204 C 0 * +bit 1203 O 0 * +bit 1202 O 1 M1_RAS_L 1201 0 Z +bit 1201 C 0 * +bit 1200 O 0 * +bit 1199 O 1 M1_CS_L3 1198 0 Z +bit 1198 C 0 * +bit 1197 O 0 * +bit 1196 O 1 M1_CLK 1195 0 Z +bit 1195 C 0 * +bit 1194 O 0 * +bit 1193 O 1 M1_CKE 1192 0 Z +bit 1192 C 0 * +bit 1191 O 0 * +bit 1190 O 1 M1_CLK_L 1189 0 Z +bit 1189 C 0 * +bit 1188 O 0 * +bit 1187 O 1 M1_ECC0 1186 0 Z +bit 1186 C 0 * +bit 1185 I 1 M1_ECC0 +bit 1184 O 1 M1_ECC1 1183 0 Z +bit 1183 C 0 * +bit 1182 I 1 M1_ECC1 +bit 1181 O 1 M1_ECC2 1180 0 Z +bit 1180 C 0 * +bit 1179 I 1 M1_ECC2 +bit 1178 O 1 M1_ECC3 1177 0 Z +bit 1177 C 0 * +bit 1176 I 1 M1_ECC3 +bit 1175 O 1 M1_DQS8 1174 0 Z +bit 1174 C 0 * +bit 1173 I 1 M1_DQS8 +bit 1172 O 1 M1_ECC4 1171 0 Z +bit 1171 C 0 * +bit 1170 I 1 M1_ECC4 +bit 1169 O 1 M1_ECC5 1168 0 Z +bit 1168 C 0 * +bit 1167 I 1 M1_ECC5 +bit 1166 O 1 M1_ECC6 1165 0 Z +bit 1165 C 0 * +bit 1164 I 1 M1_ECC6 +bit 1163 O 1 M1_ECC7 1162 0 Z +bit 1162 C 0 * +bit 1161 I 1 M1_ECC7 +bit 1160 O 1 M1_DQ32 1159 0 Z +bit 1159 C 0 * +bit 1158 I 1 M1_DQ32 +bit 1157 O 1 M1_DQ33 1156 0 Z +bit 1156 C 0 * +bit 1155 I 1 M1_DQ33 +bit 1154 O 1 M1_DQ34 1153 0 Z +bit 1153 C 0 * +bit 1152 I 1 M1_DQ34 +bit 1151 O 1 M1_DQ35 1150 0 Z +bit 1150 C 0 * +bit 1149 I 1 M1_DQ35 +bit 1148 O 1 M1_DQS4 1147 0 Z +bit 1147 C 0 * +bit 1146 I 1 M1_DQS4 +bit 1145 O 1 M1_DQ36 1144 0 Z +bit 1144 C 0 * +bit 1143 I 1 M1_DQ36 +bit 1142 O 1 M1_DQ37 1141 0 Z +bit 1141 C 0 * +bit 1140 I 1 M1_DQ37 +bit 1139 O 1 M1_DQ38 1138 0 Z +bit 1138 C 0 * +bit 1137 I 1 M1_DQ38 +bit 1136 O 1 M1_DQ39 1135 0 Z +bit 1135 C 0 * +bit 1134 I 1 M1_DQ39 +bit 1133 O 1 M1_DQ40 1132 0 Z +bit 1132 C 0 * +bit 1131 I 1 M1_DQ40 +bit 1130 O 1 M1_DQ41 1129 0 Z +bit 1129 C 0 * +bit 1128 I 1 M1_DQ41 +bit 1127 O 1 M1_DQ42 1126 0 Z +bit 1126 C 0 * +bit 1125 I 1 M1_DQ42 +bit 1124 O 1 M1_DQ43 1123 0 Z +bit 1123 C 0 * +bit 1122 I 1 M1_DQ43 +bit 1121 O 1 M1_DQS5 1120 0 Z +bit 1120 C 0 * +bit 1119 I 1 M1_DQS5 +bit 1118 O 1 M1_DQ44 1117 0 Z +bit 1117 C 0 * +bit 1116 I 1 M1_DQ44 +bit 1115 O 1 M1_DQ45 1114 0 Z +bit 1114 C 0 * +bit 1113 I 1 M1_DQ45 +bit 1112 O 1 M1_DQ46 1111 0 Z +bit 1111 C 0 * +bit 1110 I 1 M1_DQ46 +bit 1109 O 1 M1_DQ47 1108 0 Z +bit 1108 C 0 * +bit 1107 I 1 M1_DQ47 +bit 1106 O 1 M1_DQ48 1105 0 Z +bit 1105 C 0 * +bit 1104 I 1 M1_DQ48 +bit 1103 O 1 M1_DQ49 1102 0 Z +bit 1102 C 0 * +bit 1101 I 1 M1_DQ49 +bit 1100 O 1 M1_DQ50 1099 0 Z +bit 1099 C 0 * +bit 1098 I 1 M1_DQ50 +bit 1097 O 1 M1_DQ51 1096 0 Z +bit 1096 C 0 * +bit 1095 I 1 M1_DQ51 +bit 1094 O 1 M1_DQS6 1093 0 Z +bit 1093 C 0 * +bit 1092 I 1 M1_DQS6 +bit 1091 O 1 M1_DQ52 1090 0 Z +bit 1090 C 0 * +bit 1089 I 1 M1_DQ52 +bit 1088 O 1 M1_DQ53 1087 0 Z +bit 1087 C 0 * +bit 1086 I 1 M1_DQ53 +bit 1085 O 1 M1_DQ54 1084 0 Z +bit 1084 C 0 * +bit 1083 I 1 M1_DQ54 +bit 1082 O 1 M1_DQ55 1081 0 Z +bit 1081 C 0 * +bit 1080 I 1 M1_DQ55 +bit 1079 O 1 M1_DQ56 1078 0 Z +bit 1078 C 0 * +bit 1077 I 1 M1_DQ56 +bit 1076 O 1 M1_DQ57 1075 0 Z +bit 1075 C 0 * +bit 1074 I 1 M1_DQ57 +bit 1073 O 1 M1_DQ58 1072 0 Z +bit 1072 C 0 * +bit 1071 I 1 M1_DQ58 +bit 1070 O 1 M1_DQ59 1069 0 Z +bit 1069 C 0 * +bit 1068 I 1 M1_DQ59 +bit 1067 O 1 M1_DQS7 1066 0 Z +bit 1066 C 0 * +bit 1065 I 1 M1_DQS7 +bit 1064 O 1 M1_DQ60 1063 0 Z +bit 1063 C 0 * +bit 1062 I 1 M1_DQ60 +bit 1061 O 1 M1_DQ61 1060 0 Z +bit 1060 C 0 * +bit 1059 I 1 M1_DQ61 +bit 1058 O 1 M1_DQ62 1057 0 Z +bit 1057 C 0 * +bit 1056 I 1 M1_DQ62 +bit 1055 O 1 M1_DQ63 1054 0 Z +bit 1054 C 0 * +bit 1053 I 1 M1_DQ63 +bit 1052 O 1 M0_DQ63 1051 0 Z +bit 1051 C 0 * +bit 1050 I 1 M0_DQ63 +bit 1049 O 1 M0_DQ62 1048 0 Z +bit 1048 C 0 * +bit 1047 I 1 M0_DQ62 +bit 1046 O 1 M0_DQ61 1045 0 Z +bit 1045 C 0 * +bit 1044 I 1 M0_DQ61 +bit 1043 O 1 M0_DQ60 1042 0 Z +bit 1042 C 0 * +bit 1041 I 1 M0_DQ60 +bit 1040 O 1 M0_DQS7 1039 0 Z +bit 1039 C 0 * +bit 1038 I 1 M0_DQS7 +bit 1037 O 1 M0_DQ59 1036 0 Z +bit 1036 C 0 * +bit 1035 I 1 M0_DQ59 +bit 1034 O 1 M0_DQ58 1033 0 Z +bit 1033 C 0 * +bit 1032 I 1 M0_DQ58 +bit 1031 O 1 M0_DQ57 1030 0 Z +bit 1030 C 0 * +bit 1029 I 1 M0_DQ57 +bit 1028 O 1 M0_DQ56 1027 0 Z +bit 1027 C 0 * +bit 1026 I 1 M0_DQ56 +bit 1025 O 1 M0_DQ55 1024 0 Z +bit 1024 C 0 * +bit 1023 I 1 M0_DQ55 +bit 1022 O 1 M0_DQ54 1021 0 Z +bit 1021 C 0 * +bit 1020 I 1 M0_DQ54 +bit 1019 O 1 M0_DQ53 1018 0 Z +bit 1018 C 0 * +bit 1017 I 1 M0_DQ53 +bit 1016 O 1 M0_DQ52 1015 0 Z +bit 1015 C 0 * +bit 1014 I 1 M0_DQ52 +bit 1013 O 1 M0_DQS6 1012 0 Z +bit 1012 C 0 * +bit 1011 I 1 M0_DQS6 +bit 1010 O 1 M0_DQ51 1009 0 Z +bit 1009 C 0 * +bit 1008 I 1 M0_DQ51 +bit 1007 O 1 M0_DQ50 1006 0 Z +bit 1006 C 0 * +bit 1005 I 1 M0_DQ50 +bit 1004 O 1 M0_DQ49 1003 0 Z +bit 1003 C 0 * +bit 1002 I 1 M0_DQ49 +bit 1001 O 1 M0_DQ48 1000 0 Z +bit 1000 C 0 * +bit 999 I 1 M0_DQ48 +bit 998 O 1 M0_DQ47 997 0 Z +bit 997 C 0 * +bit 996 I 1 M0_DQ47 +bit 995 O 1 M0_DQ46 994 0 Z +bit 994 C 0 * +bit 993 I 1 M0_DQ46 +bit 992 O 1 M0_DQ45 991 0 Z +bit 991 C 0 * +bit 990 I 1 M0_DQ45 +bit 989 O 1 M0_DQ44 988 0 Z +bit 988 C 0 * +bit 987 I 1 M0_DQ44 +bit 986 O 1 M0_DQS5 985 0 Z +bit 985 C 0 * +bit 984 I 1 M0_DQS5 +bit 983 O 1 M0_DQ43 982 0 Z +bit 982 C 0 * +bit 981 I 1 M0_DQ43 +bit 980 O 1 M0_DQ42 979 0 Z +bit 979 C 0 * +bit 978 I 1 M0_DQ42 +bit 977 O 1 M0_DQ41 976 0 Z +bit 976 C 0 * +bit 975 I 1 M0_DQ41 +bit 974 O 1 M0_DQ40 973 0 Z +bit 973 C 0 * +bit 972 I 1 M0_DQ40 +bit 971 O 1 M0_DQ39 970 0 Z +bit 970 C 0 * +bit 969 I 1 M0_DQ39 +bit 968 O 1 M0_DQ38 967 0 Z +bit 967 C 0 * +bit 966 I 1 M0_DQ38 +bit 965 O 1 M0_DQ37 964 0 Z +bit 964 C 0 * +bit 963 I 1 M0_DQ37 +bit 962 O 1 M0_DQ36 961 0 Z +bit 961 C 0 * +bit 960 I 1 M0_DQ36 +bit 959 O 1 M0_DQS4 958 0 Z +bit 958 C 0 * +bit 957 I 1 M0_DQS4 +bit 956 O 1 M0_DQ35 955 0 Z +bit 955 C 0 * +bit 954 I 1 M0_DQ35 +bit 953 O 1 M0_DQ34 952 0 Z +bit 952 C 0 * +bit 951 I 1 M0_DQ34 +bit 950 O 1 M0_DQ33 949 0 Z +bit 949 C 0 * +bit 948 I 1 M0_DQ33 +bit 947 O 1 M0_DQ32 946 0 Z +bit 946 C 0 * +bit 945 I 1 M0_DQ32 +bit 944 O 1 M0_ECC7 943 0 Z +bit 943 C 0 * +bit 942 I 1 M0_ECC7 +bit 941 O 1 M0_ECC6 940 0 Z +bit 940 C 0 * +bit 939 I 1 M0_ECC6 +bit 938 O 1 M0_ECC5 937 0 Z +bit 937 C 0 * +bit 936 I 1 M0_ECC5 +bit 935 O 1 M0_ECC4 934 0 Z +bit 934 C 0 * +bit 933 I 1 M0_ECC4 +bit 932 O 1 M0_DQS8 931 0 Z +bit 931 C 0 * +bit 930 I 1 M0_DQS8 +bit 929 O 1 M0_ECC3 928 0 Z +bit 928 C 0 * +bit 927 I 1 M0_ECC3 +bit 926 O 1 M0_ECC2 925 0 Z +bit 925 C 0 * +bit 924 I 1 M0_ECC2 +bit 923 O 1 M0_ECC1 922 0 Z +bit 922 C 0 * +bit 921 I 1 M0_ECC1 +bit 920 O 1 M0_ECC0 919 0 Z +bit 919 C 0 * +bit 918 I 1 M0_ECC0 +bit 917 O 1 M0_CS_L3 916 0 Z +bit 916 C 0 * +bit 915 O 0 * +bit 914 O 1 M0_CLK_L 913 0 Z +bit 913 C 0 * +bit 912 O 0 * +bit 911 O 1 M0_CKE 910 0 Z +bit 910 C 0 * +bit 909 O 0 * +bit 908 O 1 M0_CLK 907 0 Z +bit 907 C 0 * +bit 906 O 0 * +bit 905 O 1 M0_CS_L2 904 0 Z +bit 904 C 0 * +bit 903 O 0 * +bit 902 O 1 M0_RAS_L 901 0 Z +bit 901 C 0 * +bit 900 O 0 * +bit 899 O 1 M0_CS_L1 898 0 Z +bit 898 C 0 * +bit 897 O 0 * +bit 896 O 1 M0_CS_L0 895 0 Z +bit 895 C 0 * +bit 894 O 0 * +bit 893 O 1 M0_CAS_L 892 0 Z +bit 892 C 0 * +bit 891 O 0 * +bit 890 O 1 M0_BA0 889 0 Z +bit 889 C 0 * +bit 888 O 0 * +bit 887 O 1 M0_WE_L 886 0 Z +bit 886 C 0 * +bit 885 O 0 * +bit 884 O 1 M0_A10 883 0 Z +bit 883 C 0 * +bit 882 O 0 * +bit 881 O 1 M0_BA1 880 0 Z +bit 880 C 0 * +bit 879 O 0 * +bit 878 O 1 M0_A2 877 0 Z +bit 877 C 0 * +bit 876 O 0 * +bit 875 O 1 M0_A0 874 0 Z +bit 874 C 0 * +bit 873 O 0 * +bit 872 O 1 M0_A4 871 0 Z +bit 871 C 0 * +bit 870 O 0 * +bit 869 O 1 M0_A1 868 0 Z +bit 868 C 0 * +bit 867 O 0 * +bit 866 O 1 M0_A3 865 0 Z +bit 865 C 0 * +bit 864 O 0 * +bit 863 O 1 M0_A9 862 0 Z +bit 862 C 0 * +bit 861 O 0 * +bit 860 O 1 M0_A6 859 0 Z +bit 859 C 0 * +bit 858 O 0 * +bit 857 O 1 M0_A11 856 0 Z +bit 856 C 0 * +bit 855 O 0 * +bit 854 O 1 M0_A5 853 0 Z +bit 853 C 0 * +bit 852 O 0 * +bit 851 O 1 M0_A7 850 0 Z +bit 850 C 0 * +bit 849 O 0 * +bit 848 O 1 M0_A12 847 0 Z +bit 847 C 0 * +bit 846 O 0 * +bit 845 O 1 M0_A8 844 0 Z +bit 844 C 0 * +bit 843 O 0 * +bit 842 O 1 M0_DQ31 841 0 Z +bit 841 C 0 * +bit 840 I 1 M0_DQ31 +bit 839 O 1 M0_DQ30 838 0 Z +bit 838 C 0 * +bit 837 I 1 M0_DQ30 +bit 836 O 1 M0_DQ29 835 0 Z +bit 835 C 0 * +bit 834 I 1 M0_DQ29 +bit 833 O 1 M0_DQ28 832 0 Z +bit 832 C 0 * +bit 831 I 1 M0_DQ28 +bit 830 O 1 M0_DQS3 829 0 Z +bit 829 C 0 * +bit 828 I 1 M0_DQS3 +bit 827 O 1 M0_DQ27 826 0 Z +bit 826 C 0 * +bit 825 I 1 M0_DQ27 +bit 824 O 1 M0_DQ26 823 0 Z +bit 823 C 0 * +bit 822 I 1 M0_DQ26 +bit 821 O 1 M0_DQ25 820 0 Z +bit 820 C 0 * +bit 819 I 1 M0_DQ25 +bit 818 O 1 M0_DQ24 817 0 Z +bit 817 C 0 * +bit 816 I 1 M0_DQ24 +bit 815 O 1 M0_DQ23 814 0 Z +bit 814 C 0 * +bit 813 I 1 M0_DQ23 +bit 812 O 1 M0_DQ22 811 0 Z +bit 811 C 0 * +bit 810 I 1 M0_DQ22 +bit 809 O 1 M0_DQ21 808 0 Z +bit 808 C 0 * +bit 807 I 1 M0_DQ21 +bit 806 O 1 M0_DQ20 805 0 Z +bit 805 C 0 * +bit 804 I 1 M0_DQ20 +bit 803 O 1 M0_DQS2 802 0 Z +bit 802 C 0 * +bit 801 I 1 M0_DQS2 +bit 800 O 1 M0_DQ19 799 0 Z +bit 799 C 0 * +bit 798 I 1 M0_DQ19 +bit 797 O 1 M0_DQ18 796 0 Z +bit 796 C 0 * +bit 795 I 1 M0_DQ18 +bit 794 O 1 M0_DQ17 793 0 Z +bit 793 C 0 * +bit 792 I 1 M0_DQ17 +bit 791 O 1 M0_DQ16 790 0 Z +bit 790 C 0 * +bit 789 I 1 M0_DQ16 +bit 788 O 1 M0_DQ15 787 0 Z +bit 787 C 0 * +bit 786 I 1 M0_DQ15 +bit 785 O 1 M0_DQ14 784 0 Z +bit 784 C 0 * +bit 783 I 1 M0_DQ14 +bit 782 O 1 M0_DQ13 781 0 Z +bit 781 C 0 * +bit 780 I 1 M0_DQ13 +bit 779 O 1 M0_DQ12 778 0 Z +bit 778 C 0 * +bit 777 I 1 M0_DQ12 +bit 776 O 1 M0_DQS1 775 0 Z +bit 775 C 0 * +bit 774 I 1 M0_DQS1 +bit 773 O 1 M0_DQ11 772 0 Z +bit 772 C 0 * +bit 771 I 1 M0_DQ11 +bit 770 O 1 M0_DQ10 769 0 Z +bit 769 C 0 * +bit 768 I 1 M0_DQ10 +bit 767 O 1 M0_DQ9 766 0 Z +bit 766 C 0 * +bit 765 I 1 M0_DQ9 +bit 764 O 1 M0_DQ8 763 0 Z +bit 763 C 0 * +bit 762 I 1 M0_DQ8 +bit 761 O 1 M0_DQ7 760 0 Z +bit 760 C 0 * +bit 759 I 1 M0_DQ7 +bit 758 O 1 M0_DQ6 757 0 Z +bit 757 C 0 * +bit 756 I 1 M0_DQ6 +bit 755 O 1 M0_DQ5 754 0 Z +bit 754 C 0 * +bit 753 I 1 M0_DQ5 +bit 752 O 1 M0_DQ4 751 0 Z +bit 751 C 0 * +bit 750 I 1 M0_DQ4 +bit 749 O 1 M0_DQS0 748 0 Z +bit 748 C 0 * +bit 747 I 1 M0_DQS0 +bit 746 O 1 M0_DQ3 745 0 Z +bit 745 C 0 * +bit 744 I 1 M0_DQ3 +bit 743 O 1 M0_DQ2 742 0 Z +bit 742 C 0 * +bit 741 I 1 M0_DQ2 +bit 740 O 1 M0_DQ1 739 0 Z +bit 739 C 0 * +bit 738 I 1 M0_DQ1 +bit 737 O 1 M0_DQ0 736 0 Z +bit 736 C 0 * +bit 735 I 1 M0_DQ0 +bit 734 O 0 * +bit 733 O 0 * +bit 732 I 1 E0_RXDV +bit 731 O 1 E0_MDIO 730 0 Z +bit 730 C 0 * +bit 729 I 1 E0_MDIO +bit 728 O 0 * +bit 727 O 0 * +bit 726 I 1 E0_RXER +bit 725 O 1 E0_MDC 724 0 Z +bit 724 C 0 * +bit 723 O 0 * +bit 722 O 0 * +bit 721 O 0 * +bit 720 I 1 E0_TCLKI +bit 719 O 1 E0_TXD0 718 0 Z +bit 718 C 0 * +bit 717 O 0 * +bit 716 O 0 * +bit 715 O 0 * +bit 714 I 1 E0_RXD7 +bit 713 O 1 E0_TXD1 712 0 Z +bit 712 C 0 * +bit 711 O 0 * +bit 710 O 0 * +bit 709 O 0 * +bit 708 I 1 E0_RXD6 +bit 707 O 1 E0_TXD2 706 0 Z +bit 706 C 0 * +bit 705 O 0 * +bit 704 O 0 * +bit 703 O 0 * +bit 702 I 1 E0_RXD5 +bit 701 O 1 E0_TXD3 700 0 Z +bit 700 C 0 * +bit 699 O 0 * +bit 698 O 0 * +bit 697 O 0 * +bit 696 I 1 E0_RXD4 +bit 695 O 1 E0_TCLKO 694 0 Z +bit 694 C 0 * +bit 693 O 0 * +bit 692 O 0 * +bit 691 O 0 * +bit 690 I 1 E0_RXD3 +bit 689 O 1 E0_TXD4 688 0 Z +bit 688 C 0 * +bit 687 O 0 * +bit 686 O 0 * +bit 685 O 0 * +bit 684 I 1 E0_RXD2 +bit 683 O 1 E0_TXD5 682 0 Z +bit 682 C 0 * +bit 681 O 0 * +bit 680 O 0 * +bit 679 O 0 * +bit 678 I 1 E0_RXD1 +bit 677 O 1 E0_TXD6 676 0 Z +bit 676 C 0 * +bit 675 O 0 * +bit 674 O 0 * +bit 673 O 0 * +bit 672 I 1 E0_RXD0 +bit 671 O 1 E0_TXD7 670 0 Z +bit 670 C 0 * +bit 669 O 0 * +bit 668 O 0 * +bit 667 O 0 * +bit 666 I 1 E0_RCLK +bit 665 O 1 E0_TXER 664 0 Z +bit 664 C 0 * +bit 663 O 0 * +bit 662 O 0 * +bit 661 O 0 * +bit 660 I 1 E0_CRS +bit 659 O 1 E0_GENO 658 0 Z +bit 658 C 0 * +bit 657 O 0 * +bit 656 O 0 * +bit 655 O 0 * +bit 654 I 1 E0_COL +bit 653 O 1 E0_TXEN 652 0 Z +bit 652 C 0 * +bit 651 O 0 * +bit 650 O 0 * +bit 649 O 0 * +bit 648 I 1 REFCLK01 +bit 647 O 1 E1_MDC 646 0 Z +bit 646 C 0 * +bit 645 O 0 * +bit 644 O 0 * +bit 643 O 0 * +bit 642 I 1 E1_RCLK +bit 641 O 1 E1_MDIO 640 0 Z +bit 640 C 0 * +bit 639 I 1 E1_MDIO +bit 638 O 0 * +bit 637 O 0 * +bit 636 I 1 E1_RXER +bit 635 O 1 E1_TXD0 634 0 Z +bit 634 C 0 * +bit 633 O 0 * +bit 632 O 0 * +bit 631 O 0 * +bit 630 I 1 E1_RXDV +bit 629 O 1 E1_TXD1 628 0 Z +bit 628 C 0 * +bit 627 O 0 * +bit 626 O 0 * +bit 625 O 0 * +bit 624 I 1 E1_RXD7 +bit 623 O 1 E1_TXD2 622 0 Z +bit 622 C 0 * +bit 621 O 0 * +bit 620 O 0 * +bit 619 O 0 * +bit 618 I 1 E1_RXD6 +bit 617 O 1 E1_TXD3 616 0 Z +bit 616 C 0 * +bit 615 O 0 * +bit 614 O 0 * +bit 613 O 0 * +bit 612 I 1 E1_RXD5 +bit 611 O 1 E1_TCLKO 610 0 Z +bit 610 C 0 * +bit 609 O 0 * +bit 608 O 0 * +bit 607 O 0 * +bit 606 I 1 E1_RXD4 +bit 605 O 1 E1_TXD4 604 0 Z +bit 604 C 0 * +bit 603 O 0 * +bit 602 O 0 * +bit 601 O 0 * +bit 600 I 1 E1_RXD3 +bit 599 O 1 E1_TXD5 598 0 Z +bit 598 C 0 * +bit 597 O 0 * +bit 596 O 0 * +bit 595 O 0 * +bit 594 I 1 E1_RXD2 +bit 593 O 1 E1_TXD6 592 0 Z +bit 592 C 0 * +bit 591 O 0 * +bit 590 O 0 * +bit 589 O 0 * +bit 588 I 1 E1_RXD1 +bit 587 O 1 E1_TXD7 586 0 Z +bit 586 C 0 * +bit 585 O 0 * +bit 584 O 0 * +bit 583 O 0 * +bit 582 I 1 E1_RXD0 +bit 581 O 1 E1_TXEN 580 0 Z +bit 580 C 0 * +bit 579 O 0 * +bit 578 O 0 * +bit 577 O 0 * +bit 576 I 1 E1_TCLKI +bit 575 O 1 E1_TXER 574 0 Z +bit 574 C 0 * +bit 573 O 0 * +bit 572 O 0 * +bit 571 O 0 * +bit 570 I 1 E1_CRS +bit 569 O 1 E1_GENO 568 0 Z +bit 568 C 0 * +bit 567 O 0 * +bit 566 O 0 * +bit 565 O 0 * +bit 564 I 1 E1_COL +bit 563 O 1 E2_MDC 562 0 Z +bit 562 C 0 * +bit 561 O 0 * +bit 560 O 0 * +bit 559 O 0 * +bit 558 I 1 E2_RCLK +bit 557 O 1 E2_MDIO 556 0 Z +bit 556 C 0 * +bit 555 I 1 E2_MDIO +bit 554 O 0 * +bit 553 O 0 * +bit 552 I 1 REFCLK2 +bit 551 O 1 E2_TXD0 550 0 Z +bit 550 C 0 * +bit 549 O 0 * +bit 548 O 0 * +bit 547 O 0 * +bit 546 I 1 E2_RXDV +bit 545 O 1 E2_TXD1 544 0 Z +bit 544 C 0 * +bit 543 O 0 * +bit 542 O 0 * +bit 541 O 0 * +bit 540 I 1 E2_RXER +bit 539 O 1 E2_TXD2 538 0 Z +bit 538 C 0 * +bit 537 O 0 * +bit 536 O 0 * +bit 535 O 0 * +bit 534 I 1 E2_RXD7 +bit 533 O 1 E2_TXD3 532 0 Z +bit 532 C 0 * +bit 531 O 0 * +bit 530 O 0 * +bit 529 O 0 * +bit 528 I 1 E2_RXD6 +bit 527 O 1 E2_TCLKO 526 0 Z +bit 526 C 0 * +bit 525 O 0 * +bit 524 O 0 * +bit 523 O 0 * +bit 522 I 1 E2_RXD5 +bit 521 O 1 E2_TXD4 520 0 Z +bit 520 C 0 * +bit 519 O 0 * +bit 518 O 0 * +bit 517 O 0 * +bit 516 I 1 E2_RXD4 +bit 515 O 1 E2_TXD5 514 0 Z +bit 514 C 0 * +bit 513 O 0 * +bit 512 O 0 * +bit 511 O 0 * +bit 510 I 1 E2_RXD3 +bit 509 O 1 E2_TXD6 508 0 Z +bit 508 C 0 * +bit 507 O 0 * +bit 506 O 0 * +bit 505 O 0 * +bit 504 I 1 E2_RXD2 +bit 503 O 1 E2_TXD7 502 0 Z +bit 502 C 0 * +bit 501 O 0 * +bit 500 O 0 * +bit 499 O 0 * +bit 498 I 1 E2_RXD1 +bit 497 O 1 E2_TXER 496 0 Z +bit 496 C 0 * +bit 495 O 0 * +bit 494 O 0 * +bit 493 O 0 * +bit 492 I 1 E2_RXD0 +bit 491 O 1 E2_TXEN 490 0 Z +bit 490 C 0 * +bit 489 O 0 * +bit 488 O 0 * +bit 487 O 0 * +bit 486 I 1 E2_TCLKI +bit 485 O 1 E2_GENO 484 0 Z +bit 484 C 0 * +bit 483 O 0 * +bit 482 O 0 * +bit 481 O 0 * +bit 480 I 1 E2_CRS +bit 479 O 0 * +bit 478 O 0 * +bit 477 I 1 E2_COL +bit 476 O 1 IO_RW 475 0 Z +bit 475 C 0 * +bit 474 O 0 * +bit 473 O 0 * +bit 472 O 0 * +bit 471 I 1 SPARE2 +bit 470 O 0 * +bit 469 O 0 * +bit 468 I 1 SPARE4 +bit 467 O 0 * +bit 466 O 0 * +bit 465 I 1 SPARE3 +bit 464 O 1 S1_COUT 463 0 Z +bit 463 C 0 * +bit 462 O 0 * +bit 461 O 0 * +bit 460 O 0 * +bit 459 I 1 S1_DIN +bit 458 O 0 * +bit 457 O 0 * +bit 456 I 1 S1_RIN +bit 455 O 0 * +bit 454 O 0 * +bit 453 I 1 S1_CIN_RCLKIN +bit 452 O 1 S1_RTS_TSTROBE 451 0 Z +bit 451 C 0 * +bit 450 O 0 * +bit 449 O 0 * +bit 448 O 0 * +bit 447 I 1 S1_TIN +bit 446 O 0 * +bit 445 O 0 * +bit 444 I 1 S1_CTS_TCLKIN +bit 443 O 1 GPIO1 442 0 Z +bit 442 C 0 * +bit 441 I 1 GPIO1 +bit 440 O 1 S1_DOUT 439 0 Z +bit 439 C 0 * +bit 438 O 0 * +bit 437 O 0 * +bit 436 O 0 * +bit 435 I 1 S0_DIN +bit 434 O 1 S0_RTS_TSTROBE 433 0 Z +bit 433 C 0 * +bit 432 O 0 * +bit 431 O 0 * +bit 430 O 0 * +bit 429 I 1 S0_RIN +bit 428 O 1 S0_COUT 427 0 Z +bit 427 C 0 * +bit 426 O 0 * +bit 425 O 0 * +bit 424 O 0 * +bit 423 I 1 S0_CIN_RCLKIN +bit 422 O 0 * +bit 421 O 0 * +bit 420 I 1 S0_CTS_TCLKIN +bit 419 O 0 * +bit 418 O 0 * +bit 417 I 1 S0_TIN +bit 416 O 1 S0_DOUT 415 0 Z +bit 415 C 0 * +bit 414 O 0 * +bit 413 O 1 GPIO5 412 0 Z +bit 412 C 0 * +bit 411 I 1 GPIO5 +bit 410 O 1 GPIO0 409 0 Z +bit 409 C 0 * +bit 408 I 1 GPIO0 +bit 407 O 1 GPIO4 406 0 Z +bit 406 C 0 * +bit 405 I 1 GPIO4 +bit 404 O 1 GPIO3 403 0 Z +bit 403 C 0 * +bit 402 I 1 GPIO3 +bit 401 O 1 GPIO2 400 0 Z +bit 400 C 0 * +bit 399 I 1 GPIO2 +bit 398 O 0 * +bit 397 O 0 * +bit 396 I 1 IO_RDY +bit 395 O 1 IO_OE_L 394 0 Z +bit 394 C 0 * +bit 393 O 0 * +bit 392 O 1 IO_WR_L 391 0 Z +bit 391 C 0 * +bit 390 O 0 * +bit 389 O 1 IO_ALE 388 0 Z +bit 388 C 0 * +bit 387 O 0 * +bit 386 O 1 IO_CS_L7 385 0 Z +bit 385 C 0 * +bit 384 O 0 * +bit 383 O 1 IO_CS_L6 382 0 Z +bit 382 C 0 * +bit 381 O 0 * +bit 380 O 1 IO_CS_L5 379 0 Z +bit 379 C 0 * +bit 378 O 0 * +bit 377 O 1 IO_CS_L4 376 0 Z +bit 376 C 0 * +bit 375 O 0 * +bit 374 O 1 IO_CS_L3 373 0 Z +bit 373 C 0 * +bit 372 O 0 * +bit 371 O 1 IO_CS_L2 370 0 Z +bit 370 C 0 * +bit 369 O 0 * +bit 368 O 1 IO_CS_L1 367 0 Z +bit 367 C 0 * +bit 366 O 0 * +bit 365 O 1 IO_CS_L0 364 0 Z +bit 364 C 0 * +bit 363 O 0 * +bit 362 O 1 IO_AD31 361 0 Z +bit 361 C 0 * +bit 360 I 1 IO_AD31 +bit 359 O 1 IO_AD30 358 0 Z +bit 358 C 0 * +bit 357 I 1 IO_AD30 +bit 356 O 1 IO_AD28 355 0 Z +bit 355 C 0 * +bit 354 I 1 IO_AD28 +bit 353 O 1 IO_AD29 352 0 Z +bit 352 C 0 * +bit 351 I 1 IO_AD29 +bit 350 O 1 IO_AD27 349 0 Z +bit 349 C 0 * +bit 348 I 1 IO_AD27 +bit 347 O 1 IO_AD26 346 0 Z +bit 346 C 0 * +bit 345 I 1 IO_AD26 +bit 344 O 1 IO_AD25 343 0 Z +bit 343 C 0 * +bit 342 I 1 IO_AD25 +bit 341 O 1 IO_AD24 340 0 Z +bit 340 C 0 * +bit 339 I 1 IO_AD24 +bit 338 O 1 IO_AD23 337 0 Z +bit 337 C 0 * +bit 336 I 1 IO_AD23 +bit 335 O 1 IO_AD22 334 0 Z +bit 334 C 0 * +bit 333 I 1 IO_AD22 +bit 332 O 1 IO_AD21 331 0 Z +bit 331 C 0 * +bit 330 I 1 IO_AD21 +bit 329 O 1 IO_AD20 328 0 Z +bit 328 C 0 * +bit 327 I 1 IO_AD20 +bit 326 O 1 IO_AD19 325 0 Z +bit 325 C 0 * +bit 324 I 1 IO_AD19 +bit 323 O 1 IO_AD18 322 0 Z +bit 322 C 0 * +bit 321 I 1 IO_AD18 +bit 320 O 1 IO_AD17 319 0 Z +bit 319 C 0 * +bit 318 I 1 IO_AD17 +bit 317 O 1 IO_AD15 316 0 Z +bit 316 C 0 * +bit 315 I 1 IO_AD15 +bit 314 O 1 IO_AD16 313 0 Z +bit 313 C 0 * +bit 312 I 1 IO_AD16 +bit 311 O 1 IO_AD11 310 0 Z +bit 310 C 0 * +bit 309 I 1 IO_AD11 +bit 308 O 1 IO_AD14 307 0 Z +bit 307 C 0 * +bit 306 I 1 IO_AD14 +bit 305 O 1 IO_AD12 304 0 Z +bit 304 C 0 * +bit 303 I 1 IO_AD12 +bit 302 O 1 IO_AD13 301 0 Z +bit 301 C 0 * +bit 300 I 1 IO_AD13 +bit 299 O 1 IO_AD10 298 0 Z +bit 298 C 0 * +bit 297 I 1 IO_AD10 +bit 296 O 1 IO_AD9 295 0 Z +bit 295 C 0 * +bit 294 I 1 IO_AD9 +bit 293 O 0 * +bit 292 O 0 * +bit 291 I 1 SPARE5 +bit 290 O 0 * +bit 289 O 0 * +bit 288 O 0 * +bit 287 O 0 * +bit 286 O 0 * +bit 285 I 1 CLK100N +bit 284 O 0 * +bit 283 O 0 * +bit 282 I 1 PLLBYP +bit 281 O 0 * +bit 280 O 0 * +bit 279 I 1 CLK100P +bit 278 O 0 * +bit 277 O 0 * +bit 276 O 0 * +bit 275 O 1 RESETOUT_L 274 0 Z +bit 274 C 0 * +bit 273 O 0 * +bit 272 O 1 IO_AD8 271 0 Z +bit 271 C 0 * +bit 270 I 1 IO_AD8 +bit 269 O 1 IO_AD7 268 0 Z +bit 268 C 0 * +bit 267 I 1 IO_AD7 +bit 266 O 1 DEBUG_L 265 0 Z +bit 265 C 0 * +bit 264 I 1 DEBUG_L +bit 263 O 1 IO_AD6 262 0 Z +bit 262 C 0 * +bit 261 I 1 IO_AD6 +bit 260 O 1 IO_AD5 259 0 Z +bit 259 C 0 * +bit 258 I 1 IO_AD5 +bit 257 O 1 IO_AD3 256 0 Z +bit 256 C 0 * +bit 255 I 1 IO_AD3 +bit 254 O 1 IO_AD4 253 0 Z +bit 253 C 0 * +bit 252 I 1 IO_AD4 +bit 251 O 1 IO_AD2 250 0 Z +bit 250 C 0 * +bit 249 I 1 IO_AD2 +bit 248 O 1 IO_AD1 247 0 Z +bit 247 C 0 * +bit 246 I 1 IO_AD1 +bit 245 O 1 IO_AD0 244 0 Z +bit 244 C 0 * +bit 243 I 1 IO_AD0 +bit 242 O 1 GPIO6 241 0 Z +bit 241 C 0 * +bit 240 I 1 GPIO6 +bit 239 O 1 GPIO7 238 0 Z +bit 238 C 0 * +bit 237 I 1 GPIO7 +bit 236 O 1 GPIO8 235 0 Z +bit 235 C 0 * +bit 234 I 1 GPIO8 +bit 233 O 1 GPIO9 232 0 Z +bit 232 C 0 * +bit 231 I 1 GPIO9 +bit 230 O 1 GPIO10 229 0 Z +bit 229 C 0 * +bit 228 I 1 GPIO10 +bit 227 O 1 IO_CLK100 226 0 Z +bit 226 C 0 * +bit 225 O 0 * +bit 224 O 1 GPIO11 223 0 Z +bit 223 C 0 * +bit 222 I 1 GPIO11 +bit 221 O 1 GPIO12 220 0 Z +bit 220 C 0 * +bit 219 I 1 GPIO12 +bit 218 O 1 GPIO13 217 0 Z +bit 217 C 0 * +bit 216 I 1 GPIO13 +bit 215 O 1 PC_ENVPP 214 0 Z +bit 214 C 0 * +bit 213 O 0 * +bit 212 O 1 GPIO14 211 0 Z +bit 211 C 0 * +bit 210 I 1 GPIO14 +bit 209 O 1 PC_ENV5V 208 0 Z +bit 208 C 0 * +bit 207 O 0 * +bit 206 O 1 GPIO15 205 0 Z +bit 205 C 0 * +bit 204 I 1 GPIO15 +bit 203 O 1 SCL1 202 0 Z +bit 202 C 0 * +bit 201 I 1 SCL1 +bit 200 O 1 PC_ENV3V 199 0 Z +bit 199 C 0 * +bit 198 O 0 * +bit 197 O 1 P_GNT_L3 196 0 Z +bit 196 C 0 * +bit 195 O 0 * +bit 194 O 1 SDA1 193 0 Z +bit 193 C 0 * +bit 192 I 1 SDA1 +bit 191 O 1 SCL0 190 0 Z +bit 190 C 0 * +bit 189 I 1 SCL0 +bit 188 O 1 SDA0 187 0 Z +bit 187 C 0 * +bit 186 I 1 SDA0 +bit 185 O 1 P_GNT_L2 184 0 Z +bit 184 C 0 * +bit 183 O 0 * +bit 182 O 0 * +bit 181 O 0 * +bit 180 I 1 P_CLK +bit 179 O 1 P_REQ_l0 178 0 Z +bit 178 C 0 * +bit 177 I 1 P_REQ_l0 +bit 176 O 0 * +bit 175 O 0 * +bit 174 I 1 P_REQ_L3 +bit 173 O 1 P_GNT_L1 172 0 Z +bit 172 C 0 * +bit 171 O 0 * +bit 170 O 0 * +bit 169 O 0 * +bit 168 I 1 P_REQ_L1 +bit 167 O 1 P_GNT_l0 166 0 Z +bit 166 C 0 * +bit 165 I 1 P_GNT_l0 +bit 164 O 0 * +bit 163 O 0 * +bit 162 I 1 P_REQ_L2 +bit 161 O 1 P_IRDY_L 160 0 Z +bit 160 C 0 * +bit 159 I 1 P_IRDY_L +bit 158 O 1 P_FRAME_L 157 0 Z +bit 157 C 0 * +bit 156 I 1 P_FRAME_L +bit 155 O 1 P_TRDY_L 154 0 Z +bit 154 C 0 * +bit 153 I 1 P_TRDY_L +bit 152 O 1 P_DEVSEL_L 151 0 Z +bit 151 C 0 * +bit 150 I 1 P_DEVSEL_L +bit 149 O 1 P_PERR_L 148 0 Z +bit 148 C 0 * +bit 147 I 1 P_PERR_L +bit 146 O 1 P_STOP_L 145 0 Z +bit 145 C 0 * +bit 144 I 1 P_STOP_L +bit 143 O 1 P_PAR 142 0 Z +bit 142 C 0 * +bit 141 I 1 P_PAR +bit 140 O 1 P_SERR_L 139 0 Z +bit 139 C 0 * +bit 138 I 1 P_SERR_L +bit 137 O 1 P_INTA_L 136 0 Z +bit 136 C 0 * +bit 135 I 1 P_INTA_L +bit 134 O 1 P_CBE_L3 133 0 Z +bit 133 C 0 * +bit 132 I 1 P_CBE_L3 +bit 131 O 0 * +bit 130 O 0 * +bit 129 I 1 P_INTB_L +bit 128 O 1 P_CBE_L2 127 0 Z +bit 127 C 0 * +bit 126 I 1 P_CBE_L2 +bit 125 O 0 * +bit 124 O 0 * +bit 123 I 1 P_INTC_L +bit 122 O 1 P_CBE_L1 121 0 Z +bit 121 C 0 * +bit 120 I 1 P_CBE_L1 +bit 119 O 0 * +bit 118 O 0 * +bit 117 I 1 P_INTD_L +bit 116 O 1 P_CBE_L0 115 0 Z +bit 115 C 0 * +bit 114 I 1 P_CBE_L0 +bit 113 O 1 P_RST_L 112 0 Z +bit 112 C 0 * +bit 111 O 0 * +bit 110 O 0 * +bit 109 O 0 * +bit 108 O 0 * +bit 107 O 0 * +bit 106 O 0 * +bit 105 O 0 * +bit 104 O 0 * +bit 103 O 0 * +bit 102 O 0 * +bit 101 O 0 * +bit 100 O 0 * +bit 99 O 0 * +bit 98 O 1 P_AD0 97 0 Z +bit 97 C 0 * +bit 96 I 1 P_AD0 +bit 95 O 0 * +bit 94 O 0 * +bit 93 O 0 * +bit 92 O 1 P_AD1 91 0 Z +bit 91 C 0 * +bit 90 I 1 P_AD1 +bit 89 O 1 P_AD2 88 0 Z +bit 88 C 0 * +bit 87 I 1 P_AD2 +bit 86 O 1 P_AD7 85 0 Z +bit 85 C 0 * +bit 84 I 1 P_AD7 +bit 83 O 1 P_AD3 82 0 Z +bit 82 C 0 * +bit 81 I 1 P_AD3 +bit 80 O 1 P_AD8 79 0 Z +bit 79 C 0 * +bit 78 I 1 P_AD8 +bit 77 O 1 P_AD4 76 0 Z +bit 76 C 0 * +bit 75 I 1 P_AD4 +bit 74 O 1 P_AD9 73 0 Z +bit 73 C 0 * +bit 72 I 1 P_AD9 +bit 71 O 1 P_AD5 70 0 Z +bit 70 C 0 * +bit 69 I 1 P_AD5 +bit 68 O 1 P_AD10 67 0 Z +bit 67 C 0 * +bit 66 I 1 P_AD10 +bit 65 O 1 P_AD6 64 0 Z +bit 64 C 0 * +bit 63 I 1 P_AD6 +bit 62 O 1 P_AD13 61 0 Z +bit 61 C 0 * +bit 60 I 1 P_AD13 +bit 59 O 1 P_AD11 58 0 Z +bit 58 C 0 * +bit 57 I 1 P_AD11 +bit 56 O 1 P_AD16 55 0 Z +bit 55 C 0 * +bit 54 I 1 P_AD16 +bit 53 O 1 P_AD12 52 0 Z +bit 52 C 0 * +bit 51 I 1 P_AD12 +bit 50 O 1 P_AD17 49 0 Z +bit 49 C 0 * +bit 48 I 1 P_AD17 +bit 47 O 1 P_AD15 46 0 Z +bit 46 C 0 * +bit 45 I 1 P_AD15 +bit 44 O 1 P_AD18 43 0 Z +bit 43 C 0 * +bit 42 I 1 P_AD18 +bit 41 O 1 P_AD14 40 0 Z +bit 40 C 0 * +bit 39 I 1 P_AD14 +bit 38 O 1 P_AD21 37 0 Z +bit 37 C 0 * +bit 36 I 1 P_AD21 +bit 35 O 1 P_AD19 34 0 Z +bit 34 C 0 * +bit 33 I 1 P_AD19 +bit 32 O 1 P_AD24 31 0 Z +bit 31 C 0 * +bit 30 I 1 P_AD24 +bit 29 O 1 P_AD22 28 0 Z +bit 28 C 0 * +bit 27 I 1 P_AD22 +bit 26 O 1 P_AD25 25 0 Z +bit 25 C 0 * +bit 24 I 1 P_AD25 +bit 23 O 1 P_AD23 22 0 Z +bit 22 C 0 * +bit 21 I 1 P_AD23 +bit 20 O 1 P_AD28 19 0 Z +bit 19 C 0 * +bit 18 I 1 P_AD28 +bit 17 O 1 P_AD20 16 0 Z +bit 16 C 0 * +bit 15 I 1 P_AD20 +bit 14 O 1 P_AD27 13 0 Z +bit 13 C 0 * +bit 12 I 1 P_AD27 +bit 11 O 1 P_AD26 10 0 Z +bit 10 C 0 * +bit 9 I 1 P_AD26 +bit 8 O 1 P_AD30 7 0 Z +bit 7 C 0 * +bit 6 I 1 P_AD30 +bit 5 O 1 P_AD29 4 0 Z +bit 4 C 0 * +bit 3 I 1 P_AD29 +bit 2 O 1 P_AD31 1 0 Z +bit 1 C 0 * +bit 0 I 1 P_AD31 + +initbus bcm1250 diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm3310/STEPPINGS b/sie_fs/usr/local/share/urjtag/broadcom/bcm3310/STEPPINGS new file mode 100644 index 0000000..9b57c35 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm3310/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 493 2003-07-25 09:41:19Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2003. +# + +# bits 31-28 of the Device Identification Register +0000 bcm3310 0 diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm3310/bcm3310 b/sie_fs/usr/local/share/urjtag/broadcom/bcm3310/bcm3310 new file mode 100644 index 0000000..bed46ca --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm3310/bcm3310 @@ -0,0 +1,37 @@ +# +# $Id: bcm3310 493 2003-07-25 09:41:19Z telka $ +# +# JTAG declarations for Broadcom BCM3310 +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2003. +# + +# mandatory data registers +register BSR 397 +register BR 1 +# optional data registers +register DIR 32 + +instruction length 5 +# mandatory instructions +instruction EXTEST 00000 BSR # TODO: EXTEST instruction value not verified +instruction SAMPLE/PRELOAD 00010 BSR # TODO: SAMPLE/PRELOAD instruction value not verified +instruction BYPASS 11111 BR +# optional instructions +instruction IDCODE 00001 DIR # TODO: IDCODE instruction value not verified diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm4712/STEPPINGS b/sie_fs/usr/local/share/urjtag/broadcom/bcm4712/STEPPINGS new file mode 100644 index 0000000..1536a82 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm4712/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 599 2004-06-13 18:16:43Z telka $ +# +# Copyright (C) 2004 Alan Wallace +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Alan Wallace , 2004. +# + +# bits 31-28 of the Device Identification Register +0001 bcm4712 Ver 1 diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm4712/bcm4712 b/sie_fs/usr/local/share/urjtag/broadcom/bcm4712/bcm4712 new file mode 100644 index 0000000..d47b52b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm4712/bcm4712 @@ -0,0 +1,39 @@ +# +# $Id: bcm4712 599 2004-06-13 18:16:43Z telka $ +# +# JTAG declarations for Broadcom BCM4712 +# Copyright (C) 2004 Alan Wallace +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Alan Wallace , 2004. +# + +# mandatory data registers +register BSR 594 +register BR 1 + +# optional data registers +register DIR 32 + +instruction length 8 +# mandatory instructions +instruction EXTEST 00000000 BSR +instruction SAMPLE/PRELOAD 00000010 BSR +instruction BYPASS 11111111 BR + +# optional instructions +instruction IDCODE 00000001 DIR diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm5421s/STEPPINGS b/sie_fs/usr/local/share/urjtag/broadcom/bcm5421s/STEPPINGS new file mode 100644 index 0000000..9c12ffd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm5421s/STEPPINGS @@ -0,0 +1,24 @@ +# +# $Id: STEPPINGS 442 2003-05-26 09:04:38Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +0001 bcm5421s V1 diff --git a/sie_fs/usr/local/share/urjtag/broadcom/bcm5421s/bcm5421s b/sie_fs/usr/local/share/urjtag/broadcom/bcm5421s/bcm5421s new file mode 100644 index 0000000..b5d04be --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/broadcom/bcm5421s/bcm5421s @@ -0,0 +1,328 @@ +# +# $Id: bcm5421s 505 2003-08-13 09:37:19Z telka $ +# +# JTAG declarations for BCM5421S +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +signal PAD_gtx_clk +signal PAD_txd10 +signal PAD_txd11 +signal PAD_txd12 +signal PAD_txd13 +signal PAD_txd14 +signal PAD_txd15 +signal PAD_txd16 +signal PAD_txd17 +signal PAD_tx_en +signal PAD_tx_er +signal PAD_clk125 +signal PAD_col +signal PAD_crs +signal PAD_rbc0 +signal PAD_rbc1 +signal PAD_rxc +signal PAD_rxd10 +signal PAD_rxd11 +signal PAD_rxd12 +signal PAD_rxd13 +signal PAD_rxd14 +signal PAD_rxd15 +signal PAD_rxd16 +signal PAD_rxd17 +signal PAD_rx_dv +signal PAD_rx_er +signal PAD_txc +signal PAD_bcm5421s_en +signal PAD_edgerate +signal PAD_mdc +signal PAD_ovdd_2_5v_enPAD_reset_n +signal PAD_reset_n +signal PAD_tck +signal PAD_tdi +signal PAD_test0 +signal PAD_test1 +signal PAD_tms +signal PAD_tpin0 +signal PAD_tpin1 +signal PAD_tpin2 +signal PAD_tpin3 +signal PAD_tpin4 +signal PAD_tpin5 +signal PAD_tpin6 +signal PAD_tpin7 +signal PAD_tpin8 +signal PAD_trstb +signal PAD_en10b +signal PAD_f1000 +signal PAD_fdxled_n +signal PAD_intr_n +signal PAD_link_n1 +signal PAD_link_n2 +signal PAD_mdio +signal PAD_phya0 +signal PAD_phya1 +signal PAD_phya2 +signal PAD_phya3 +signal PAD_phya4 +signal PAD_rcvled_n +signal PAD_slave_n +signal PAD_spd0 +signal PAD_xmtled_n +signal PAD_tdo +signal PAD_srxdn +signal PAD_srxdp +signal PAD_stxdn +signal PAD_stxdp +signal PAD_stxcn +signal PAD_stxcp +signal PAD_sergnd +signal PAD_serpllavdd +signal PAD_serpllavss +signal PAD_serplldvdd +signal PAD_serplldvss +signal PAD_servdd11 +signal PAD_servdd25 +signal PAD_reg_cntl0 +signal PAD_reg_cntl1 +signal PAD_reg_sense1 +signal PAD_reg_sense2 +signal PAD_reg_supply1 +signal PAD_reg_supply2 +signal PAD_trimdac10 +signal PAD_trimdac11 +signal PAD_trimdac12 +signal PAD_trimdac13 +signal PAD_trimhyb10 +signal PAD_trimhyb11 +signal PAD_trimhyb12 +signal PAD_trimhyb13 +signal PAD_trimhpf10 +signal PAD_trimhpf11 +signal PAD_xtali +signal PAD_xtali2 +signal PAD_xtalo +signal PAD_xtalo2 +signal PAD_xtalgnd1 +signal PAD_xtalgnd2 +signal PAD_xtalvdd1 +signal PAD_xtalvdd2 +signal PAD_xtalvdd3 +signal PAD_xtalvdd2 +signal PAD_plldgnd +signal PAD_plldvdd +signal PAD_pllgnd +signal PAD_pllvdd +signal PAD_tvcoi +signal CORE_VDD +signal CORE_VSS +signal OVDD +signal OGND +signal PVDD +signal PAD_rdac1 +signal PAD_extvref1 +signal PAD_bagnd_0 +signal PAD_bavdd_0 +signal PAD_bavdd_1 +signal PAD_rxavdd0_0 +signal PAD_rxavdd1_0 +signal PAD_rxavdd2_0 +signal PAD_rxavdd3_0 +signal PAD_txavdd0_0 +signal PAD_txavdd1_0 +signal PAD_txavdd2_0 +signal PAD_txavdd3_0 +signal PAD_rxagnd0_0 +signal PAD_rxagnd1_0 +signal PAD_rxagnd2_0 +signal PAD_rxagnd3_0 +signal PAD_txagnd0_0 +signal PAD_txagnd1_0 +signal PAD_txagnd2_0 +signal PAD_txagnd3_0 +signal PAD_tdp1_0 +signal PAD_tdn1_0 +signal PAD_tdn1_1 +signal PAD_tdp1_1 +signal PAD_tdp1_2 +signal PAD_tdn1_2 +signal PAD_tdn1_3 + +register BSR 150 +register BR 1 +register DIR 32 + +instruction length 3 + +instruction BYPASS 111 BR +instruction EXTEST 000 BSR +instruction SAMPLE/PRELOAD 010 BSR +instruction IDCODE 101 DIR + +bit 149 I 1 PAD_rxd13 +bit 148 O 1 PAD_rxd13 147 1 Z +bit 147 C 1 * +bit 146 I 1 PAD_rxd12 +bit 145 O 1 PAD_rxd12 144 1 Z +bit 144 C 1 * +bit 143 I 1 PAD_rxd11 +bit 142 O 1 PAD_rxd11 141 1 Z +bit 141 C 1 * +bit 140 I 1 PAD_rxd10 +bit 139 O 1 PAD_rxd10 138 1 Z +bit 138 C 1 * +bit 137 I 1 PAD_col +bit 136 O 1 PAD_col 135 1 Z +bit 135 C 1 * +bit 134 I 1 PAD_crs +bit 133 O 1 PAD_crs 132 1 Z +bit 132 C 1 * +bit 131 I 1 PAD_clk125 +bit 130 O 1 PAD_clk125 129 1 Z +bit 129 C 1 * +bit 128 I 1 PAD_reset_n +bit 127 I 1 PAD_mdc +bit 126 I 1 PAD_mdio +bit 125 O 1 PAD_mdio 124 1 Z +bit 124 C 1 * +bit 123 I 1 PAD_tpin8 +bit 122 I 1 PAD_en10b +bit 121 O 1 PAD_en10b 120 1 Z +bit 120 C 1 * +bit 119 I 1 PAD_f1000 +bit 118 O 1 PAD_f1000 117 1 Z +bit 117 C 1 * +bit 116 I 1 PAD_spd0 +bit 115 O 1 PAD_spd0 114 1 Z +bit 114 C 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 I 1 PAD_phya4 +bit 110 O 1 PAD_phya4 109 1 Z +bit 109 C 1 * +bit 108 I 1 PAD_phya3 +bit 107 O 1 PAD_phya3 106 1 Z +bit 106 C 1 * +bit 105 I 1 PAD_phya2 +bit 104 O 1 PAD_phya2 103 1 Z +bit 103 C 1 * +bit 102 I 1 PAD_phya1 +bit 101 O 1 PAD_phya1 100 1 Z +bit 100 C 1 * +bit 99 I 1 PAD_phya0 +bit 98 O 1 PAD_phya0 97 1 Z +bit 97 C 1 * +bit 96 I 1 PAD_test1 +bit 95 I 1 PAD_test0 +bit 94 I 1 PAD_xmtled_n +bit 93 O 1 PAD_xmtled_n 92 1 Z +bit 92 C 1 * +bit 91 I 1 PAD_rcvled_n +bit 90 O 1 PAD_rcvled_n 89 1 Z +bit 89 C 1 * +bit 88 I 1 PAD_link_n2 +bit 87 O 1 PAD_link_n2 86 1 Z +bit 86 C 1 * +bit 85 I 1 PAD_link_n1 +bit 84 O 1 PAD_link_n1 83 1 Z +bit 83 C 1 * +bit 82 I 1 PAD_fdxled_n +bit 81 O 1 PAD_fdxled_n 80 1 Z +bit 80 C 1 * +bit 79 I 1 PAD_slave_n +bit 78 O 1 PAD_slave_n 77 1 Z +bit 77 C 1 * +bit 76 I 1 PAD_intr_n +bit 75 O 1 PAD_intr_n 74 1 Z +bit 74 C 1 * +bit 73 I 1 PAD_tpin0 +bit 72 I 1 PAD_tpin1 +bit 71 I 1 PAD_tpin2 +bit 70 I 1 PAD_tpin3 +bit 69 I 1 PAD_tpin4 +bit 68 I 1 PAD_tpin5 +bit 67 O 1 PAD_tpin5 66 1 Z +bit 66 C 1 * +bit 65 I 1 PAD_tpin6 +bit 64 I 1 PAD_tpin7 +bit 63 I 1 PAD_txc +bit 62 O 1 PAD_txc 61 1 Z +bit 61 C 1 * +bit 60 I 1 PAD_txd10 +bit 59 O 1 * +bit 58 C 1 * +bit 57 I 1 PAD_txd11 +bit 56 O 1 * +bit 55 C 1 * +bit 54 I 1 PAD_txd12 +bit 53 O 1 * +bit 52 C 1 * +bit 51 I 1 PAD_txd13 +bit 50 O 1 * +bit 49 C 1 * +bit 48 I 1 PAD_txd14 +bit 47 O 1 * +bit 46 C 1 * +bit 45 I 1 PAD_txd15 +bit 44 O 1 * +bit 43 C 1 * +bit 42 I 1 PAD_txd16 +bit 41 O 1 * +bit 40 C 1 * +bit 39 I 1 PAD_txd17 +bit 38 O 1 * +bit 37 C 1 * +bit 36 I 1 PAD_tx_er +bit 35 O 1 * +bit 34 C 1 * +bit 33 I 1 PAD_tx_en +bit 32 O 1 * +bit 31 C 1 * +bit 30 I 1 PAD_gtx_clk +bit 29 O 1 * +bit 28 C 1 * +bit 27 I 1 PAD_rbc0 +bit 26 O 1 PAD_rbc0 25 1 Z +bit 25 C 1 * +bit 24 I 1 PAD_rbc1 +bit 23 O 1 PAD_rbc1 22 1 Z +bit 22 C 1 * +bit 21 I 1 PAD_rxc +bit 20 O 1 PAD_rxc 19 1 Z +bit 19 C 1 * +bit 18 I 1 PAD_rx_er +bit 17 O 1 PAD_rx_er 16 1 Z +bit 16 C 1 * +bit 15 I 1 PAD_rx_dv +bit 14 O 1 PAD_rx_dv 13 1 Z +bit 13 C 1 * +bit 12 I 1 PAD_rxd17 +bit 11 O 1 PAD_rxd17 10 1 Z +bit 10 C 1 * +bit 9 I 1 PAD_rxd16 +bit 8 O 1 PAD_rxd16 7 1 Z +bit 7 C 1 * +bit 6 I 1 PAD_rxd15 +bit 5 O 1 PAD_rxd15 4 1 Z +bit 4 C 1 * +bit 3 I 1 PAD_edgerate +bit 2 I 1 PAD_rxd14 +bit 1 O 1 PAD_rxd14 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_1990 b/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_1990 new file mode 100644 index 0000000..330b17b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_1990 @@ -0,0 +1,255 @@ +-- +-- $Id: STD_1149_1_1990 943 2008-01-22 21:14:43Z arniml $ +-- +-- Email header accompanying the original Yacc code: +-- http://www.eda.org/vug_bbs/bsdl.parser +-- +-- -----------------------------------8<-------------------------------------- +-- +-- Hello All, +-- +-- This is this first mailing of the BSDL* Version 0.0 parser specifications +-- we are sending to people who request it from our publicized E-Mail address; +-- +-- bsdl%hpmtlx@hplabs.HP.com +-- +-- You are free to redistribute this at will, but we feel that it would be +-- better if respondents asked for it directly so that their addresses can +-- be entered into our list for future mailings and updates. +-- +-- It would be helpful if you could confirm receipt of this transmission. +-- We also would be very interested to hear about your experiences with this +-- information and what you are planning to do with BSDL. +-- +-- Regards, +-- +-- Ken Parker +-- Hewlett-Packard Company +-- +-- +-- *Boundary-Scan Description Language - as documented in: +-- +-- "A Language for Describing Boundary-Scan Devices", K.P. Parker +-- and S. Oresjo, Proceedings 1990 International Test Conference, +-- Washington DC, pp 222-234 +-- +-- +-- - -----------------cut here--------------------------------------------------- +-- +-- +-- 901004.0721 Hewlett-Packard Company +-- 901016.1049 Manufacturing Test Division +-- P.O. Box 301 +-- Loveland, Colorado 80537 +-- USA +-- +-- October 1990 +-- Hello BSDL Parser Requestor, +-- +-- This Electronic Mail reply contains the computer specifications for +-- Hewlett-Packard's Version 0.0 BSDL parser. This section of the reply +-- explains the contents of the rest of this file. +-- +-- This file is composed of seven (7) parts: +-- +-- 1) How to use this file +-- +-- 2) UNIX* Lex source (lexicographical tokenizing rules) +-- +-- 3) UNIX* Yacc source (BNF-like syntax description) +-- +-- 4) A sample main program to recognize BSDL. +-- +-- 5) A BSDL description of the Texas Instruments 74bct8374 that is +-- recognized by the parser, for testing purposes. +-- +-- 6) The VHDL package STD_1149_1_1990 needed by this parser. +-- +-- 7) [added 901016] Porting experiences to other systems. +-- +-- +-- RECOMMENDATION: Save a copy of this file in archival storage before +-- processing it via the instructions below. This will +-- allow you to recover from errors, and allow you to +-- compare subsequently released data for changes. +-- +-- DISCLAIMERS: +-- +-- 1. The IEEE 1149.1 Working Group has not endorsed BSDL Version 0.0 and +-- therefore no person may represent it as an IEEE standard or imply that +-- a resulting IEEE standard will be identical to it. +-- +-- 2. The IEEE 1149.1 Working Group recognizes that BSDL Version 0.0 is a +-- well-conceived initiative that is likely to excelerate the creation +-- of tools that support the 1149.1 standard. As such, changes and +-- enhancements will be carefully considered so as not to needlessly +-- disrupt these development efforts. The overriding goal is the +-- ultimate success of the 1149.1 standard. +-- +-- LEGAL NOTICES: +-- +-- Hewlett-Packard Company makes no warranty of any kind with regard to +-- this information, including, but not limited to, the implied +-- waranties of merchantability and fitness for a particular purpose. +-- +-- Hewlett-Packard Company shall not be liable for errors contained +-- herein or direct, indirect, special, incidental, or consequential +-- damages in connection with the furnishing, performance, or use of +-- this material. +-- +-- +-- *UNIX is a trademark of AT&T in the USA and other countries. +-- + +-- STD_1149_1_1990 VHDL Package and Package Body in support of +-- BSDL Version 0.0 +-- + + package STD_1149_1_1990 is -- Created 900525 + + -- Give pin mapping declarations + + attribute PIN_MAP : string; + subtype PIN_MAP_STRING is string; + + -- Give TAP control declarations + + type CLOCK_LEVEL is (LOW, BOTH); + type CLOCK_INFO is record + FREQ : real; + LEVEL: CLOCK_LEVEL; + end record; + + attribute TAP_SCAN_IN : boolean; + attribute TAP_SCAN_OUT : boolean; + attribute TAP_SCAN_CLOCK: CLOCK_INFO; + attribute TAP_SCAN_MODE : boolean; + attribute TAP_SCAN_RESET: boolean; + + -- Give instruction register declarations + + attribute INSTRUCTION_LENGTH : integer; + attribute INSTRUCTION_OPCODE : string; + attribute INSTRUCTION_CAPTURE : string; + attribute INSTRUCTION_DISABLE : string; + attribute INSTRUCTION_GUARD : string; + attribute INSTRUCTION_PRIVATE : string; + attribute INSTRUCTION_USAGE : string; + attribute INSTRUCTION_SEQUENCE : string; + + -- Give ID and USER code declarations + + type ID_BITS is ('0', '1', 'x', 'X'); + type ID_STRING is array (31 downto 0) of ID_BITS; + attribute IDCODE_REGISTER : ID_STRING; + attribute USERCODE_REGISTER: ID_STRING; + + -- Give register declarations + + attribute REGISTER_ACCESS : string; + + -- Give boundary cell declarations + + type BSCAN_INST is (EXTEST, SAMPLE, INTEST, RUNBIST); + type CELL_TYPE is (INPUT, INTERNAL, CLOCK, + CONTROL, CONTROLR, OUTPUT2, + OUTPUT3, BIDIR_IN, BIDIR_OUT); + type CAP_DATA is (PI, PO, UPD, CAP, X, ZERO, ONE); + type CELL_DATA is record + CT : CELL_TYPE; + I : BSCAN_INST; + CD : CAP_DATA; + end record; + type CELL_INFO is array (positive range <>) of CELL_DATA; + + -- Boundary Cell defered constants (see package body) + + constant BC_1 : CELL_INFO; + constant BC_2 : CELL_INFO; + constant BC_3 : CELL_INFO; + constant BC_4 : CELL_INFO; + constant BC_5 : CELL_INFO; + constant BC_6 : CELL_INFO; + + -- Boundary Register declarations + + attribute BOUNDARY_CELLS : string; + attribute BOUNDARY_LENGTH : integer; + attribute BOUNDARY_REGISTER : string; + + -- Miscellaneous + + attribute DESIGN_WARNING : string; +end STD_1149_1_1990; -- End of 1149.1-1990 Package + + +package body STD_1149_1_1990 is -- Standard Boundary Cells + -- Written 900525 + +-- Description for f10-12, f10-16, f10-18c, f10-18d, f10-21c + +constant BC_1 : CELL_INFO := + ((INPUT, EXTEST, PI), (OUTPUT2, EXTEST, PI), + (INPUT, SAMPLE, PI), (OUTPUT2, SAMPLE, PI), + (INPUT, INTEST, PI), (OUTPUT2, INTEST, PI), + (INPUT, RUNBIST, PI), (OUTPUT2, RUNBIST, PI), + (OUTPUT3, EXTEST, PI), (INTERNAL, EXTEST, PI), + (OUTPUT3, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (OUTPUT3, INTEST, PI), (INTERNAL, INTEST, PI), + (OUTPUT3, RUNBIST, PI), (INTERNAL, RUNBIST, PI), + (CONTROL, EXTEST, PI), (CONTROLR, EXTEST, PI), + (CONTROL, SAMPLE, PI), (CONTROLR, SAMPLE, PI), + (CONTROL, INTEST, PI), (CONTROLR, INTEST, PI), + (CONTROL, RUNBIST, PI), (CONTROLR, RUNBIST, PI) ); + +-- Description for f10-8, f10-17, f10-19c, f10-19d, f10-22c + +constant BC_2 : CELL_INFO := + ((INPUT, EXTEST, PI), (OUTPUT2, EXTEST, UPD), + (INPUT, SAMPLE, PI), (OUTPUT2, SAMPLE, PI), + (INPUT, INTEST, UPD), -- Intest on output2 not supported + (INPUT, RUNBIST, UPD), (OUTPUT2, RUNBIST, UPD), + (OUTPUT3, EXTEST, UPD), (INTERNAL, EXTEST, PI), + (OUTPUT3, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (OUTPUT3, INTEST, PI), (INTERNAL, INTEST, UPD), + (OUTPUT3, RUNBIST, PI), (INTERNAL, RUNBIST, UPD), + (CONTROL, EXTEST, UPD), (CONTROLR, EXTEST, UPD), + (CONTROL, SAMPLE, PI), (CONTROLR, SAMPLE, PI), + (CONTROL, INTEST, PI), (CONTROLR, INTEST, PI), + (CONTROL, RUNBIST, PI), (CONTROLR, RUNBIST, PI) ); + +-- Description for f10-9 + +constant BC_3 : CELL_INFO := + ((INPUT, EXTEST, PI), (INTERNAL, EXTEST, PI), + (INPUT, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (INPUT, INTEST, PI), (INTERNAL, INTEST, PI), + (INPUT, RUNBIST, PI), (INTERNAL, RUNBIST, PI) ); + +-- Description for f10-10, f10-11 + +constant BC_4 : CELL_INFO := + ((INPUT, EXTEST, PI), -- Intest on input not supported + (INPUT, SAMPLE, PI), -- Runbist on input not supported + (CLOCK, EXTEST, PI), (INTERNAL, EXTEST, PI), + (CLOCK, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (CLOCK, INTEST, PI), (INTERNAL, INTEST, PI), + (CLOCK, RUNBIST, PI), (INTERNAL, RUNBIST, PI) ); + +-- Description for f10-20c, a combined Input/Control + +constant BC_5 : CELL_INFO := + ((INPUT, EXTEST, PI), (CONTROL, EXTEST, PI), + (INPUT, SAMPLE, PI), (CONTROL, SAMPLE, PI), + (INPUT, INTEST, UPD), (CONTROL, INTEST, UPD), + (INPUT, RUNBIST, PI), (CONTROL, RUNBIST, PI) ); + +-- Description for f10-22d, a reversible cell + +constant BC_6 : CELL_INFO := + ((BIDIR_IN, EXTEST, PI), (BIDIR_OUT, EXTEST, UPD), + (BIDIR_IN, SAMPLE, PI), (BIDIR_OUT, SAMPLE, PI), + (BIDIR_IN, INTEST, UPD), (BIDIR_OUT, INTEST, PI), + (BIDIR_IN, RUNBIST, UPD), (BIDIR_OUT, RUNBIST, PI) ); + +end STD_1149_1_1990; -- End of 1149.1-1990 Package Body diff --git a/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_1994 b/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_1994 new file mode 100644 index 0000000..5aa5256 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_1994 @@ -0,0 +1,259 @@ +-- +-- $Id: STD_1149_1_1994 943 2008-01-22 21:14:43Z arniml $ +-- +-- Email header accompanying the original Yacc code: +-- http://www.eda.org/vug_bbs/bsdl.parser +-- +-- -----------------------------------8<-------------------------------------- +-- +-- Hello All, +-- +-- This is this first mailing of the BSDL* Version 0.0 parser specifications +-- we are sending to people who request it from our publicized E-Mail address; +-- +-- bsdl%hpmtlx@hplabs.HP.com +-- +-- You are free to redistribute this at will, but we feel that it would be +-- better if respondents asked for it directly so that their addresses can +-- be entered into our list for future mailings and updates. +-- +-- It would be helpful if you could confirm receipt of this transmission. +-- We also would be very interested to hear about your experiences with this +-- information and what you are planning to do with BSDL. +-- +-- Regards, +-- +-- Ken Parker +-- Hewlett-Packard Company +-- +-- +-- *Boundary-Scan Description Language - as documented in: +-- +-- "A Language for Describing Boundary-Scan Devices", K.P. Parker +-- and S. Oresjo, Proceedings 1990 International Test Conference, +-- Washington DC, pp 222-234 +-- +-- +-- - -----------------cut here--------------------------------------------------- +-- +-- +-- 901004.0721 Hewlett-Packard Company +-- 901016.1049 Manufacturing Test Division +-- P.O. Box 301 +-- Loveland, Colorado 80537 +-- USA +-- +-- October 1990 +-- Hello BSDL Parser Requestor, +-- +-- This Electronic Mail reply contains the computer specifications for +-- Hewlett-Packard's Version 0.0 BSDL parser. This section of the reply +-- explains the contents of the rest of this file. +-- +-- This file is composed of seven (7) parts: +-- +-- 1) How to use this file +-- +-- 2) UNIX* Lex source (lexicographical tokenizing rules) +-- +-- 3) UNIX* Yacc source (BNF-like syntax description) +-- +-- 4) A sample main program to recognize BSDL. +-- +-- 5) A BSDL description of the Texas Instruments 74bct8374 that is +-- recognized by the parser, for testing purposes. +-- +-- 6) The VHDL package STD_1149_1_1990 needed by this parser. +-- +-- 7) [added 901016] Porting experiences to other systems. +-- +-- +-- RECOMMENDATION: Save a copy of this file in archival storage before +-- processing it via the instructions below. This will +-- allow you to recover from errors, and allow you to +-- compare subsequently released data for changes. +-- +-- DISCLAIMERS: +-- +-- 1. The IEEE 1149.1 Working Group has not endorsed BSDL Version 0.0 and +-- therefore no person may represent it as an IEEE standard or imply that +-- a resulting IEEE standard will be identical to it. +-- +-- 2. The IEEE 1149.1 Working Group recognizes that BSDL Version 0.0 is a +-- well-conceived initiative that is likely to excelerate the creation +-- of tools that support the 1149.1 standard. As such, changes and +-- enhancements will be carefully considered so as not to needlessly +-- disrupt these development efforts. The overriding goal is the +-- ultimate success of the 1149.1 standard. +-- +-- LEGAL NOTICES: +-- +-- Hewlett-Packard Company makes no warranty of any kind with regard to +-- this information, including, but not limited to, the implied +-- waranties of merchantability and fitness for a particular purpose. +-- +-- Hewlett-Packard Company shall not be liable for errors contained +-- herein or direct, indirect, special, incidental, or consequential +-- damages in connection with the furnishing, performance, or use of +-- this material. +-- +-- +-- *UNIX is a trademark of AT&T in the USA and other countries. +-- + +-- STD_1149_1_1990 VHDL Package and Package Body in support of +-- BSDL Version 0.0 +-- + +-- package STD_1149_1_1990 is -- Created 900525 + package STD_1149_1_1994 is + + -- Give pin mapping declarations + + attribute PIN_MAP : string; + subtype PIN_MAP_STRING is string; + + -- Give TAP control declarations + + type CLOCK_LEVEL is (LOW, BOTH); + type CLOCK_INFO is record + FREQ : real; + LEVEL: CLOCK_LEVEL; + end record; + + attribute TAP_SCAN_IN : boolean; + attribute TAP_SCAN_OUT : boolean; + attribute TAP_SCAN_CLOCK: CLOCK_INFO; + attribute TAP_SCAN_MODE : boolean; + attribute TAP_SCAN_RESET: boolean; + + -- Give instruction register declarations + + attribute INSTRUCTION_LENGTH : integer; + attribute INSTRUCTION_OPCODE : string; + attribute INSTRUCTION_CAPTURE : string; + attribute INSTRUCTION_DISABLE : string; + attribute INSTRUCTION_GUARD : string; + attribute INSTRUCTION_PRIVATE : string; + attribute INSTRUCTION_USAGE : string; + attribute INSTRUCTION_SEQUENCE : string; + + -- Give ID and USER code declarations + + type ID_BITS is ('0', '1', 'x', 'X'); + type ID_STRING is array (31 downto 0) of ID_BITS; + attribute IDCODE_REGISTER : ID_STRING; + attribute USERCODE_REGISTER: ID_STRING; + + -- Give register declarations + + attribute REGISTER_ACCESS : string; + + -- Give boundary cell declarations + + type BSCAN_INST is (EXTEST, SAMPLE, INTEST, RUNBIST); + type CELL_TYPE is (INPUT, INTERNAL, CLOCK, + CONTROL, CONTROLR, OUTPUT2, + OUTPUT3, BIDIR_IN, BIDIR_OUT); + type CAP_DATA is (PI, PO, UPD, CAP, X, ZERO, ONE); + type CELL_DATA is record + CT : CELL_TYPE; + I : BSCAN_INST; + CD : CAP_DATA; + end record; + type CELL_INFO is array (positive range <>) of CELL_DATA; + + -- Boundary Cell defered constants (see package body) + + constant BC_1 : CELL_INFO; + constant BC_2 : CELL_INFO; + constant BC_3 : CELL_INFO; + constant BC_4 : CELL_INFO; + constant BC_5 : CELL_INFO; + constant BC_6 : CELL_INFO; + + -- Boundary Register declarations + + attribute BOUNDARY_CELLS : string; + attribute BOUNDARY_LENGTH : integer; + attribute BOUNDARY_REGISTER : string; + + -- Miscellaneous + + attribute DESIGN_WARNING : string; +--end STD_1149_1_1990; -- End of 1149.1-1990 Package +end STD_1149_1_1994; + + +--package body STD_1149_1_1990 is -- Standard Boundary Cells + -- Written 900525 +package body STD_1149_1_1994 is + +-- Description for f10-12, f10-16, f10-18c, f10-18d, f10-21c + +constant BC_1 : CELL_INFO := + ((INPUT, EXTEST, PI), (OUTPUT2, EXTEST, PI), + (INPUT, SAMPLE, PI), (OUTPUT2, SAMPLE, PI), + (INPUT, INTEST, PI), (OUTPUT2, INTEST, PI), + (INPUT, RUNBIST, PI), (OUTPUT2, RUNBIST, PI), + (OUTPUT3, EXTEST, PI), (INTERNAL, EXTEST, PI), + (OUTPUT3, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (OUTPUT3, INTEST, PI), (INTERNAL, INTEST, PI), + (OUTPUT3, RUNBIST, PI), (INTERNAL, RUNBIST, PI), + (CONTROL, EXTEST, PI), (CONTROLR, EXTEST, PI), + (CONTROL, SAMPLE, PI), (CONTROLR, SAMPLE, PI), + (CONTROL, INTEST, PI), (CONTROLR, INTEST, PI), + (CONTROL, RUNBIST, PI), (CONTROLR, RUNBIST, PI) ); + +-- Description for f10-8, f10-17, f10-19c, f10-19d, f10-22c + +constant BC_2 : CELL_INFO := + ((INPUT, EXTEST, PI), (OUTPUT2, EXTEST, UPD), + (INPUT, SAMPLE, PI), (OUTPUT2, SAMPLE, PI), + (INPUT, INTEST, UPD), -- Intest on output2 not supported + (INPUT, RUNBIST, UPD), (OUTPUT2, RUNBIST, UPD), + (OUTPUT3, EXTEST, UPD), (INTERNAL, EXTEST, PI), + (OUTPUT3, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (OUTPUT3, INTEST, PI), (INTERNAL, INTEST, UPD), + (OUTPUT3, RUNBIST, PI), (INTERNAL, RUNBIST, UPD), + (CONTROL, EXTEST, UPD), (CONTROLR, EXTEST, UPD), + (CONTROL, SAMPLE, PI), (CONTROLR, SAMPLE, PI), + (CONTROL, INTEST, PI), (CONTROLR, INTEST, PI), + (CONTROL, RUNBIST, PI), (CONTROLR, RUNBIST, PI) ); + +-- Description for f10-9 + +constant BC_3 : CELL_INFO := + ((INPUT, EXTEST, PI), (INTERNAL, EXTEST, PI), + (INPUT, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (INPUT, INTEST, PI), (INTERNAL, INTEST, PI), + (INPUT, RUNBIST, PI), (INTERNAL, RUNBIST, PI) ); + +-- Description for f10-10, f10-11 + +constant BC_4 : CELL_INFO := + ((INPUT, EXTEST, PI), -- Intest on input not supported + (INPUT, SAMPLE, PI), -- Runbist on input not supported + (CLOCK, EXTEST, PI), (INTERNAL, EXTEST, PI), + (CLOCK, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (CLOCK, INTEST, PI), (INTERNAL, INTEST, PI), + (CLOCK, RUNBIST, PI), (INTERNAL, RUNBIST, PI) ); + +-- Description for f10-20c, a combined Input/Control + +constant BC_5 : CELL_INFO := + ((INPUT, EXTEST, PI), (CONTROL, EXTEST, PI), + (INPUT, SAMPLE, PI), (CONTROL, SAMPLE, PI), + (INPUT, INTEST, UPD), (CONTROL, INTEST, UPD), + (INPUT, RUNBIST, PI), (CONTROL, RUNBIST, PI) ); + +-- Description for f10-22d, a reversible cell + +constant BC_6 : CELL_INFO := + ((BIDIR_IN, EXTEST, PI), (BIDIR_OUT, EXTEST, UPD), + (BIDIR_IN, SAMPLE, PI), (BIDIR_OUT, SAMPLE, PI), + (BIDIR_IN, INTEST, UPD), (BIDIR_OUT, INTEST, PI), + (BIDIR_IN, RUNBIST, UPD), (BIDIR_OUT, RUNBIST, PI) ); + +--end STD_1149_1_1990; -- End of 1149.1-1990 Package Body +end STD_1149_1_1994; diff --git a/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_2001 b/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_2001 new file mode 100644 index 0000000..6445e78 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/bsdl/STD_1149_1_2001 @@ -0,0 +1,259 @@ +-- +-- $Id: STD_1149_1_2001 988 2008-02-02 18:17:43Z arniml $ +-- +-- Email header accompanying the original Yacc code: +-- http://www.eda.org/vug_bbs/bsdl.parser +-- +-- -----------------------------------8<-------------------------------------- +-- +-- Hello All, +-- +-- This is this first mailing of the BSDL* Version 0.0 parser specifications +-- we are sending to people who request it from our publicized E-Mail address; +-- +-- bsdl%hpmtlx@hplabs.HP.com +-- +-- You are free to redistribute this at will, but we feel that it would be +-- better if respondents asked for it directly so that their addresses can +-- be entered into our list for future mailings and updates. +-- +-- It would be helpful if you could confirm receipt of this transmission. +-- We also would be very interested to hear about your experiences with this +-- information and what you are planning to do with BSDL. +-- +-- Regards, +-- +-- Ken Parker +-- Hewlett-Packard Company +-- +-- +-- *Boundary-Scan Description Language - as documented in: +-- +-- "A Language for Describing Boundary-Scan Devices", K.P. Parker +-- and S. Oresjo, Proceedings 1990 International Test Conference, +-- Washington DC, pp 222-234 +-- +-- +-- - -----------------cut here--------------------------------------------------- +-- +-- +-- 901004.0721 Hewlett-Packard Company +-- 901016.1049 Manufacturing Test Division +-- P.O. Box 301 +-- Loveland, Colorado 80537 +-- USA +-- +-- October 1990 +-- Hello BSDL Parser Requestor, +-- +-- This Electronic Mail reply contains the computer specifications for +-- Hewlett-Packard's Version 0.0 BSDL parser. This section of the reply +-- explains the contents of the rest of this file. +-- +-- This file is composed of seven (7) parts: +-- +-- 1) How to use this file +-- +-- 2) UNIX* Lex source (lexicographical tokenizing rules) +-- +-- 3) UNIX* Yacc source (BNF-like syntax description) +-- +-- 4) A sample main program to recognize BSDL. +-- +-- 5) A BSDL description of the Texas Instruments 74bct8374 that is +-- recognized by the parser, for testing purposes. +-- +-- 6) The VHDL package STD_1149_1_1990 needed by this parser. +-- +-- 7) [added 901016] Porting experiences to other systems. +-- +-- +-- RECOMMENDATION: Save a copy of this file in archival storage before +-- processing it via the instructions below. This will +-- allow you to recover from errors, and allow you to +-- compare subsequently released data for changes. +-- +-- DISCLAIMERS: +-- +-- 1. The IEEE 1149.1 Working Group has not endorsed BSDL Version 0.0 and +-- therefore no person may represent it as an IEEE standard or imply that +-- a resulting IEEE standard will be identical to it. +-- +-- 2. The IEEE 1149.1 Working Group recognizes that BSDL Version 0.0 is a +-- well-conceived initiative that is likely to excelerate the creation +-- of tools that support the 1149.1 standard. As such, changes and +-- enhancements will be carefully considered so as not to needlessly +-- disrupt these development efforts. The overriding goal is the +-- ultimate success of the 1149.1 standard. +-- +-- LEGAL NOTICES: +-- +-- Hewlett-Packard Company makes no warranty of any kind with regard to +-- this information, including, but not limited to, the implied +-- waranties of merchantability and fitness for a particular purpose. +-- +-- Hewlett-Packard Company shall not be liable for errors contained +-- herein or direct, indirect, special, incidental, or consequential +-- damages in connection with the furnishing, performance, or use of +-- this material. +-- +-- +-- *UNIX is a trademark of AT&T in the USA and other countries. +-- + +-- STD_1149_1_1990 VHDL Package and Package Body in support of +-- BSDL Version 0.0 +-- + +-- package STD_1149_1_1990 is -- Created 900525 + package STD_1149_1_2001 is + + -- Give pin mapping declarations + + attribute PIN_MAP : string; + subtype PIN_MAP_STRING is string; + + -- Give TAP control declarations + + type CLOCK_LEVEL is (LOW, BOTH); + type CLOCK_INFO is record + FREQ : real; + LEVEL: CLOCK_LEVEL; + end record; + + attribute TAP_SCAN_IN : boolean; + attribute TAP_SCAN_OUT : boolean; + attribute TAP_SCAN_CLOCK: CLOCK_INFO; + attribute TAP_SCAN_MODE : boolean; + attribute TAP_SCAN_RESET: boolean; + + -- Give instruction register declarations + + attribute INSTRUCTION_LENGTH : integer; + attribute INSTRUCTION_OPCODE : string; + attribute INSTRUCTION_CAPTURE : string; + attribute INSTRUCTION_DISABLE : string; + attribute INSTRUCTION_GUARD : string; + attribute INSTRUCTION_PRIVATE : string; + attribute INSTRUCTION_USAGE : string; + attribute INSTRUCTION_SEQUENCE : string; + + -- Give ID and USER code declarations + + type ID_BITS is ('0', '1', 'x', 'X'); + type ID_STRING is array (31 downto 0) of ID_BITS; + attribute IDCODE_REGISTER : ID_STRING; + attribute USERCODE_REGISTER: ID_STRING; + + -- Give register declarations + + attribute REGISTER_ACCESS : string; + + -- Give boundary cell declarations + + type BSCAN_INST is (EXTEST, SAMPLE, INTEST, RUNBIST); + type CELL_TYPE is (INPUT, INTERNAL, CLOCK, + CONTROL, CONTROLR, OUTPUT2, + OUTPUT3, BIDIR_IN, BIDIR_OUT); + type CAP_DATA is (PI, PO, UPD, CAP, X, ZERO, ONE); + type CELL_DATA is record + CT : CELL_TYPE; + I : BSCAN_INST; + CD : CAP_DATA; + end record; + type CELL_INFO is array (positive range <>) of CELL_DATA; + + -- Boundary Cell defered constants (see package body) + + constant BC_1 : CELL_INFO; + constant BC_2 : CELL_INFO; + constant BC_3 : CELL_INFO; + constant BC_4 : CELL_INFO; + constant BC_5 : CELL_INFO; + constant BC_6 : CELL_INFO; + + -- Boundary Register declarations + + attribute BOUNDARY_CELLS : string; + attribute BOUNDARY_LENGTH : integer; + attribute BOUNDARY_REGISTER : string; + + -- Miscellaneous + + attribute DESIGN_WARNING : string; +--end STD_1149_1_1990; -- End of 1149.1-1990 Package +end STD_1149_1_2001; + + +--package body STD_1149_1_1990 is -- Standard Boundary Cells + -- Written 900525 +package body STD_1149_1_2001 is + +-- Description for f10-12, f10-16, f10-18c, f10-18d, f10-21c + +constant BC_1 : CELL_INFO := + ((INPUT, EXTEST, PI), (OUTPUT2, EXTEST, PI), + (INPUT, SAMPLE, PI), (OUTPUT2, SAMPLE, PI), + (INPUT, INTEST, PI), (OUTPUT2, INTEST, PI), + (INPUT, RUNBIST, PI), (OUTPUT2, RUNBIST, PI), + (OUTPUT3, EXTEST, PI), (INTERNAL, EXTEST, PI), + (OUTPUT3, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (OUTPUT3, INTEST, PI), (INTERNAL, INTEST, PI), + (OUTPUT3, RUNBIST, PI), (INTERNAL, RUNBIST, PI), + (CONTROL, EXTEST, PI), (CONTROLR, EXTEST, PI), + (CONTROL, SAMPLE, PI), (CONTROLR, SAMPLE, PI), + (CONTROL, INTEST, PI), (CONTROLR, INTEST, PI), + (CONTROL, RUNBIST, PI), (CONTROLR, RUNBIST, PI) ); + +-- Description for f10-8, f10-17, f10-19c, f10-19d, f10-22c + +constant BC_2 : CELL_INFO := + ((INPUT, EXTEST, PI), (OUTPUT2, EXTEST, UPD), + (INPUT, SAMPLE, PI), (OUTPUT2, SAMPLE, PI), + (INPUT, INTEST, UPD), -- Intest on output2 not supported + (INPUT, RUNBIST, UPD), (OUTPUT2, RUNBIST, UPD), + (OUTPUT3, EXTEST, UPD), (INTERNAL, EXTEST, PI), + (OUTPUT3, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (OUTPUT3, INTEST, PI), (INTERNAL, INTEST, UPD), + (OUTPUT3, RUNBIST, PI), (INTERNAL, RUNBIST, UPD), + (CONTROL, EXTEST, UPD), (CONTROLR, EXTEST, UPD), + (CONTROL, SAMPLE, PI), (CONTROLR, SAMPLE, PI), + (CONTROL, INTEST, PI), (CONTROLR, INTEST, PI), + (CONTROL, RUNBIST, PI), (CONTROLR, RUNBIST, PI) ); + +-- Description for f10-9 + +constant BC_3 : CELL_INFO := + ((INPUT, EXTEST, PI), (INTERNAL, EXTEST, PI), + (INPUT, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (INPUT, INTEST, PI), (INTERNAL, INTEST, PI), + (INPUT, RUNBIST, PI), (INTERNAL, RUNBIST, PI) ); + +-- Description for f10-10, f10-11 + +constant BC_4 : CELL_INFO := + ((INPUT, EXTEST, PI), -- Intest on input not supported + (INPUT, SAMPLE, PI), -- Runbist on input not supported + (CLOCK, EXTEST, PI), (INTERNAL, EXTEST, PI), + (CLOCK, SAMPLE, PI), (INTERNAL, SAMPLE, PI), + (CLOCK, INTEST, PI), (INTERNAL, INTEST, PI), + (CLOCK, RUNBIST, PI), (INTERNAL, RUNBIST, PI) ); + +-- Description for f10-20c, a combined Input/Control + +constant BC_5 : CELL_INFO := + ((INPUT, EXTEST, PI), (CONTROL, EXTEST, PI), + (INPUT, SAMPLE, PI), (CONTROL, SAMPLE, PI), + (INPUT, INTEST, UPD), (CONTROL, INTEST, UPD), + (INPUT, RUNBIST, PI), (CONTROL, RUNBIST, PI) ); + +-- Description for f10-22d, a reversible cell + +constant BC_6 : CELL_INFO := + ((BIDIR_IN, EXTEST, PI), (BIDIR_OUT, EXTEST, UPD), + (BIDIR_IN, SAMPLE, PI), (BIDIR_OUT, SAMPLE, PI), + (BIDIR_IN, INTEST, UPD), (BIDIR_OUT, INTEST, PI), + (BIDIR_IN, RUNBIST, UPD), (BIDIR_OUT, RUNBIST, PI) ); + +--end STD_1149_1_1990; -- End of 1149.1-1990 Package Body +end STD_1149_1_2001; diff --git a/sie_fs/usr/local/share/urjtag/dec/PARTS b/sie_fs/usr/local/share/urjtag/dec/PARTS new file mode 100644 index 0000000..178f28b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/dec/PARTS @@ -0,0 +1,25 @@ +# +# $Id: PARTS 448 2003-05-29 09:18:54Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2003. +# + +# bits 27-12 of the Device Identification Register +0001000010000100 sa1100 SA1100 diff --git a/sie_fs/usr/local/share/urjtag/dec/sa1100/STEPPINGS b/sie_fs/usr/local/share/urjtag/dec/sa1100/STEPPINGS new file mode 100644 index 0000000..04e5d39 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/dec/sa1100/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 448 2003-05-29 09:18:54Z telka $ +# +# Copyright (C) 2003 Jachym Holecek +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Jachym Holecek , 2003. +# + +# bits 31-28 of the Device Identification Register +1011 sa1100 rev11 diff --git a/sie_fs/usr/local/share/urjtag/dec/sa1100/sa1100 b/sie_fs/usr/local/share/urjtag/dec/sa1100/sa1100 new file mode 100644 index 0000000..ea3e6a4 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/dec/sa1100/sa1100 @@ -0,0 +1,462 @@ +# +# $Id: sa1100 448 2003-05-29 09:18:54Z telka $ +# +# JTAG declarations for SA-1100 +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2003. +# + +signal A(0) +signal A(1) +signal A(2) +signal A(3) +signal A(4) +signal A(5) +signal A(6) +signal A(7) +signal A(8) +signal A(9) +signal A(10) +signal A(11) +signal A(12) +signal A(13) +signal A(14) +signal A(15) +signal A(16) +signal A(17) +signal A(18) +signal A(19) +signal A(20) +signal A(21) +signal A(22) +signal A(23) +signal A(24) +signal A(25) +signal BATTF +signal CAS(0) +signal CAS(1) +signal CAS(2) +signal CAS(3) +signal CS(0) +signal CS(1) +signal CS(2) +signal CS(3) +signal D(0) +signal D(1) +signal D(2) +signal D(3) +signal D(4) +signal D(5) +signal D(6) +signal D(7) +signal D(8) +signal D(9) +signal D(10) +signal D(11) +signal D(12) +signal D(13) +signal D(14) +signal D(15) +signal D(16) +signal D(17) +signal D(18) +signal D(19) +signal D(20) +signal D(21) +signal D(22) +signal D(23) +signal D(24) +signal D(25) +signal D(26) +signal D(27) +signal D(28) +signal D(29) +signal D(30) +signal D(31) +signal GP(0) +signal GP(1) +signal GP(2) +signal GP(3) +signal GP(4) +signal GP(5) +signal GP(6) +signal GP(7) +signal GP(8) +signal GP(9) +signal GP(10) +signal GP(11) +signal GP(12) +signal GP(13) +signal GP(14) +signal GP(15) +signal GP(16) +signal GP(17) +signal GP(18) +signal GP(19) +signal GP(20) +signal GP(21) +signal GP(22) +signal GP(23) +signal GP(24) +signal GP(25) +signal GP(26) +signal GP(27) +signal IOIS16 +signal LBIAS +signal LDD(0) +signal LDD(1) +signal LDD(2) +signal LDD(3) +signal LDD(4) +signal LDD(5) +signal LDD(6) +signal LDD(7) +signal LFCLK +signal LLCLK +signal LPCLK +signal OE +signal PCE1 +signal PCE2 +signal PIOR +signal PIOW +signal POE +signal PREG +signal PSKTSEL +signal PWAIT +signal PWE +signal RAS(0) +signal RAS(1) +signal RAS(2) +signal RAS(3) +signal RESET +signal RESETO +signal ROMSEL +signal RXD1 +signal RXD2 +signal RXD3 +signal RXDC +signal SCLKC +signal SFRMC +signal TXD1 +signal TXD2 +signal TXD3 +signal TXDC +signal UDCN +signal UDCP +signal VDDFA +signal WE + +# mandatory data registers +register BSR 279 # Boundary Scan Register +register BR 1 # Bypass Register +# optional data registers +register DIR 32 # Device Identification Register + +instruction length 5 + +# mandatory instructions +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 00001 BSR +instruction BYPASS 11111 BR + +# optional instructions +instruction CLAMP 00100 BR +instruction HIGHZ 00101 BR +instruction IDCODE 00110 DIR + +# BSR bits +bit 278 I ? BATTF +bit 277 I ? VDDFA +bit 276 X ? . +bit 275 C 0 . +bit 274 O ? SFRMC 275 0 Z +bit 273 I ? SFRMC +bit 272 C 0 . +bit 271 O ? SCLKC 272 0 Z +bit 270 I ? SCLKC +bit 269 C 0 . +bit 268 O ? RXDC 269 0 Z +bit 267 I ? RXDC +bit 266 C ? . +bit 265 O ? TXDC 266 0 Z +bit 264 I ? TXDC +bit 263 O ? D(0) 199 1 Z +bit 262 I ? D(0) +bit 261 O ? D(8) 199 1 Z +bit 260 I ? D(8) +bit 259 O ? D(16) 199 1 Z +bit 258 I ? D(16) +bit 257 O ? D(24) 199 1 Z +bit 256 I ? D(24) +bit 255 O ? D(1) 199 1 Z +bit 254 I ? D(1) +bit 253 O ? D(9) 199 1 Z +bit 252 I ? D(9) +bit 251 O ? D(17) 199 1 Z +bit 250 I ? D(17) +bit 249 O ? D(25) 199 1 Z +bit 248 I ? D(25) +bit 247 O ? D(2) 199 1 Z +bit 246 I ? D(2) +bit 245 O ? D(10) 199 1 Z +bit 244 I ? D(10) +bit 243 O ? D(18) 199 1 Z +bit 242 I ? D(18) +bit 241 O ? D(26) 199 1 Z +bit 240 I ? D(26) +bit 239 O ? D(3) 199 1 Z +bit 238 I ? D(3) +bit 237 O ? D(11) 199 1 Z +bit 236 I ? D(11) +bit 235 O ? D(19) 199 1 Z +bit 234 I ? D(19) +bit 233 O ? D(27) 199 1 Z +bit 232 I ? D(27) +bit 231 O ? D(4) 199 1 Z +bit 230 I ? D(4) +bit 229 O ? D(12) 199 1 Z +bit 228 I ? D(12) +bit 227 O ? D(20) 199 1 Z +bit 226 I ? D(20) +bit 225 O ? D(28) 199 1 Z +bit 224 I ? D(28) +bit 223 O ? D(5) 199 1 Z +bit 222 I ? D(5) +bit 221 O ? D(13) 199 1 Z +bit 220 I ? D(13) +bit 219 O ? D(21) 199 1 Z +bit 218 I ? D(21) +bit 217 O ? D(29) 199 1 Z +bit 216 I ? D(29) +bit 215 O ? D(6) 199 1 Z +bit 214 I ? D(6) +bit 213 O ? D(14) 199 1 Z +bit 212 I ? D(14) +bit 211 O ? D(22) 199 1 Z +bit 210 I ? D(22) +bit 209 O ? D(30) 199 1 Z +bit 208 I ? D(30) +bit 207 O ? D(7) 199 1 Z +bit 206 I ? D(7) +bit 205 O ? D(15) 199 1 Z +bit 204 I ? D(15) +bit 203 O ? D(23) 199 1 Z +bit 202 I ? D(23) +bit 201 O ? D(31) 199 1 Z +bit 200 I ? D(31) +bit 199 C 1 . +bit 198 C 0 . +bit 197 O ? GP(27) 198 0 Z +bit 196 I ? GP(27) +bit 195 C 0 . +bit 194 O ? GP(26) 195 0 Z +bit 193 I ? GP(26) +bit 192 C 0 . +bit 191 O ? GP(25) 192 0 Z +bit 190 I ? GP(25) +bit 189 C 0 . +bit 188 O ? GP(24) 189 0 Z +bit 187 I ? GP(24) +bit 186 C 0 . +bit 185 O ? GP(23) 186 0 Z +bit 184 I ? GP(23) +bit 183 C 0 . +bit 182 O ? GP(22) 183 0 Z +bit 181 I ? GP(22) +bit 180 C 0 . +bit 179 O ? GP(21) 180 0 Z +bit 178 I ? GP(21) +bit 177 C 0 . +bit 176 O ? GP(20) 177 0 Z +bit 175 I ? GP(20) +bit 174 C 0 . +bit 173 O ? GP(19) 174 0 Z +bit 172 I ? GP(19) +bit 171 C 0 . +bit 170 O ? GP(18) 171 0 Z +bit 169 I ? GP(18) +bit 168 C 0 . +bit 167 O ? GP(17) 168 0 Z +bit 166 I ? GP(17) +bit 165 C 0 . +bit 164 O ? GP(16) 165 0 Z +bit 163 I ? GP(16) +bit 162 C 0 . +bit 161 O ? GP(15) 162 0 Z +bit 160 I ? GP(15) +bit 159 C 0 . +bit 158 O ? GP(14) 159 0 Z +bit 157 I ? GP(14) +bit 156 C 0 . +bit 155 O ? GP(13) 156 0 Z +bit 154 I ? GP(13) +bit 153 C 0 . +bit 152 O ? GP(12) 153 0 Z +bit 151 I ? GP(12) +bit 150 C 0 . +bit 149 O ? GP(11) 150 0 Z +bit 148 I ? GP(11) +bit 147 C 0 . +bit 146 O ? GP(10) 147 0 Z +bit 145 I ? GP(10) +bit 144 C 0 . +bit 143 O ? GP(9) 144 0 Z +bit 142 I ? GP(9) +bit 141 C 0 . +bit 140 O ? GP(8) 141 0 Z +bit 139 I ? GP(8) +bit 138 C 0 . +bit 137 O ? GP(7) 138 0 Z +bit 136 I ? GP(7) +bit 135 C 0 . +bit 134 O ? GP(6) 135 0 Z +bit 133 I ? GP(6) +bit 132 C 0 . +bit 131 O ? GP(5) 132 0 Z +bit 130 I ? GP(5) +bit 129 C 0 . +bit 128 O ? GP(4) 129 0 Z +bit 127 I ? GP(4) +bit 126 C 0 . +bit 125 O ? GP(3) 126 0 Z +bit 124 I ? GP(3) +bit 123 C 0 . +bit 122 O ? GP(2) 123 0 Z +bit 121 I ? GP(2) +bit 120 C 0 . +bit 119 O ? GP(1) 120 0 Z +bit 118 I ? GP(1) +bit 117 C 0 . +bit 116 O ? GP(0) 117 0 Z +bit 115 I ? GP(0) +bit 114 C 0 . +bit 113 O ? LBIAS 114 0 Z +bit 112 I ? LBIAS +bit 111 C 0 . +bit 110 O ? LPCLK 111 0 Z +bit 109 I ? LPCLK +bit 108 C 0 . +bit 107 O ? LDD(0) 108 0 Z +bit 106 I ? LDD(0) +bit 105 C 0 . +bit 104 O ? LDD(1) 105 0 Z +bit 103 I ? LDD(1) +bit 102 C 0 . +bit 101 O ? LDD(2) 102 0 Z +bit 100 I ? LDD(2) +bit 99 C 0 . +bit 98 O ? LDD(3) 99 0 Z +bit 97 I ? LDD(3) +bit 96 C 0 . +bit 95 O ? LDD(4) 96 0 Z +bit 94 I ? LDD(4) +bit 93 C 0 . +bit 92 O ? LDD(5) 93 0 Z +bit 91 I ? LDD(5) +bit 90 C 0 . +bit 89 O ? LDD(6) 90 0 Z +bit 88 I ? LDD(6) +bit 87 C 0 . +bit 86 O ? LDD(7) 87 0 Z +bit 85 I ? LDD(7) +bit 84 C 0 . +bit 83 O ? LLCLK 84 0 Z +bit 82 I ? LLCLK +bit 81 C 0 . +bit 80 O ? LFCLK 81 0 Z +bit 79 I ? LFCLK +bit 78 O ? POE +bit 77 O ? PWE +bit 76 O ? PIOR +bit 75 O ? PIOW +bit 74 O ? PSKTSEL +bit 73 I ? IOIS16 +bit 72 I ? PWAIT +bit 71 O ? PREG +bit 70 O ? PCE2 +bit 69 O ? PCE1 +bit 68 C 1 . +bit 67 O ? WE 68 1 Z +bit 66 O ? OE 68 1 Z +bit 65 O ? RAS(3) 68 1 Z +bit 64 O ? RAS(2) 68 1 Z +bit 63 O ? RAS(1) 68 1 Z +bit 62 O ? RAS(0) 68 1 Z +bit 61 O ? CAS(3) 68 1 Z +bit 60 O ? CAS(2) 68 1 Z +bit 59 O ? CAS(1) 68 1 Z +bit 58 O ? CAS(0) 68 1 Z +bit 57 O ? CS(3) 68 1 Z +bit 56 O ? CS(2) 68 1 Z +bit 55 O ? CS(1) 68 1 Z +bit 54 O ? CS(0) 68 1 Z +bit 53 O ? A(25) 68 1 Z +bit 52 O ? A(24) 68 1 Z +bit 51 O ? A(23) 68 1 Z +bit 50 O ? A(22) 68 1 Z +bit 49 O ? A(21) 68 1 Z +bit 48 O ? A(20) 68 1 Z +bit 47 O ? A(19) 68 1 Z +bit 46 O ? A(18) 68 1 Z +bit 45 O ? A(17) 68 1 Z +bit 44 O ? A(16) 68 1 Z +bit 43 O ? A(15) 68 1 Z +bit 42 O ? A(14) 68 1 Z +bit 41 O ? A(13) 68 1 Z +bit 40 O ? A(12) 68 1 Z +bit 39 O ? A(11) 68 1 Z +bit 38 O ? A(10) 68 1 Z +bit 37 O ? A(9) 68 1 Z +bit 36 O ? A(8) 68 1 Z +bit 35 O ? A(7) 68 1 Z +bit 34 O ? A(6) 68 1 Z +bit 33 O ? A(5) 68 1 Z +bit 32 O ? A(4) 68 1 Z +bit 31 O ? A(3) 68 1 Z +bit 30 O ? A(2) 68 1 Z +bit 29 O ? A(1) 68 1 Z +bit 28 O ? A(0) 68 1 Z +bit 27 C 1 . +bit 26 O ? UDCN 27 1 Z +bit 25 I ? UDCN +bit 24 X ? . +bit 23 C 1 . +bit 22 O ? UDCP 23 1 Z +bit 21 I ? UDCP +bit 20 C 0 . +bit 19 O ? RXD1 20 0 Z +bit 18 I ? RXD1 +bit 17 C 0 . +bit 16 O ? TXD1 17 0 Z +bit 15 I ? TXD1 +bit 14 C 0 . +bit 13 O ? RXD2 14 0 Z +bit 12 I ? RXD2 +bit 11 C 0 . +bit 10 O ? TXD2 11 0 Z +bit 9 I ? TXD2 +bit 8 C 0 . +bit 7 O ? RXD3 8 0 Z +bit 6 I ? RXD3 +bit 5 C 0 . +bit 4 O ? TXD3 5 0 Z +bit 3 I ? TXD3 +bit 2 I ? RESET +bit 1 O ? RESETO +bit 0 I ? ROMSEL diff --git a/sie_fs/usr/local/share/urjtag/freescale/PARTS b/sie_fs/usr/local/share/urjtag/freescale/PARTS new file mode 100644 index 0000000..84e1efa --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/freescale/PARTS @@ -0,0 +1,29 @@ +# +# $Id$ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Asier Llano , 2004. +# +# Documentation: +# [1] Freescale, "Freescale MPC5200 Users Guide", Rev. 2, 08/2004 +# Order Number: MPC5200UG +# + +# bits 27-12 of the Device Identification Register +0000000000010001 mpc5200 mpc5200 # see 21.8.1.1 in [1] diff --git a/sie_fs/usr/local/share/urjtag/freescale/mpc5200/STEPPINGS b/sie_fs/usr/local/share/urjtag/freescale/mpc5200/STEPPINGS new file mode 100644 index 0000000..16acd24 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/freescale/mpc5200/STEPPINGS @@ -0,0 +1,30 @@ +# +# $Id$ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Asier Llano , 2004. +# +# Documentation: +# [1] Freescale, "Freescale MPC5200 Users Guide", Rev. 2, 08/2004 +# Order Number: MPC5200UG +# + +# bits 31-28 of the Device Identification Register +# see 21.8.1.1 in [1] +0000 mpc5200 0 diff --git a/sie_fs/usr/local/share/urjtag/freescale/mpc5200/mpc5200 b/sie_fs/usr/local/share/urjtag/freescale/mpc5200/mpc5200 new file mode 100644 index 0000000..501c233 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/freescale/mpc5200/mpc5200 @@ -0,0 +1,892 @@ +# +# $Id$ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Asier Llano , 2004. +# +# Documentation: +# [1] Freescale, "Freescale MPC5200 Users Guide", Rev. 2, 08/2004 +# Order Number: MPC5200UG +# [2] Freescale, "MPC5200BSDL", Rev. 1.2, +# Order Number: MPC5200BSDL +# + +# see Table 2-1 in [1] +signal TEST_MODE_0 B02 +signal TEST_MODE_1 A01 +signal TEST_SEL_0 B01 +signal TEST_SEL_1 C03 +signal RTC_XTAL_IN C02 +signal RTC_XTAL_OUT C01 +signal TIMER_2 D03 +signal TIMER_3 D02 +signal TIMER_4 D01 +signal TIMER_5 E03 +signal TIMER_6 E02 +signal TIMER_7 E01 +signal USB_9 F03 +signal USB_8 F02 +signal USB_7 F01 +signal USB_6 G04 +signal USB_5 G03 +signal USB_4 G02 +signal USB_3 G01 +signal USB_2 H03 +signal USB_1 H02 +signal USB_0 H01 +signal ETH_17 J04 +signal ETH_10 J03 +signal ETH_4 J02 +signal ETH_3 J01 +signal ETH_2 K03 +signal ETH_1 K02 +signal ETH_0 K01 +signal ETH_11 L04 +signal ETH_5 L03 +signal ETH_16 L02 +signal ETH_9 L01 +signal ETH_8 M03 +signal ETH_12 M02 +signal ETH_13 M01 +signal ETH_14 N04 +signal ETH_15 N03 +signal ETH_6 N02 +signal ETH_7 N01 +signal IRQ0 P03 +signal IRQ2 P02 +signal IRQ1 P01 +signal PCI_GNT_B R04 +signal EXT_AD_30 R03 +signal PCI_RESET_B R02 +signal IRQ3 R01 +signal EXT_AD_28 T03 +signal EXT_AD_26 T02 +signal PCI_CLOCK T01 +signal EXT_AD_24 U03 +signal PCI_IDSEL U02 +signal PCI_REQ_B U01 +signal EXT_AD_20 V02 +signal EXT_AD_31 V01 +signal EXT_AD_29 W01 +signal EXT_AD_27 Y01 +signal EXT_AD_25 W02 +signal PCI_CBE_3_B Y02 +signal EXT_AD_22 V03 +signal EXT_AD_23 W03 +signal EXT_AD_21 Y03 +signal EXT_AD_18 V04 +signal EXT_AD_16 W04 +signal EXT_AD_19 Y04 +signal PCI_FRAME_B V05 +signal PCI_TRDY_B W05 +signal EXT_AD_17 Y05 +signal PCI_STOP_B V06 +signal PCI_CBE_2_B W06 +signal PCI_IRDY_B Y06 +signal PCI_PAR V07 +signal PCI_DEVSEL_B W07 +signal PCI_PERR_B Y07 +signal EXT_AD_15 U08 +signal EXT_AD_13 V08 +signal PCI_SERR_B W08 +signal PCI_CBE_1_B Y08 +signal EXT_AD_11 V09 +signal EXT_AD_14 W09 +signal EXT_AD_12 Y09 +signal EXT_AD_9 V10 +signal PCI_CBE_0_B W10 +signal EXT_AD_10 Y10 +signal EXT_AD_6 U11 +signal EXT_AD_4 V11 +signal EXT_AD_8 W11 +signal EXT_AD_7 Y11 +signal EXT_AD_2 V12 +signal EXT_AD_5 W12 +signal EXT_AD_3 Y12 +signal EXT_AD_0 V13 +signal EXT_AD_1 W13 +signal LP_TS_B Y13 +signal LP_ACK U14 +signal LP_ALE_B V14 +signal LP_CS0_B W14 +signal LP_CS1_B Y14 +signal LP_CS2_B V15 +signal LP_CS3_B W15 +signal LP_CS4_B Y15 +signal LP_CS5_B V16 +signal LP_RW W16 +signal ATA_ISOLATION Y16 +signal ATA_DRQ V17 +signal ATA_IOW_B W17 +signal ATA_IOR_B Y17 +signal ATA_IOCHRDY W18 +signal ATA_DACK_B Y18 +signal ATA_INTRQ Y19 +signal TIMER_0 Y20 +signal I2C_1 W19 +signal I2C_3 W20 +signal TIMER_1 V18 +signal I2C_0 V19 +signal I2C_2 V20 +signal MEM_MDQ_31 U18 +signal MEM_MDQ_1 U19 +signal MEM_MDQ_0 U20 +signal MEM_MDQ_30 T18 +signal MEM_MDQ_3 T19 +signal MEM_MDQ_2 T20 +signal MEM_MDQ_28 R17 +signal MEM_MDQ_29 R18 +signal MEM_MDQ_5 R19 +signal MEM_MDQ_4 R20 +signal MEM_MDQ_27 P18 +signal MEM_MDQ_7 P19 +signal MEM_MDQ_6 P20 +signal MEM_MDQ_25 N17 +signal MEM_MDQ_26 N18 +signal MEM_DQM_0 N19 +signal MEM_MDQS_0 N20 +signal MEM_MDQ_24 M18 +signal MEM_MDQ_14 M19 +signal MEM_MDQ_15 M20 +signal MEM_DQM_3 L17 +signal MEM_MDQS_3 L18 +signal MEM_MDQ_12 L19 +signal MEM_MDQ_13 L20 +signal MEM_MDQ_23 K18 +signal MEM_MDQ_10 K19 +signal MEM_MDQ_11 K20 +signal MEM_MDQ_22 J17 +signal MEM_MDQ_21 J18 +signal MEM_MDQ_8 J19 +signal MEM_MDQ_9 J20 +signal MEM_MDQ_20 H18 +signal MEM_DQM_1 H19 +signal MEM_MDQS_1 H20 +signal MEM_MDQ_18 G17 +signal MEM_MDQ_19 G18 +signal MEM_CLK G19 +signal MEM_CLK_B G20 G19 +signal MEM_MDQ_17 F18 +signal MEM_MA_12 F19 +signal MEM_CLK_EN F20 +signal MEM_MDQ_16 E18 +signal MEM_MA_9 E19 +signal MEM_MA_11 E20 +signal MEM_MDQS_2 D18 +signal MEM_MA_7 D19 +signal MEM_MA_8 D20 +signal MEM_MA_6 C20 +signal MEM_MA_5 C19 +signal MEM_MA_4 B20 +signal MEM_DQM_2 A20 +signal MEM_CAS_B B19 +signal MEM_WE_B A19 +signal MEM_MBA_0 C18 +signal MEM_CS_0_B B18 +signal MEM_RAS_B A18 +signal MEM_MA_0 C17 +signal MEM_MA_10 B17 +signal MEM_MBA_1 A17 +signal MEM_MA_3 C16 +signal MEM_MA_2 B16 +signal MEM_MA_1 A16 +signal GPIO_WKUP_6 C15 +signal SYS_PLL_TPA B15 +signal SYS_XTAL_IN A15 +signal SYS_XTAL_OUT D14 +signal SYS_PLL_AVSS C14 +signal SYS_PLL_AVDD B14 +signal SRESET_B A14 +signal PSC6_3 C13 +signal HRESET_B B13 +signal PORRESET_B A13 +signal GPIO_WKUP_7 C12 +signal PSC6_0 B12 +signal PSC6_2 A12 +signal PSC6_1 C11 +signal PSC1_0 B11 +signal PSC1_1 A11 +signal PSC1_2 C10 +signal PSC1_3 B10 +signal PSC1_4 A10 +signal PSC2_0 C09 +signal PSC2_1 B09 +signal PSC2_2 A09 +signal LP_OE D08 +signal CORE_PLL_AVDD C08 +signal PSC2_3 B08 +signal PSC2_4 A08 +signal PSC3_0 C07 +signal PSC3_1 B07 +signal PSC3_2 A07 +signal PSC3_3 C06 +signal PSC3_4 B06 +signal PSC3_5 A06 +signal PSC3_6 C05 +signal PSC3_7 B05 +signal PSC3_8 A05 +signal PSC3_9 C04 +signal JTAG_TCK B04 +signal JTAG_TMS A04 +signal JTAG_TDI A03 +signal JTAG_TRST_B B03 +signal JTAG_TDO A02 +signal VDD_MEM_IO P17 M17 T17 K17 F17 E17 H17 D17 D15 D13 D12 +signal VDD_IO U16 U13 U10 U09 U06 U05 T04 F04 E04 H04 D09 D06 +signal VDD_CORE U15 U12 U07 P04 K04 M04 D10 D07 D05 D11 +signal VSS_IO_CORE D16 + + +register BSR 615 +register BR 1 +register DIR 32 + +instruction length 6 + +# see Table 21-2 in [1] + +# Mandatory instructions +instruction IDCODE 011101 DIR +instruction BYPASS 111111 BR +instruction SAMPLE/PRELOAD 100000 BSR +instruction EXTEST 000000 BSR + +# Optional instructions +instruction CLAMP 100001 BR +instruction HIGHZ 011111 BR + + +# see [2] +bit 614 I 1 PSC3_9 +bit 613 O 1 PSC3_9 612 1 Z +bit 612 C 1 * +bit 611 I 1 PSC3_8 +bit 610 O 1 PSC3_8 609 1 Z +bit 609 C 1 * +bit 608 I 1 PSC3_7 +bit 607 O 1 PSC3_7 606 1 Z +bit 606 C 1 * +bit 605 I 1 PSC3_6 +bit 604 O 1 PSC3_6 603 1 Z +bit 603 C 1 * +bit 602 I 1 PSC3_5 +bit 601 O 1 PSC3_5 600 1 Z +bit 600 C 1 * +bit 599 I 1 PSC3_4 +bit 598 O 1 PSC3_4 597 1 Z +bit 597 C 1 * +bit 596 I 1 PSC3_3 +bit 595 O 1 PSC3_3 594 1 Z +bit 594 C 1 * +bit 593 I 1 PSC3_2 +bit 592 O 1 PSC3_2 591 1 Z +bit 591 C 1 * +bit 590 I 1 PSC3_1 +bit 589 O 1 PSC3_1 588 1 Z +bit 588 C 1 * +bit 587 I 1 PSC3_0 +bit 586 O 1 PSC3_0 585 1 Z +bit 585 C 1 * +bit 584 I 1 PSC2_4 +bit 583 O 1 PSC2_4 582 1 Z +bit 582 C 1 * +bit 581 I 1 PSC2_3 +bit 580 O 1 PSC2_3 579 1 Z +bit 579 C 1 * +bit 578 I 1 LP_OE +bit 577 O 1 LP_OE 576 1 Z +bit 576 C 1 * +bit 575 I 1 PSC2_2 +bit 574 O 1 PSC2_2 573 1 Z +bit 573 C 1 * +bit 572 I 1 PSC2_1 +bit 571 O 1 PSC2_1 570 1 Z +bit 570 C 1 * +bit 569 I 1 PSC2_0 +bit 568 O 1 PSC2_0 567 1 Z +bit 567 C 1 * +bit 566 I 1 PSC1_4 +bit 565 O 1 PSC1_4 564 1 Z +bit 564 C 1 * +bit 563 I 1 PSC1_3 +bit 562 O 1 PSC1_3 561 1 Z +bit 561 C 1 * +bit 560 I 1 PSC1_2 +bit 559 O 1 PSC1_2 558 1 Z +bit 558 C 1 * +bit 557 I 1 PSC1_1 +bit 556 O 1 PSC1_1 555 1 Z +bit 555 C 1 * +bit 554 I 1 PSC1_0 +bit 553 O 1 PSC1_0 552 1 Z +bit 552 C 1 * +bit 551 I 1 PSC6_1 +bit 550 O 1 PSC6_1 549 1 Z +bit 549 C 1 * +bit 548 I 1 PSC6_2 +bit 547 O 1 PSC6_2 546 1 Z +bit 546 C 1 * +bit 545 I 1 PSC6_0 +bit 544 O 1 PSC6_0 543 1 Z +bit 543 C 1 * +bit 542 I 1 GPIO_WKUP_7 +bit 541 O 1 GPIO_WKUP_7 540 1 Z +bit 540 C 1 * +bit 539 I 1 PORRESET_B +bit 538 O 0 * +bit 537 O 0 * +bit 536 I 1 HRESET_B +bit 535 O 1 HRESET_B 534 1 Z +bit 534 C 1 * +bit 533 I 1 PSC6_3 +bit 532 O 1 PSC6_3 531 1 Z +bit 531 C 1 * +bit 530 I 1 SRESET_B +bit 529 O 1 SRESET_B 528 1 Z +bit 528 C 1 * +bit 527 I 1 SYS_PLL_TPA +bit 526 O 1 SYS_PLL_TPA 525 1 Z +bit 525 C 1 * +bit 524 I 1 GPIO_WKUP_6 +bit 523 O 1 GPIO_WKUP_6 522 1 Z +bit 522 C 1 * +bit 521 I 1 MEM_MA_1 +bit 520 O 1 MEM_MA_1 519 1 Z +bit 519 C 1 * +bit 518 I 1 MEM_MA_2 +bit 517 O 1 MEM_MA_2 516 1 Z +bit 516 C 1 * +bit 515 I 1 MEM_MA_3 +bit 514 O 1 MEM_MA_3 513 1 Z +bit 513 C 1 * +bit 512 I 1 MEM_MBA_1 +bit 511 O 1 MEM_MBA_1 510 1 Z +bit 510 C 1 * +bit 509 I 1 MEM_MA_10 +bit 508 O 1 MEM_MA_10 507 1 Z +bit 507 C 1 * +bit 506 I 1 MEM_MA_0 +bit 505 O 1 MEM_MA_0 504 1 Z +bit 504 C 1 * +bit 503 I 1 MEM_RAS_B +bit 502 O 1 MEM_RAS_B 501 1 Z +bit 501 C 1 * +bit 500 I 1 MEM_CS_0_B +bit 499 O 1 MEM_CS_0_B 498 1 Z +bit 498 C 1 * +bit 497 I 1 MEM_MBA_0 +bit 496 O 1 MEM_MBA_0 495 1 Z +bit 495 C 1 * +bit 494 I 1 MEM_WE_B +bit 493 O 1 MEM_WE_B 492 1 Z +bit 492 C 1 * +bit 491 I 1 MEM_CAS_B +bit 490 O 1 MEM_CAS_B 489 1 Z +bit 489 C 1 * +bit 488 I 1 MEM_DQM_2 +bit 487 O 1 MEM_DQM_2 486 1 Z +bit 486 C 1 * +bit 485 I 1 MEM_MA_4 +bit 484 O 1 MEM_MA_4 483 1 Z +bit 483 C 1 * +bit 482 I 1 MEM_MA_5 +bit 481 O 1 MEM_MA_5 480 1 Z +bit 480 C 1 * +bit 479 I 1 MEM_MA_6 +bit 478 O 1 MEM_MA_6 477 1 Z +bit 477 C 1 * +bit 476 I 1 MEM_MA_8 +bit 475 O 1 MEM_MA_8 474 1 Z +bit 474 C 1 * +bit 473 I 1 MEM_MA_7 +bit 472 O 1 MEM_MA_7 471 1 Z +bit 471 C 1 * +bit 470 I 1 MEM_MDQS_2 +bit 469 O 1 MEM_MDQS_2 468 1 Z +bit 468 C 1 * +bit 467 I 1 MEM_MA_11 +bit 466 O 1 MEM_MA_11 465 1 Z +bit 465 C 1 * +bit 464 I 1 MEM_MA_9 +bit 463 O 1 MEM_MA_9 462 1 Z +bit 462 C 1 * +bit 461 I 1 MEM_MDQ_16 +bit 460 O 1 MEM_MDQ_16 459 1 Z +bit 459 C 1 * +bit 458 I 1 MEM_CLK_EN +bit 457 O 1 MEM_CLK_EN 456 1 Z +bit 456 C 1 * +bit 455 I 1 MEM_MA_12 +bit 454 O 1 MEM_MA_12 453 1 Z +bit 453 C 1 * +bit 452 I 1 MEM_MDQ_17 +bit 451 O 1 MEM_MDQ_17 450 1 Z +bit 450 C 1 * +bit 449 I 1 MEM_CLK_B +bit 448 O 1 MEM_CLK_B 447 1 Z +bit 447 C 1 * +bit 446 I 1 MEM_CLK +bit 445 O 1 MEM_CLK 444 1 Z +bit 444 C 1 * +bit 443 I 1 MEM_MDQ_19 +bit 442 O 1 MEM_MDQ_19 441 1 Z +bit 441 C 1 * +bit 440 I 1 MEM_MDQ_18 +bit 439 O 1 MEM_MDQ_18 438 1 Z +bit 438 C 1 * +bit 437 I 1 MEM_MDQS_1 +bit 436 O 1 MEM_MDQS_1 435 1 Z +bit 435 C 1 * +bit 434 I 1 MEM_DQM_1 +bit 433 O 1 MEM_DQM_1 432 1 Z +bit 432 C 1 * +bit 431 I 1 MEM_MDQ_20 +bit 430 O 1 MEM_MDQ_20 429 1 Z +bit 429 C 1 * +bit 428 I 1 MEM_MDQ_9 +bit 427 O 1 MEM_MDQ_9 426 1 Z +bit 426 C 1 * +bit 425 I 1 MEM_MDQ_8 +bit 424 O 1 MEM_MDQ_8 423 1 Z +bit 423 C 1 * +bit 422 I 1 MEM_MDQ_21 +bit 421 O 1 MEM_MDQ_21 420 1 Z +bit 420 C 1 * +bit 419 I 1 MEM_MDQ_22 +bit 418 O 1 MEM_MDQ_22 417 1 Z +bit 417 C 1 * +bit 416 I 1 MEM_MDQ_11 +bit 415 O 1 MEM_MDQ_11 414 1 Z +bit 414 C 1 * +bit 413 I 1 MEM_MDQ_10 +bit 412 O 1 MEM_MDQ_10 411 1 Z +bit 411 C 1 * +bit 410 I 1 MEM_MDQ_23 +bit 409 O 1 MEM_MDQ_23 408 1 Z +bit 408 C 1 * +bit 407 I 1 MEM_MDQ_13 +bit 406 O 1 MEM_MDQ_13 405 1 Z +bit 405 C 1 * +bit 404 I 1 MEM_MDQ_12 +bit 403 O 1 MEM_MDQ_12 402 1 Z +bit 402 C 1 * +bit 401 I 1 MEM_MDQS_3 +bit 400 O 1 MEM_MDQS_3 399 1 Z +bit 399 C 1 * +bit 398 I 1 MEM_DQM_3 +bit 397 O 1 MEM_DQM_3 396 1 Z +bit 396 C 1 * +bit 395 I 1 MEM_MDQ_15 +bit 394 O 1 MEM_MDQ_15 393 1 Z +bit 393 C 1 * +bit 392 I 1 MEM_MDQ_14 +bit 391 O 1 MEM_MDQ_14 390 1 Z +bit 390 C 1 * +bit 389 I 1 MEM_MDQ_24 +bit 388 O 1 MEM_MDQ_24 387 1 Z +bit 387 C 1 * +bit 386 I 1 MEM_MDQS_0 +bit 385 O 1 MEM_MDQS_0 384 1 Z +bit 384 C 1 * +bit 383 I 1 MEM_DQM_0 +bit 382 O 1 MEM_DQM_0 381 1 Z +bit 381 C 1 * +bit 380 I 1 MEM_MDQ_26 +bit 379 O 1 MEM_MDQ_26 378 1 Z +bit 378 C 1 * +bit 377 I 1 MEM_MDQ_25 +bit 376 O 1 MEM_MDQ_25 375 1 Z +bit 375 C 1 * +bit 374 I 1 MEM_MDQ_6 +bit 373 O 1 MEM_MDQ_6 372 1 Z +bit 372 C 1 * +bit 371 I 1 MEM_MDQ_7 +bit 370 O 1 MEM_MDQ_7 369 1 Z +bit 369 C 1 * +bit 368 I 1 MEM_MDQ_27 +bit 367 O 1 MEM_MDQ_27 366 1 Z +bit 366 C 1 * +bit 365 I 1 MEM_MDQ_4 +bit 364 O 1 MEM_MDQ_4 363 1 Z +bit 363 C 1 * +bit 362 I 1 MEM_MDQ_5 +bit 361 O 1 MEM_MDQ_5 360 1 Z +bit 360 C 1 * +bit 359 I 1 MEM_MDQ_29 +bit 358 O 1 MEM_MDQ_29 357 1 Z +bit 357 C 1 * +bit 356 I 1 MEM_MDQ_28 +bit 355 O 1 MEM_MDQ_28 354 1 Z +bit 354 C 1 * +bit 353 I 1 MEM_MDQ_2 +bit 352 O 1 MEM_MDQ_2 351 1 Z +bit 351 C 1 * +bit 350 I 1 MEM_MDQ_3 +bit 349 O 1 MEM_MDQ_3 348 1 Z +bit 348 C 1 * +bit 347 I 1 MEM_MDQ_30 +bit 346 O 1 MEM_MDQ_30 345 1 Z +bit 345 C 1 * +bit 344 I 1 MEM_MDQ_0 +bit 343 O 1 MEM_MDQ_0 342 1 Z +bit 342 C 1 * +bit 341 I 1 MEM_MDQ_1 +bit 340 O 1 MEM_MDQ_1 339 1 Z +bit 339 C 1 * +bit 338 I 1 MEM_MDQ_31 +bit 337 O 1 MEM_MDQ_31 336 1 Z +bit 336 C 1 * +bit 335 I 1 I2C_2 +bit 334 O 1 I2C_2 333 1 Z +bit 333 C 1 * +bit 332 I 1 I2C_0 +bit 331 O 1 I2C_0 330 1 Z +bit 330 C 1 * +bit 329 I 1 TIMER_1 +bit 328 O 1 TIMER_1 327 1 Z +bit 327 C 1 * +bit 326 I 1 I2C_3 +bit 325 O 1 I2C_3 324 1 Z +bit 324 C 1 * +bit 323 I 1 I2C_1 +bit 322 O 1 I2C_1 321 1 Z +bit 321 C 1 * +bit 320 I 1 TIMER_0 +bit 319 O 1 TIMER_0 318 1 Z +bit 318 C 1 * +bit 317 I 1 ATA_INTRQ +bit 316 O 1 ATA_INTRQ 315 1 Z +bit 315 C 1 * +bit 314 I 1 ATA_DACK_B +bit 313 O 1 ATA_DACK_B 312 1 Z +bit 312 C 1 * +bit 311 I 1 ATA_IOCHRDY +bit 310 O 1 ATA_IOCHRDY 309 1 Z +bit 309 C 1 * +bit 308 I 1 ATA_IOR_B +bit 307 O 1 ATA_IOR_B 306 1 Z +bit 306 C 1 * +bit 305 I 1 ATA_IOW_B +bit 304 O 1 ATA_IOW_B 303 1 Z +bit 303 C 1 * +bit 302 I 1 ATA_DRQ +bit 301 O 1 ATA_DRQ 300 1 Z +bit 300 C 1 * +bit 299 I 1 ATA_ISOLATION +bit 298 O 1 ATA_ISOLATION 297 1 Z +bit 297 C 1 * +bit 296 I 1 LP_RW +bit 295 O 1 LP_RW 294 1 Z +bit 294 C 1 * +bit 293 I 1 LP_CS5_B +bit 292 O 1 LP_CS5_B 291 1 Z +bit 291 C 1 * +bit 290 I 1 LP_CS4_B +bit 289 O 1 LP_CS4_B 288 1 Z +bit 288 C 1 * +bit 287 I 1 LP_CS3_B +bit 286 O 1 LP_CS3_B 285 1 Z +bit 285 C 1 * +bit 284 I 1 LP_CS2_B +bit 283 O 1 LP_CS2_B 282 1 Z +bit 282 C 1 * +bit 281 I 1 LP_CS1_B +bit 280 O 1 LP_CS1_B 279 1 Z +bit 279 C 1 * +bit 278 I 1 LP_CS0_B +bit 277 O 1 LP_CS0_B 276 1 Z +bit 276 C 1 * +bit 275 I 1 LP_ALE_B +bit 274 O 1 LP_ALE_B 273 1 Z +bit 273 C 1 * +bit 272 I 1 LP_ACK +bit 271 O 1 LP_ACK 270 1 Z +bit 270 C 1 * +bit 269 I 1 LP_TS_B +bit 268 O 1 LP_TS_B 267 1 Z +bit 267 C 1 * +bit 266 I 1 EXT_AD_1 +bit 265 O 1 EXT_AD_1 264 1 Z +bit 264 C 1 * +bit 263 I 1 EXT_AD_0 +bit 262 O 1 EXT_AD_0 261 1 Z +bit 261 C 1 * +bit 260 I 1 EXT_AD_3 +bit 259 O 1 EXT_AD_3 258 1 Z +bit 258 C 1 * +bit 257 I 1 EXT_AD_5 +bit 256 O 1 EXT_AD_5 255 1 Z +bit 255 C 1 * +bit 254 I 1 EXT_AD_2 +bit 253 O 1 EXT_AD_2 252 1 Z +bit 252 C 1 * +bit 251 I 1 EXT_AD_7 +bit 250 O 1 EXT_AD_7 249 1 Z +bit 249 C 1 * +bit 248 I 1 EXT_AD_8 +bit 247 O 1 EXT_AD_8 246 1 Z +bit 246 C 1 * +bit 245 I 1 EXT_AD_4 +bit 244 O 1 EXT_AD_4 243 1 Z +bit 243 C 1 * +bit 242 I 1 EXT_AD_6 +bit 241 O 1 EXT_AD_6 240 1 Z +bit 240 C 1 * +bit 239 I 1 EXT_AD_10 +bit 238 O 1 EXT_AD_10 237 1 Z +bit 237 C 1 * +bit 236 I 1 PCI_CBE_0_B +bit 235 O 1 PCI_CBE_0_B 234 1 Z +bit 234 C 1 * +bit 233 I 1 EXT_AD_9 +bit 232 O 1 EXT_AD_9 231 1 Z +bit 231 C 1 * +bit 230 I 1 EXT_AD_12 +bit 229 O 1 EXT_AD_12 228 1 Z +bit 228 C 1 * +bit 227 I 1 EXT_AD_14 +bit 226 O 1 EXT_AD_14 225 1 Z +bit 225 C 1 * +bit 224 I 1 EXT_AD_11 +bit 223 O 1 EXT_AD_11 222 1 Z +bit 222 C 1 * +bit 221 I 1 PCI_CBE_1_B +bit 220 O 1 PCI_CBE_1_B 219 1 Z +bit 219 C 1 * +bit 218 I 1 PCI_SERR_B +bit 217 O 1 PCI_SERR_B 216 1 Z +bit 216 C 1 * +bit 215 I 1 EXT_AD_13 +bit 214 O 1 EXT_AD_13 213 1 Z +bit 213 C 1 * +bit 212 I 1 EXT_AD_15 +bit 211 O 1 EXT_AD_15 210 1 Z +bit 210 C 1 * +bit 209 I 1 PCI_PERR_B +bit 208 O 1 PCI_PERR_B 207 1 Z +bit 207 C 1 * +bit 206 I 1 PCI_DEVSEL_B +bit 205 O 1 PCI_DEVSEL_B 204 1 Z +bit 204 C 1 * +bit 203 I 1 PCI_PAR +bit 202 O 1 PCI_PAR 201 1 Z +bit 201 C 1 * +bit 200 I 1 PCI_IRDY_B +bit 199 O 1 PCI_IRDY_B 198 1 Z +bit 198 C 1 * +bit 197 I 1 PCI_CBE_2_B +bit 196 O 1 PCI_CBE_2_B 195 1 Z +bit 195 C 1 * +bit 194 I 1 PCI_STOP_B +bit 193 O 1 PCI_STOP_B 192 1 Z +bit 192 C 1 * +bit 191 I 1 EXT_AD_17 +bit 190 O 1 EXT_AD_17 189 1 Z +bit 189 C 1 * +bit 188 I 1 PCI_TRDY_B +bit 187 O 1 PCI_TRDY_B 186 1 Z +bit 186 C 1 * +bit 185 I 1 PCI_FRAME_B +bit 184 O 1 PCI_FRAME_B 183 1 Z +bit 183 C 1 * +bit 182 I 1 EXT_AD_19 +bit 181 O 1 EXT_AD_19 180 1 Z +bit 180 C 1 * +bit 179 I 1 EXT_AD_16 +bit 178 O 1 EXT_AD_16 177 1 Z +bit 177 C 1 * +bit 176 I 1 EXT_AD_18 +bit 175 O 1 EXT_AD_18 174 1 Z +bit 174 C 1 * +bit 173 I 1 EXT_AD_21 +bit 172 O 1 EXT_AD_21 171 1 Z +bit 171 C 1 * +bit 170 I 1 EXT_AD_23 +bit 169 O 1 EXT_AD_23 168 1 Z +bit 168 C 1 * +bit 167 I 1 EXT_AD_22 +bit 166 O 1 EXT_AD_22 165 1 Z +bit 165 C 1 * +bit 164 I 1 PCI_CBE_3_B +bit 163 O 1 PCI_CBE_3_B 162 1 Z +bit 162 C 1 * +bit 161 I 1 EXT_AD_25 +bit 160 O 1 EXT_AD_25 159 1 Z +bit 159 C 1 * +bit 158 I 1 EXT_AD_27 +bit 157 O 1 EXT_AD_27 156 1 Z +bit 156 C 1 * +bit 155 I 1 EXT_AD_29 +bit 154 O 1 EXT_AD_29 153 1 Z +bit 153 C 1 * +bit 152 I 1 EXT_AD_31 +bit 151 O 1 EXT_AD_31 150 1 Z +bit 150 C 1 * +bit 149 I 1 EXT_AD_20 +bit 148 O 1 EXT_AD_20 147 1 Z +bit 147 C 1 * +bit 146 I 1 PCI_REQ_B +bit 145 O 1 PCI_REQ_B 144 1 Z +bit 144 C 1 * +bit 143 I 1 PCI_IDSEL +bit 142 O 1 PCI_IDSEL 141 1 Z +bit 141 C 1 * +bit 140 I 1 EXT_AD_24 +bit 139 O 1 EXT_AD_24 138 1 Z +bit 138 C 1 * +bit 137 I 1 PCI_CLOCK +bit 136 O 1 PCI_CLOCK 135 1 Z +bit 135 C 1 * +bit 134 I 1 EXT_AD_26 +bit 133 O 1 EXT_AD_26 132 1 Z +bit 132 C 1 * +bit 131 I 1 EXT_AD_28 +bit 130 O 1 EXT_AD_28 129 1 Z +bit 129 C 1 * +bit 128 I 1 IRQ3 +bit 127 O 1 IRQ3 126 1 Z +bit 126 C 1 * +bit 125 I 1 PCI_RESET_B +bit 124 O 1 PCI_RESET_B 123 1 Z +bit 123 C 1 * +bit 122 I 1 EXT_AD_30 +bit 121 O 1 EXT_AD_30 120 1 Z +bit 120 C 1 * +bit 119 I 1 PCI_GNT_B +bit 118 O 1 PCI_GNT_B 117 1 Z +bit 117 C 1 * +bit 116 I 1 IRQ1 +bit 115 O 1 IRQ1 114 1 Z +bit 114 C 1 * +bit 113 I 1 IRQ2 +bit 112 O 1 IRQ2 111 1 Z +bit 111 C 1 * +bit 110 I 1 IRQ0 +bit 109 O 1 IRQ0 108 1 Z +bit 108 C 1 * +bit 107 I 1 ETH_7 +bit 106 O 1 ETH_7 105 1 Z +bit 105 C 1 * +bit 104 I 1 ETH_6 +bit 103 O 1 ETH_6 102 1 Z +bit 102 C 1 * +bit 101 I 1 ETH_15 +bit 100 O 1 ETH_15 99 1 Z +bit 99 C 1 * +bit 98 I 1 ETH_14 +bit 97 O 1 ETH_14 96 1 Z +bit 96 C 1 * +bit 95 I 1 ETH_13 +bit 94 O 1 ETH_13 93 1 Z +bit 93 C 1 * +bit 92 I 1 ETH_12 +bit 91 O 1 ETH_12 90 1 Z +bit 90 C 1 * +bit 89 I 1 ETH_8 +bit 88 O 1 ETH_8 87 1 Z +bit 87 C 1 * +bit 86 I 1 ETH_9 +bit 85 O 1 ETH_9 84 1 Z +bit 84 C 1 * +bit 83 I 1 ETH_16 +bit 82 O 1 ETH_16 81 1 Z +bit 81 C 1 * +bit 80 I 1 ETH_5 +bit 79 O 1 ETH_5 78 1 Z +bit 78 C 1 * +bit 77 I 1 ETH_11 +bit 76 O 1 ETH_11 75 1 Z +bit 75 C 1 * +bit 74 I 1 ETH_0 +bit 73 O 1 ETH_0 72 1 Z +bit 72 C 1 * +bit 71 I 1 ETH_1 +bit 70 O 1 ETH_1 69 1 Z +bit 69 C 1 * +bit 68 I 1 ETH_2 +bit 67 O 1 ETH_2 66 1 Z +bit 66 C 1 * +bit 65 I 1 ETH_3 +bit 64 O 1 ETH_3 63 1 Z +bit 63 C 1 * +bit 62 I 1 ETH_4 +bit 61 O 1 ETH_4 60 1 Z +bit 60 C 1 * +bit 59 I 1 ETH_10 +bit 58 O 1 ETH_10 57 1 Z +bit 57 C 1 * +bit 56 I 1 ETH_17 +bit 55 O 1 ETH_17 54 1 Z +bit 54 C 1 * +bit 53 I 1 USB_0 +bit 52 O 1 USB_0 51 1 Z +bit 51 C 1 * +bit 50 I 1 USB_1 +bit 49 O 1 USB_1 48 1 Z +bit 48 C 1 * +bit 47 I 1 USB_2 +bit 46 O 1 USB_2 45 1 Z +bit 45 C 1 * +bit 44 I 1 USB_3 +bit 43 O 1 USB_3 42 1 Z +bit 42 C 1 * +bit 41 I 1 USB_4 +bit 40 O 1 USB_4 39 1 Z +bit 39 C 1 * +bit 38 I 1 USB_5 +bit 37 O 1 USB_5 36 1 Z +bit 36 C 1 * +bit 35 I 1 USB_6 +bit 34 O 1 USB_6 33 1 Z +bit 33 C 1 * +bit 32 I 1 USB_7 +bit 31 O 1 USB_7 30 1 Z +bit 30 C 1 * +bit 29 I 1 USB_8 +bit 28 O 1 USB_8 27 1 Z +bit 27 C 1 * +bit 26 I 1 USB_9 +bit 25 O 1 USB_9 24 1 Z +bit 24 C 1 * +bit 23 I 1 TIMER_7 +bit 22 O 1 TIMER_7 21 1 Z +bit 21 C 1 * +bit 20 I 1 TIMER_6 +bit 19 O 1 TIMER_6 18 1 Z +bit 18 C 1 * +bit 17 I 1 TIMER_5 +bit 16 O 1 TIMER_5 15 1 Z +bit 15 C 1 * +bit 14 I 1 TIMER_4 +bit 13 O 1 TIMER_4 12 1 Z +bit 12 C 1 * +bit 11 I 1 TIMER_3 +bit 10 O 1 TIMER_3 9 1 Z +bit 9 C 1 * +bit 8 I 1 TIMER_2 +bit 7 O 1 TIMER_2 6 1 Z +bit 6 C 1 * +bit 5 I 1 TEST_SEL_1 +bit 4 O 1 TEST_SEL_1 3 1 Z +bit 3 C 1 * +bit 2 I 1 TEST_SEL_0 +bit 1 O 1 TEST_SEL_0 0 1 Z +bit 0 C 1 * + +initbus mpc5200 diff --git a/sie_fs/usr/local/share/urjtag/hitachi/PARTS b/sie_fs/usr/local/share/urjtag/hitachi/PARTS new file mode 100644 index 0000000..7a634dc --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/PARTS @@ -0,0 +1,27 @@ +# +# $Id: PARTS 738 2007-11-08 07:33:12Z kawk $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +# bits 27-12 of the Device Identification Register +0000000000000001 ar7300 AR7300 +0000000001000010 sh7727 SH7727 +0111010101101110 sh7727 SH7727 diff --git a/sie_fs/usr/local/share/urjtag/hitachi/ar7300/STEPPINGS b/sie_fs/usr/local/share/urjtag/hitachi/ar7300/STEPPINGS new file mode 100644 index 0000000..12d09af --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/ar7300/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id$ +# +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# + +# bits 31-28 of the Device Identification Register +0000 ar7300 0 diff --git a/sie_fs/usr/local/share/urjtag/hitachi/ar7300/ar7300 b/sie_fs/usr/local/share/urjtag/hitachi/ar7300/ar7300 new file mode 100644 index 0000000..9a5ca06 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/ar7300/ar7300 @@ -0,0 +1,29 @@ +# +# $Id$ + +register BR 1 +register BSR 1 +register DIR 32 +register EJIMPCODE 32 +register EJADDRESS 32 +register EJDATA 32 +register EJCONTROL 32 +register EJALL 96 +register EJFASTDATA 33 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction SAMPLE/PRELOAD 00010 BSR +instruction IDCODE 00001 DIR +instruction EJTAG_IMPCODE 00011 EJIMPCODE +instruction EJTAG_ADDRESS 01000 EJADDRESS +instruction EJTAG_DATA 01001 EJDATA +instruction EJTAG_CONTROL 01010 EJCONTROL +instruction EJTAG_ALL 01011 EJALL +instruction EJTAGBOOT 01100 BR +instruction NORMALBOOT 01101 BR +instruction EJTAG_FASTDATA 01110 EJFASTDATA + +initbus ejtag +endian big diff --git a/sie_fs/usr/local/share/urjtag/hitachi/hd64465/hd64465 b/sie_fs/usr/local/share/urjtag/hitachi/hd64465/hd64465 new file mode 100644 index 0000000..075c1fd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/hd64465/hd64465 @@ -0,0 +1,992 @@ +# +# $Id: hd64465 581 2003-10-20 11:11:06Z telka $ +# +# JTAG declarations for Hitachi HD64465BP/HD64465BQ +# Copyright (C) 2003 Elcom s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2003. +# +# Documentation: +# [1] Hitachi Ltd., "Windows CE Intelligent Peripheral Controller +# HD64465 User's Manual", 03/08/01, Rev. 3.0, ADE-602-168B +# + +# Test Mode (see Table 4.3 in [1]) +signal TST +signal TDI +signal TDO +signal TMS +signal TCK +signal TRST + +# CPU interface (see Table 4.4 in [1]) +signal CKIO +signal A0 +signal A1 +signal A2 +signal A3 +signal A4 +signal A5 +signal A6 +signal A7 +signal A8 +signal A9 +signal A10 +signal A11 +signal A12 +signal A13 +signal A14 +signal A15 +signal A16 +signal A17 +signal A18 +signal A19 +signal A20 +signal A21 +signal A22 +signal A23 +signal A24 +signal A25 +signal D0 +signal D1 +signal D2 +signal D3 +signal D4 +signal D5 +signal D6 +signal D7 +signal D8 +signal D9 +signal D10 +signal D11 +signal D12 +signal D13 +signal D14 +signal D15 +signal D16 +signal D17 +signal D18 +signal D19 +signal D20 +signal D21 +signal D22 +signal D23 +signal D24 +signal D25 +signal D26 +signal D27 +signal D28 +signal D29 +signal D30 +signal D31 +signal nCS4 +signal nWE0 +signal nWE1 +signal nWE2 +signal nWE3 +signal nRDWR +signal nRD +signal nRDY +signal nBS +signal nDREQ0 +signal nDREQ1 +signal DRAK0 +signal DRAK1 +signal nIRQ0 +signal SH_MODE +signal nCE1B +signal nCE2B +signal nCE1A +signal nCE2A +signal nIOIS16 + +# PCMCIA 0 (see Table 4.5 in [1]) +signal PCC0A0 +signal PCC0A1 +signal PCC0A2 +signal PCC0A3 +signal PCC0A4 +signal PCC0A5 +signal PCC0A6 +signal PCC0A7 +signal PCC0A8 +signal PCC0A9 +signal PCC0A10 +signal PCC0A11 +signal PCC0A12 +signal PCC0A13 +signal PCC0A14 +signal PCC0A15 +signal PCC0A16 +signal PCC0A17 +signal PCC0A18 +signal PCC0A19 +signal PCC0A20 +signal PCC0A21 +signal PCC0A22 +signal PCC0A23 +signal PCC0A24 +signal PCC0A25 +signal PCC0D0 +signal PCC0D1 +signal PCC0D2 +signal PCC0D3 +signal PCC0D4 +signal PCC0D5 +signal PCC0D6 +signal PCC0D7 +signal PCC0D8 +signal PCC0D9 +signal PCC0D10 +signal PCC0D11 +signal PCC0D12 +signal PCC0D13 +signal PCC0D14 +signal PCC0D15 +signal nPCC0CE1B +signal nPCC0CE2B +signal nRDB +signal nWEB +signal nPCC0ICIORDB +signal nPCC0ICIOWRB +signal PCC0RESET +signal nPCC0WAIT +signal nPCC0WP +signal PCC0RDY +signal PCC0BVD1 +signal PCC0BVD2 +signal nPCC0CD1 +signal nPCC0CD2 +signal nPCC0VS1 +signal nPCC0VS2 +signal nPCC0REG +signal VCC0SEL1 +signal VCC0SEL0 +signal VCC0VPP1 +signal VCC0VPP0 + +# PCMCIA 1 (see Table 4.6 in [1]) +signal PCC1A0 +signal PCC1A1 +signal PCC1A2 +signal PCC1A3 +signal PCC1A4 +signal PCC1A5 +signal PCC1A6 +signal PCC1A7 +signal PCC1A8 +signal PCC1A9 +signal PCC1A10 +signal PCC1A11 +signal PCC1A12 +signal PCC1A13 +signal PCC1A14 +signal PCC1A15 +signal PCC1A16 +signal PCC1A17 +signal PCC1A18 +signal PCC1A19 +signal PCC1A20 +signal PCC1A21 +signal PCC1A22 +signal PCC1A23 +signal PCC1A24 +signal PCC1A25 +signal PCC1D0 +signal PCC1D1 +signal PCC1D2 +signal PCC1D3 +signal PCC1D4 +signal PCC1D5 +signal PCC1D6 +signal PCC1D7 +signal PCC1D8 +signal PCC1D9 +signal PCC1D10 +signal PCC1D11 +signal PCC1D12 +signal PCC1D13 +signal PCC1D14 +signal PCC1D15 +signal nPCC1CE1A +signal nPCC1CE2A +signal nRDA +signal nWEA +signal nPCC1ICIORDA +signal nPCC1ICIOWRA +signal PCC1RESET +signal nPCC1WAIT +signal nPCC1WP +signal PCC1RDY +signal PCC1BVD1 +signal PCC1BVD2 +signal nPCC1CD1 +signal nPCC1CD2 +signal nPCC1VS1 +signal nPCC1VS2 +signal nPCC1REG +signal VCC1SEL1 +signal VCC1SEL0 +signal VCC1VPP1 +signal VCC1VPP0 + +# UART 0 (see Table 4.7 in [1]) +signal TXD0 +signal RXD0 +signal nRTS0 +signal nCTS0 +signal nDTR0 +signal nDSR0 +signal nDCD0 +signal nRI0 + +# IrDA (see Table 4.8 in [1]) +signal MODSEL +signal TXD +signal nRX + +# Printer Interface (see Table 4.9 in [1]) +signal nSTB +signal nAFD +signal nERR +signal nINIT +signal nSLIN +signal nACK +signal BUSY +signal PE +signal SLCT +signal PPD0 +signal PPD1 +signal PPD2 +signal PPD3 +signal PPD4 +signal PPD5 +signal PPD6 +signal PPD7 + +# AFE Interface (see Table 4.10 in [1]) +signal DOUT +signal DIN +signal SCLK +signal HC1 +signal FS +signal nAFERST +signal nAFEPDN +signal MCLKO +signal OFFHOOK +signal RING + +# CODEC Interface (see Table 4.11 in [1]) +signal ACCLK +signal nACRST +signal nACPD +signal SIBDIN +signal SIBCLK +signal SIBDOUT +signal SIBSYNC + +# USB Interface (see Table 4.12 in [1]) +signal nUSBPEN +signal nUSBOVR +signal USBD1P +signal USBD1M +signal USBD2P +signal USBD2M + +# Keyboard Interface (see Table 4.13 in [1]) +signal nKBCS +signal nXIOW +signal nXIOR +signal KBIRQ0 +signal KBIRQ1 + +# IO Port A (see Table 4.14 in [1]) +signal PA0 +signal PA1 +signal PA2 +signal PA3 +signal PA4 +signal PA5 +signal PA6 +signal PA7 + +# IO Port B (see Table 4.15 in [1]) +signal PB0 +signal PB1 +signal PB2 +signal PB3 +signal PB4 +signal PB5 +signal PB6 +signal PB7 + +# IO Port C (see Table 4.16 in [1]) +signal PC0 +signal PC1 +signal PC2 +signal PC3 +signal PC4 +signal PC5 +signal PC6 +signal PC7 + +# IO Port D (see Table 4.17 in [1]) +signal PD0 +signal PD1 +signal PD2 +signal PD3 +signal PD4 +signal PD5 +signal PD6 +signal PD7 + +# IO Port E (see Table 4.18 in [1]) +signal PE0 +signal PE1 +signal PE2 +signal PE3 +signal PE4 +signal PE5 +signal PE6 +signal PE7 + +# 10-bit ADC Interface (see Table 4.19 in [1]) +signal TSMX +signal TSMY +signal TSPX +signal TSPY + +# PS/2 Interface (see Table 4.20 in [1]) +signal KBCK +signal KBDATA +signal MSCK +signal MSDATA + +# System Reset Interface (see Table 4.21 in [1]) +signal nRESETPI +signal nRESETMI +signal nRESETPO +signal nRESETMO + +# Crystal Interface (see Table 4.22 in [1]) +signal AFECK +signal AFECKE +signal UCK +signal UCKE + +# Miscellaneous Interface (see Table 4.23 in [1]) +signal PWM0 +signal PWM1 +signal P80LE + +# No Connected Pins (see Table 4.24 in [1]) +signal NC(1) +signal NC(2) +signal NC(3) +signal NC(4) +signal NC(5) +signal NC(6) +signal NC(7) +signal NC(8) +signal NC(9) +signal NC(10) +signal NC(11) +signal NC(12) +signal NC(13) +signal NC(14) + +# Power/Ground (see Tabe 4.25 in [1]) +signal VSS +signal VCC +signal VCC5 +signal VCCA +signal VCCB +signal AVCC1 +signal AVSS1 +signal AVCC2 +signal AVSS2 +signal AVCC3 +signal AVSS3 +signal AVCC4 +signal AVSS4 +signal AVCC5 +signal AVCC6 +signal AVSS6 + +# mandatory data registers +register BSR 550 +register BR 1 + +# instructions +instruction length 3 +# mandatory instructions +instruction BYPASS 111 BR +instruction EXTEST 000 BSR +instruction SAMPLE/PRELOAD 001 BSR + +# boundary scan register +bit 549 I ? nBS +bit 548 I ? RING +bit 547 I ? FS +bit 546 I ? SCLK +bit 545 I ? DIN +bit 544 O ? nAFERST +bit 543 O ? nAFEPDN +bit 542 O ? HC1 541 0 Z +bit 541 C 0 . +bit 540 O ? OFFHOOK 541 0 Z +bit 539 O ? MCLKO 541 0 Z +bit 538 O ? DOUT 541 0 Z +bit 537 O ? PA0 535 0 Z +bit 536 I ? PA0 +bit 535 C 0 . +bit 534 O ? PA1 532 0 Z +bit 533 I ? PA1 +bit 532 C 0 . +bit 531 O ? PA2 529 0 Z +bit 530 I ? PA2 +bit 529 C 0 . +bit 528 O ? PA3 526 0 Z +bit 527 I ? PA3 +bit 526 C 0 . +bit 525 O ? PA4 523 0 Z +bit 524 I ? PA4 +bit 523 C 0 . +bit 522 O ? PA5 520 0 Z +bit 521 I ? PA5 +bit 520 C 0 . +bit 519 O ? PA6 517 0 Z +bit 518 I ? PA6 +bit 517 C 0 . +bit 516 O ? PA7 514 0 Z +bit 515 I ? PA7 +bit 514 C 0 . +bit 513 O ? nXIOW +bit 512 O ? nXIOR +bit 511 O ? nKBCS +bit 510 I ? KBIRQ0 +bit 509 I ? KBIRQ1 +bit 508 O ? P80LE +bit 507 I ? CKIO +bit 506 I ? nRESETPI +bit 505 I ? SH_MODE +bit 504 I ? A12 +bit 503 I ? A11 +bit 502 I ? A10 +bit 501 I ? A9 +bit 500 I ? A8 +bit 499 I ? A7 +bit 498 I ? A6 +bit 497 I ? A5 +bit 496 I ? A4 +bit 495 I ? A3 +bit 494 I ? A2 +bit 493 I ? A1 +bit 492 I ? A0 +bit 491 O ? D31 489 0 Z +bit 490 I ? D31 +bit 489 C 0 . +bit 488 O ? D30 489 0 Z +bit 487 I ? D30 +bit 486 O ? D29 489 0 Z +bit 485 I ? D29 +bit 484 O ? D28 489 0 Z +bit 483 I ? D28 +bit 482 O ? D27 489 0 Z +bit 481 I ? D27 +bit 480 O ? D26 489 0 Z +bit 479 I ? D26 +bit 478 O ? D25 489 0 Z +bit 477 I ? D25 +bit 476 O ? D24 489 0 Z +bit 475 I ? D24 +bit 474 O ? D15 472 0 Z +bit 473 I ? D15 +bit 472 C 0 . +bit 471 O ? D14 472 0 Z +bit 470 I ? D14 +bit 469 O ? D13 472 0 Z +bit 468 I ? D13 +bit 467 O ? D12 472 0 Z +bit 466 I ? D12 +bit 465 O ? D11 472 0 Z +bit 464 I ? D11 +bit 463 O ? D10 472 0 Z +bit 462 I ? D10 +bit 461 O ? D9 472 0 Z +bit 460 I ? D9 +bit 459 O ? D8 472 0 Z +bit 458 I ? D8 +bit 457 O ? D23 455 0 Z +bit 456 I ? D23 +bit 455 C 0 . +bit 454 O ? D22 455 0 Z +bit 453 I ? D22 +bit 452 O ? D21 455 0 Z +bit 451 I ? D21 +bit 450 O ? D20 455 0 Z +bit 449 I ? D20 +bit 448 O ? D19 455 0 Z +bit 447 I ? D19 +bit 446 O ? D18 455 0 Z +bit 445 I ? D18 +bit 444 O ? D17 455 0 Z +bit 443 I ? D17 +bit 442 O ? D16 455 0 Z +bit 441 I ? D16 +bit 440 O ? D7 438 0 Z +bit 439 I ? D7 +bit 438 C 0 . +bit 437 O ? D6 438 0 Z +bit 436 I ? D6 +bit 435 O ? D5 438 0 Z +bit 434 I ? D5 +bit 433 O ? D4 438 0 Z +bit 432 I ? D4 +bit 431 O ? D3 438 0 Z +bit 430 I ? D3 +bit 429 O ? D2 438 0 Z +bit 428 I ? D2 +bit 427 O ? D1 438 0 Z +bit 426 I ? D1 +bit 425 O ? D0 438 0 Z +bit 424 I ? D0 +bit 423 O ? nIRQ0 +bit 422 O ? nRDY 421 0 Z +bit 421 C 0 . +bit 420 I ? DRAK1 +bit 419 O ? nDREQ1 +bit 418 I ? DRAK0 +bit 417 O ? nDREQ0 +bit 416 I ? A13 +bit 415 I ? A14 +bit 414 I ? A15 +bit 413 I ? A16 +bit 412 I ? A17 +bit 411 I ? A18 +bit 410 I ? A19 +bit 409 I ? A20 +bit 408 I ? A21 +bit 407 I ? A22 +bit 406 I ? A23 +bit 405 I ? A24 +bit 404 I ? A25 +bit 403 I ? nCS4 +bit 402 I ? nRDWR +bit 401 I ? nRD +bit 400 I ? nWE0 +bit 399 I ? nWE1 +bit 398 I ? nWE2 +bit 397 I ? nWE3 +bit 396 I ? nCE2A +bit 395 I ? nCE1A +bit 394 I ? nCE2B +bit 393 I ? nCE1B +bit 392 O ? nIOIS16 391 0 Z +bit 391 C 0 . +bit 390 O ? VCC0SEL1 +bit 389 O ? VCC0SEL0 +bit 388 O ? VCC0VPP1 +bit 387 O ? VCC0VPP0 +bit 386 I ? nPCC0CD2 +bit 385 I ? nPCC0CD1 +bit 384 I ? nPCC0VS2 +bit 383 I ? nPCC0VS1 +bit 382 I ? PCC0BVD2 +bit 381 I ? PCC0BVD1 +bit 380 I ? PCC0RDY +bit 379 I ? nPCC0WP +bit 378 I ? nPCC0WAIT +bit 377 O ? PCC0D0 375 0 Z +bit 376 I ? PCC0D0 +bit 375 C 0 . +bit 374 O ? PCC0D8 372 0 Z +bit 373 I ? PCC0D8 +bit 372 C 0 . +bit 371 O ? PCC0D1 375 0 Z +bit 370 I ? PCC0D1 +bit 369 O ? PCC0D9 372 0 Z +bit 368 I ? PCC0D9 +bit 367 O ? PCC0D2 375 0 Z +bit 366 I ? PCC0D2 +bit 365 O ? PCC0D10 372 0 Z +bit 364 I ? PCC0D10 +bit 363 O ? PCC0D3 375 0 Z +bit 362 I ? PCC0D3 +bit 361 O ? PCC0D11 372 0 Z +bit 360 I ? PCC0D11 +bit 359 O ? PCC0A25 358 0 Z +bit 358 C 0 . +bit 357 O ? PCC0A24 358 0 Z +bit 356 O ? PCC0A23 358 0 Z +bit 355 O ? PCC0A22 358 0 Z +bit 354 O ? PCC0A21 358 0 Z +bit 353 O ? PCC0A20 358 0 Z +bit 352 O ? PCC0A19 358 0 Z +bit 351 O ? PCC0A18 358 0 Z +bit 350 O ? PCC0A17 358 0 Z +bit 349 O ? PCC0A16 358 0 Z +bit 348 O ? PCC0A15 358 0 Z +bit 347 O ? PCC0A14 358 0 Z +bit 346 O ? PCC0A13 358 0 Z +bit 345 O ? PCC0RESET 358 0 Z +bit 344 O ? nPCC0ICIORDB 358 0 Z +bit 343 O ? nPCC0ICIOWRB 358 0 Z +bit 342 O ? nWEB 358 0 Z +bit 341 O ? nRDB 358 0 Z +bit 340 O ? nPCC0CE1B 358 0 Z +bit 339 O ? nPCC0CE2B 358 0 Z +bit 338 O ? nPCC0REG 358 0 Z +bit 337 O ? PCC0A12 358 0 Z +bit 336 O ? PCC0A11 358 0 Z +bit 335 O ? PCC0A10 358 0 Z +bit 334 O ? PCC0A9 358 0 Z +bit 333 O ? PCC0A8 358 0 Z +bit 332 O ? PCC0A7 358 0 Z +bit 331 O ? PCC0A6 358 0 Z +bit 330 O ? PCC0A5 358 0 Z +bit 329 O ? PCC0A4 358 0 Z +bit 328 O ? PCC0A3 358 0 Z +bit 327 O ? PCC0A2 358 0 Z +bit 326 O ? PCC0A1 358 0 Z +bit 325 O ? PCC0A0 358 0 Z +bit 324 O ? PCC0D12 372 0 Z +bit 323 I ? PCC0D12 +bit 322 O ? PCC0D4 375 0 Z +bit 321 I ? PCC0D4 +bit 320 O ? PCC0D13 372 0 Z +bit 319 I ? PCC0D13 +bit 318 O ? PCC0D5 375 0 Z +bit 317 I ? PCC0D5 +bit 316 O ? PCC0D14 372 0 Z +bit 315 I ? PCC0D14 +bit 314 O ? PCC0D6 375 0 Z +bit 313 I ? PCC0D6 +bit 312 O ? PCC0D15 372 0 Z +bit 311 I ? PCC0D15 +bit 310 O ? PCC0D7 375 0 Z +bit 309 I ? PCC0D7 +bit 308 O ? PCC1A15 307 0 Z +bit 307 C 0 . +bit 306 O ? PCC1A14 307 0 Z +bit 305 O ? PCC1A13 307 0 Z +bit 304 O ? PCC1A12 307 0 Z +bit 303 O ? PCC1A11 307 0 Z +bit 302 O ? PCC1A10 307 0 Z +bit 301 O ? PCC1A9 307 0 Z +bit 300 O ? PCC1A8 307 0 Z +bit 299 O ? PCC1A7 307 0 Z +bit 298 O ? PCC1A6 307 0 Z +bit 297 O ? PCC1A5 307 0 Z +bit 296 O ? PCC1A4 307 0 Z +bit 295 O ? PCC1A3 307 0 Z +bit 294 O ? PCC1A2 307 0 Z +bit 293 O ? PCC1A1 307 0 Z +bit 292 O ? PCC1A0 307 0 Z +bit 291 O ? PCC1D7 289 0 Z +bit 290 I ? PCC1D7 +bit 289 C 0 . +bit 288 O ? PCC1D15 286 0 Z +bit 287 I ? PCC1D15 +bit 286 C 0 . +bit 285 O ? PCC1D6 289 0 Z +bit 284 I ? PCC1D6 +bit 283 O ? PCC1D14 286 0 Z +bit 282 I ? PCC1D14 +bit 281 O ? PCC1D5 289 0 Z +bit 280 I ? PCC1D5 +bit 279 O ? PCC1D13 286 0 Z +bit 278 I ? PCC1D13 +bit 277 O ? PCC1D4 289 0 Z +bit 276 I ? PCC1D4 +bit 275 O ? PCC1D12 286 0 Z +bit 274 I ? PCC1D12 +bit 273 O ? PCC1D3 289 0 Z +bit 272 I ? PCC1D3 +bit 271 O ? PCC1D11 286 0 Z +bit 270 I ? PCC1D11 +bit 269 O ? PCC1D2 289 0 Z +bit 268 I ? PCC1D2 +bit 267 O ? PCC1D10 286 0 Z +bit 266 I ? PCC1D10 +bit 265 O ? PCC1D1 289 0 Z +bit 264 I ? PCC1D1 +bit 263 O ? PCC1D9 286 0 Z +bit 262 I ? PCC1D9 +bit 261 O ? PCC1D0 289 0 Z +bit 260 I ? PCC1D0 +bit 259 O ? PCC1D8 286 0 Z +bit 258 I ? PCC1D8 +bit 257 O ? PCC1A16 307 0 Z +bit 256 O ? PCC1A17 307 0 Z +bit 255 O ? PCC1A18 307 0 Z +bit 254 O ? PCC1A19 307 0 Z +bit 253 O ? PCC1A20 307 0 Z +bit 252 O ? PCC1A21 307 0 Z +bit 251 O ? PCC1A22 307 0 Z +bit 250 O ? PCC1A23 307 0 Z +bit 249 O ? PCC1A24 307 0 Z +bit 248 O ? PCC1A25 307 0 Z +bit 247 O ? nPCC1REG 307 0 Z +bit 246 O ? nPCC1CE2A 307 0 Z +bit 245 O ? nPCC1CE1A 307 0 Z +bit 244 O ? nRDA 307 0 Z +bit 243 O ? nWEA 307 0 Z +bit 242 O ? nPCC1ICIORDA 307 0 Z +bit 241 O ? nPCC1ICIOWRA 307 0 Z +bit 240 O ? PCC1RESET 307 0 Z +bit 239 I ? nPCC1WAIT +bit 238 I ? nPCC1WP +bit 237 I ? PCC1RDY +bit 236 I ? PCC1BVD1 +bit 235 I ? PCC1BVD2 +bit 234 I ? nPCC1VS1 +bit 233 I ? nPCC1VS2 +bit 232 I ? nPCC1CD1 +bit 231 I ? nPCC1CD2 +bit 230 O ? VCC1VPP0 +bit 229 O ? VCC1VPP1 +bit 228 O ? VCC1SEL0 +bit 227 O ? VCC1SEL1 +bit 226 O ? NC(14) 224 0 Z +bit 225 I ? NC(14) +bit 224 C 0 . +bit 223 O ? NC(13) 221 0 Z +bit 222 I ? NC(13) +bit 221 C 0 . +bit 220 O ? NC(12) 218 0 Z +bit 219 I ? NC(12) +bit 218 C 0 . +bit 217 O ? NC(11) 215 0 Z +bit 216 I ? NC(11) +bit 215 C 0 . +bit 214 O ? NC(10) 212 0 Z +bit 213 I ? NC(10) +bit 212 C 0 . +bit 211 O ? NC(9) 209 0 Z +bit 210 I ? NC(9) +bit 209 C 0 . +bit 208 O ? NC(8) 206 0 Z +bit 207 I ? NC(8) +bit 206 C 0 . +bit 205 O ? NC(7) 203 0 Z +bit 204 I ? NC(7) +bit 203 C 0 . +bit 202 O ? NC(6) 200 0 Z +bit 201 I ? NC(6) +bit 200 C 0 . +bit 199 O ? NC(5) 197 0 Z +bit 198 I ? NC(5) +bit 197 C 0 . +bit 196 O ? NC(4) 194 0 Z +bit 195 I ? NC(4) +bit 194 C 0 . +bit 193 O ? NC(3) 191 0 Z +bit 192 I ? NC(3) +bit 191 C 0 . +bit 190 O ? NC(2) 188 0 Z +bit 189 I ? NC(2) +bit 188 C 0 . +bit 187 O ? NC(1) 185 0 Z +bit 186 I ? NC(1) +bit 185 C 0 . +bit 184 O ? PWM0 182 0 Z +bit 183 I ? PWM0 +bit 182 C 0 . +bit 181 O ? nRESETMI 179 0 Z +bit 180 I ? nRESETMI +bit 179 C 0 . +bit 178 O ? nRESETPO 176 0 Z +bit 177 I ? nRESETPO +bit 176 C 0 . +bit 175 O ? nRESETMO 173 0 Z +bit 174 I ? nRESETMO +bit 173 C 0 . +bit 172 O ? PWM1 170 0 Z +bit 171 I ? PWM1 +bit 170 C 0 . +bit 169 O ? KBCK 167 0 Z +bit 168 I ? KBCK +bit 167 C 0 . +bit 166 O ? KBDATA 164 0 Z +bit 165 I ? KBDATA +bit 164 C 0 . +bit 163 O ? MSCK 161 0 Z +bit 162 I ? MSCK +bit 161 C 0 . +bit 160 O ? MSDATA 158 0 Z +bit 159 I ? MSDATA +bit 158 C 0 . +bit 157 O ? PE7 155 0 Z +bit 156 I ? PE7 +bit 155 C 0 . +bit 154 O ? PE6 152 0 Z +bit 153 I ? PE6 +bit 152 C 0 . +bit 151 O ? PE5 149 0 Z +bit 150 I ? PE5 +bit 149 C 0 . +bit 148 O ? PE4 146 0 Z +bit 147 I ? PE4 +bit 146 C 0 . +bit 145 O ? PE3 143 0 Z +bit 144 I ? PE3 +bit 143 C 0 . +bit 142 O ? PE2 140 0 Z +bit 141 I ? PE2 +bit 140 C 0 . +bit 139 O ? PE1 137 0 Z +bit 138 I ? PE1 +bit 137 C 0 . +bit 136 O ? PE0 134 0 Z +bit 135 I ? PE0 +bit 134 C 0 . +bit 133 O ? PD7 131 0 Z +bit 132 I ? PD7 +bit 131 C 0 . +bit 130 O ? PD6 128 0 Z +bit 129 I ? PD6 +bit 128 C 0 . +bit 127 O ? PD5 125 0 Z +bit 126 I ? PD5 +bit 125 C 0 . +bit 124 O ? PD4 122 0 Z +bit 123 I ? PD4 +bit 122 C 0 . +bit 121 O ? PD3 119 0 Z +bit 120 I ? PD3 +bit 119 C 0 . +bit 118 O ? PD2 116 0 Z +bit 117 I ? PD2 +bit 116 C 0 . +bit 115 O ? PD1 113 0 Z +bit 114 I ? PD1 +bit 113 C 0 . +bit 112 O ? PD0 110 0 Z +bit 111 I ? PD0 +bit 110 C 0 . +bit 109 O ? PC7 107 0 Z +bit 108 I ? PC7 +bit 107 C 0 . +bit 106 O ? PC6 104 0 Z +bit 105 I ? PC6 +bit 104 C 0 . +bit 103 O ? PC5 101 0 Z +bit 102 I ? PC5 +bit 101 C 0 . +bit 100 O ? PC4 98 0 Z +bit 99 I ? PC4 +bit 98 C 0 . +bit 97 I ? RXD0 +bit 96 I ? nCTS0 +bit 95 I ? nDSR0 +bit 94 I ? nDCD0 +bit 93 I ? nRI0 +bit 92 O ? TXD0 +bit 91 O ? nRTS0 +bit 90 O ? nDTR0 +bit 89 O ? PC3 87 0 Z +bit 88 I ? PC3 +bit 87 C 0 . +bit 86 O ? PC2 84 0 Z +bit 85 I ? PC2 +bit 84 C 0 . +bit 83 O ? PC1 81 0 Z +bit 82 I ? PC1 +bit 81 C 0 . +bit 80 O ? PC0 78 0 Z +bit 79 I ? PC0 +bit 78 C 0 . +bit 77 O ? nUSBPEN 75 0 Z +bit 76 I ? nUSBPEN +bit 75 C 0 . +bit 74 I ? nUSBOVR +bit 73 O ? MODSEL 71 0 Z +bit 72 I ? MODSEL +bit 71 C 0 . +bit 70 O ? TXD +bit 69 O ? nRX 67 0 Z +bit 68 I ? nRX +bit 67 C 0 . +bit 66 O ? PPD0 64 0 Z +bit 65 I ? PPD0 +bit 64 C 0 . +bit 63 O ? PPD1 64 0 Z +bit 62 I ? PPD1 +bit 61 O ? PPD2 64 0 Z +bit 60 I ? PPD2 +bit 59 O ? PPD3 64 0 Z +bit 58 I ? PPD3 +bit 57 O ? nINIT 56 0 Z +bit 56 C 0 . +bit 55 I ? nERR +bit 54 O ? nAFD 56 0 Z +bit 53 O ? nSTB 56 0 Z +bit 52 I ? SLCT +bit 51 I ? PE +bit 50 I ? BUSY +bit 49 I ? nACK +bit 48 O ? nSLIN 56 0 Z +bit 47 O ? PPD4 64 0 Z +bit 46 I ? PPD4 +bit 45 O ? PPD5 64 0 Z +bit 44 I ? PPD5 +bit 43 O ? PPD6 64 0 Z +bit 42 I ? PPD6 +bit 41 O ? PPD7 64 0 Z +bit 40 I ? PPD7 +bit 39 O ? PB0 37 0 Z +bit 38 I ? PB0 +bit 37 C 0 . +bit 36 O ? PB1 34 0 Z +bit 35 I ? PB1 +bit 34 C 0 . +bit 33 O ? PB2 31 0 Z +bit 32 I ? PB2 +bit 31 C 0 . +bit 30 O ? PB3 28 0 Z +bit 29 I ? PB3 +bit 28 C 0 . +bit 27 O ? PB4 25 0 Z +bit 26 I ? PB4 +bit 25 C 0 . +bit 24 O ? PB5 22 0 Z +bit 23 I ? PB5 +bit 22 C 0 . +bit 21 O ? PB6 19 0 Z +bit 20 I ? PB6 +bit 19 C 0 . +bit 18 O ? PB7 16 0 Z +bit 17 I ? PB7 +bit 16 C 0 . +bit 15 O ? nACPD 13 0 Z +bit 14 I ? nACPD +bit 13 C 0 . +bit 12 O ? ACCLK 11 0 Z +bit 11 C 0 . +bit 10 I ? SIBDIN +bit 9 O ? SIBCLK 7 0 Z +bit 8 I ? SIBCLK +bit 7 C 0 . +bit 6 O ? SIBDOUT 5 0 Z +bit 5 C 0 . +bit 4 O ? SIBSYNC 2 0 Z +bit 3 I ? SIBSYNC +bit 2 C 0 . +bit 1 O ? nACRST 0 0 Z +bit 0 C 0 . diff --git a/sie_fs/usr/local/share/urjtag/hitachi/sh7727/STEPPINGS b/sie_fs/usr/local/share/urjtag/hitachi/sh7727/STEPPINGS new file mode 100644 index 0000000..f306e40 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/sh7727/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 381 2003-02-25 09:43:54Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +# bits 31-28 of the Device Identification Register +0000 sh7727 V0 diff --git a/sie_fs/usr/local/share/urjtag/hitachi/sh7727/sh7727 b/sie_fs/usr/local/share/urjtag/hitachi/sh7727/sh7727 new file mode 100644 index 0000000..82b3f02 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/sh7727/sh7727 @@ -0,0 +1,673 @@ +# +# $Id: sh7727 558 2003-09-05 21:09:14Z telka $ +# +# JTAG declarations for SH7727 +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# +# Documentation: +# [1] Hitachi, Ltd., "Hitachi SuperH RISC Engine SH7727 Hardware Manual", +# 2003-01-31, ADE-602-209C Rev. 4.0 +# + +signal VCC1 +signal XTAL2 +signal EXTAL2 +signal VSS1 +signal MD1 +signal MD2 +signal NMI +signal IRQ0 +signal IRQ1 +signal IRQ2 +signal IRQ3 +signal IRQ4 +signal VEPWC +signal VCPWC +signal MD5 +signal BREQ +signal BACK +signal VSS2 +signal CKIO2 +signal VCC2 +signal D31 +signal D30 +signal D29 +signal D28 +signal D27 +signal D26 +signal D25 +signal D24 +signal VSS3 +signal D23 +signal VCC3 +signal D22 +signal D21 +signal D20 +signal VSS4 +signal D19 +signal VCC4 +signal D18 +signal D17 +signal D16 +signal D15 +signal VSS5 +signal D14 +signal VCC5 +signal D13 +signal D12 +signal D11 +signal D10 +signal D9 +signal D8 +signal D7 +signal D6 +signal VSS6 +signal D5 +signal VCC6 +signal D4 +signal D3 +signal D2 +signal D1 +signal D0 +signal A0 +signal A1 +signal A2 +signal VSS7 +signal A3 +signal VCC7 +signal A4 +signal A5 +signal A6 +signal A7 +signal A8 +signal A9 +signal A10 +signal A11 +signal VSS8 +signal A12 +signal VCC8 +signal A13 +signal A14 +signal A15 +signal A16 +signal A17 +signal A18 +signal A19 +signal A20 +signal VSS9 +signal A21 +signal VCC9 +signal A22 +signal A23 +signal VSS10 +signal A24 +signal VCC10 +signal A25 +signal BS +signal RD +signal WE0 +signal WE1 +signal WE2 +signal VSS11 +signal WE3 +signal VCC11 +signal RDWR +signal PTE7 +signal CS0 +signal CS2 +signal CS3 +signal CS4 +signal CS5 +signal CS6 +signal CE2A +signal CE2B +signal AFE_HC1 +signal AFE_RLYCNT +signal VSS12 +signal AFE_SCLK +signal VCC12 +signal AFE_FS +signal AFE_RXIN +signal AFE_TXOUT +signal AFE_RDET +signal USB1D_SUSPEND +signal USB1_OVR_CRNT +signal USB2_OVR_CRNT +signal RTS2 +signal USB1_PWR_EN +signal USB2_PWR_EN +signal CKE +signal RAS +signal PTJ1 +signal CAS +signal VSS13 +signal PTJ3 +signal VCC13 +signal PTJ4 +signal PTJ5 +signal VSS14 +signal CL1 +signal VCC14 +signal DON +signal M_DISP +signal FLM +signal TDO +signal DRAK0 +signal DACK0 +signal WAPIN +signal RESETM +signal ADTRG +signal IOIS16 +signal ASEMDO +signal PTG5 +signal PTG4 +signal PTG3 +signal PTG2 +signal VSS15 +signal PTG1 +signal VCC15 +signal PTG0 +signal VSS16 +signal TRST +signal VCC16 +signal TMS +signal TDI +signal TCK +signal PTF3 +signal PTF2 +signal PTF1 +signal PTF0 +signal MD0 +signal VCC17 +signal CAP1 +signal VSS17 +signal VSS18 +signal CAP2 +signal VCC18 +signal PTH6 +signal VSS19 +signal VCC19 +signal XTAL +signal EXTAL +signal LCD15 +signal LCD14 +signal LCD13 +signal LCD12 +signal STATUS0 +signal STATUS1 +signal CL2 +signal VSS20 +signal CKIO +signal VCC20 +signal TXD0 +signal SCK0 +signal TXD_SIO +signal SIOMCLK +signal TXD2 +signal SCK_SIO +signal SIOFSYNC +signal RXD0 +signal RXD_SIO +signal VSS21 +signal RXD2 +signal VCC21 +signal CTS2 +signal LCD11 +signal LCD10 +signal LCD9 +signal VSS22 +signal LCD8 +signal VCC22 +signal LCD7 +signal LCD6 +signal LCD5 +signal LCD4 +signal LCD3 +signal LCD2 +signal LCD1 +signal LCD0 +signal DREQ0 +signal LCK +signal RESETP +signal CA +signal MD3 +signal MD4 +signal VCC23 +signal AVCC1 +signal USB1_P +signal USB1_M +signal AVSS1 +signal USB2_P +signal USB2_M +signal AVCC2 +signal AVSS2 +signal AN2 +signal AN3 +signal AN4 +signal AN5 +signal AVCC3 +signal AN6 +signal AN7 + +register BSR 392 +register BR 1 +register DIR 32 + +instruction length 16 + +instruction EXTEST 0000111111111111 BSR +instruction SAMPLE/PRELOAD 0100111111111111 BSR +instruction IDCODE 1110111111111111 DIR +instruction BYPASS 1111111111111111 BR + +bit 391 I 1 D31 +bit 390 I 1 D30 +bit 389 I 1 D29 +bit 388 I 1 D28 +bit 387 I 1 D27 +bit 386 I 1 D26 +bit 385 I 1 D25 +bit 384 I 1 D24 +bit 383 I 1 D23 +bit 382 I 1 D22 +bit 381 I 1 D21 +bit 380 I 1 D20 +bit 379 I 1 D19 +bit 378 I 1 D18 +bit 377 I 1 D17 +bit 376 I 1 D16 +bit 375 I 1 D15 +bit 374 I 1 D14 +bit 373 I 1 D13 +bit 372 I 1 D12 +bit 371 I 1 D11 +bit 370 I 1 D10 +bit 369 I 1 D9 +bit 368 I 1 D8 +bit 367 I 1 D7 +bit 366 I 1 D6 +bit 365 I 1 D5 +bit 364 I 1 D4 +bit 363 I 1 D3 +bit 362 I 1 D2 +bit 361 I 1 D1 +bit 360 I 1 D0 +bit 359 I 1 MD1 +bit 358 I 1 MD2 +bit 357 I 1 NMI +bit 356 I 1 IRQ0 +bit 355 I 1 IRQ1 +bit 354 I 1 IRQ2 +bit 353 I 1 IRQ3 +bit 352 I 1 IRQ4 +bit 351 I 1 MD5 +bit 350 I 1 BREQ +bit 349 O 1 VEPWC 314 1 Z +bit 348 O 1 VCPWC 313 1 Z +bit 347 O 1 BACK 312 1 Z +bit 346 O 1 D31 311 1 Z +bit 345 O 1 D30 310 1 Z +bit 344 O 1 D29 309 1 Z +bit 343 O 1 D28 308 1 Z +bit 342 O 1 D27 307 1 Z +bit 341 O 1 D26 306 1 Z +bit 340 O 1 D25 305 1 Z +bit 339 O 1 D24 304 1 Z +bit 338 O 1 D23 303 1 Z +bit 337 O 1 D22 302 1 Z +bit 336 O 1 D21 301 1 Z +bit 335 O 1 D20 300 1 Z +bit 334 O 1 D19 299 1 Z +bit 333 O 1 D18 298 1 Z +bit 332 O 1 D17 297 1 Z +bit 331 O 1 D16 296 1 Z +bit 330 O 1 D15 295 1 Z +bit 329 O 1 D14 294 1 Z +bit 328 O 1 D13 293 1 Z +bit 327 O 1 D12 292 1 Z +bit 326 O 1 D11 291 1 Z +bit 325 O 1 D10 290 1 Z +bit 324 O 1 D9 289 1 Z +bit 323 O 1 D8 288 1 Z +bit 322 O 1 D7 287 1 Z +bit 321 O 1 D6 286 1 Z +bit 320 O 1 D5 285 1 Z +bit 319 O 1 D4 284 1 Z +bit 318 O 1 D3 283 1 Z +bit 317 O 1 D2 282 1 Z +bit 316 O 1 D1 281 1 Z +bit 315 O 1 D0 280 1 Z +bit 314 C 1 * +bit 313 C 1 * +bit 312 C 1 * +bit 311 C 1 * +bit 310 C 1 * +bit 309 C 1 * +bit 308 C 1 * +bit 307 C 1 * +bit 306 C 1 * +bit 305 C 1 * +bit 304 C 1 * +bit 303 C 1 * +bit 302 C 1 * +bit 301 C 1 * +bit 300 C 1 * +bit 299 C 1 * +bit 298 C 1 * +bit 297 C 1 * +bit 296 C 1 * +bit 295 C 1 * +bit 294 C 1 * +bit 293 C 1 * +bit 292 C 1 * +bit 291 C 1 * +bit 290 C 1 * +bit 289 C 1 * +bit 288 C 1 * +bit 287 C 1 * +bit 286 C 1 * +bit 285 C 1 * +bit 284 C 1 * +bit 283 C 1 * +bit 282 C 1 * +bit 281 C 1 * +bit 280 C 1 * +bit 279 I 1 BS +bit 278 I 1 WE2 +bit 277 I 1 WE3 +bit 276 I 1 PTE7 +bit 275 I 1 CS4 +bit 274 I 1 CS5 +bit 273 I 1 CE2A +bit 272 I 1 CE2B +bit 271 I 1 AFE_HC1 +bit 270 I 1 AFE_RLYCNT +bit 269 I 1 AFE_SCLK +bit 268 I 1 AFE_FS +bit 267 I 1 AFE_RXIN +bit 266 I 1 AFE_TXOUT +bit 265 O 1 A0 217 1 Z +bit 264 O 1 A1 216 1 Z +bit 263 O 1 A2 215 1 Z +bit 262 O 1 A3 214 1 Z +bit 261 O 1 A4 213 1 Z +bit 260 O 1 A5 212 1 Z +bit 259 O 1 A6 211 1 Z +bit 258 O 1 A7 210 1 Z +bit 257 O 1 A8 209 1 Z +bit 256 O 1 A9 208 1 Z +bit 255 O 1 A10 207 1 Z +bit 254 O 1 A11 206 1 Z +bit 253 O 1 A12 205 1 Z +bit 252 O 1 A13 204 1 Z +bit 251 O 1 A14 203 1 Z +bit 250 O 1 A15 202 1 Z +bit 249 O 1 A16 201 1 Z +bit 248 O 1 A17 200 1 Z +bit 247 O 1 A18 199 1 Z +bit 246 O 1 A19 198 1 Z +bit 245 O 1 A20 197 1 Z +bit 244 O 1 A21 196 1 Z +bit 243 O 1 A22 195 1 Z +bit 242 O 1 A23 194 1 Z +bit 241 O 1 A24 193 1 Z +bit 240 O 1 A25 192 1 Z +bit 239 O 1 BS 191 1 Z +bit 238 O 1 RD 190 1 Z +bit 237 O 1 WE0 189 1 Z +bit 236 O 1 WE1 188 1 Z +bit 235 O 1 WE2 187 1 Z +bit 234 O 1 WE3 186 1 Z +bit 233 O 1 RDWR 185 1 Z +bit 232 O 1 PTE7 184 1 Z +bit 231 O 1 CS0 183 1 Z +bit 230 O 1 CS2 182 1 Z +bit 229 O 1 CS3 181 1 Z +bit 228 O 1 CS4 180 1 Z +bit 227 O 1 CS5 179 1 Z +bit 226 O 1 CS6 178 1 Z +bit 225 O 1 CE2A 177 1 Z +bit 224 O 1 CE2B 176 1 Z +bit 223 O 1 AFE_HC1 175 1 Z +bit 222 O 1 AFE_RLYCNT 174 1 Z +bit 221 O 1 AFE_SCLK 173 1 Z +bit 220 O 1 AFE_FS 172 1 Z +bit 219 O 1 AFE_RXIN 171 1 Z +bit 218 O 1 AFE_TXOUT 170 1 Z +bit 217 C 1 * +bit 216 C 1 * +bit 215 C 1 * +bit 214 C 1 * +bit 213 C 1 * +bit 212 C 1 * +bit 211 C 1 * +bit 210 C 1 * +bit 209 C 1 * +bit 208 C 1 * +bit 207 C 1 * +bit 206 C 1 * +bit 205 C 1 * +bit 204 C 1 * +bit 203 C 1 * +bit 202 C 1 * +bit 201 C 1 * +bit 200 C 1 * +bit 199 C 1 * +bit 198 C 1 * +bit 197 C 1 * +bit 196 C 1 * +bit 195 C 1 * +bit 194 C 1 * +bit 193 C 1 * +bit 192 C 1 * +bit 191 C 1 * +bit 190 C 1 * +bit 189 C 1 * +bit 188 C 1 * +bit 187 C 1 * +bit 186 C 1 * +bit 185 C 1 * +bit 184 C 1 * +bit 183 C 1 * +bit 182 C 1 * +bit 181 C 1 * +bit 180 C 1 * +bit 179 C 1 * +bit 178 C 1 * +bit 177 C 1 * +bit 176 C 1 * +bit 175 C 1 * +bit 174 C 1 * +bit 173 C 1 * +bit 172 C 1 * +bit 171 C 1 * +bit 170 C 1 * +bit 169 I 1 AFE_RDET +bit 168 I 1 USB1D_SUSPEND +bit 167 I 1 USB1_OVR_CRNT +bit 166 I 1 USB2_OVR_CRNT +bit 165 I 1 RTS2 +bit 164 I 1 USB1_PWR_EN +bit 163 I 1 USB2_PWR_EN +bit 162 I 1 CKE +bit 161 I 1 RAS +bit 160 I 1 PTJ1 +bit 159 I 1 CAS +bit 158 I 1 PTJ0 +bit 157 I 1 PTJ4 +bit 156 I 1 PTJ5 +bit 155 I 1 CL1 +bit 154 I 1 DON +bit 153 I 1 M_DISP +bit 152 I 1 FLM +bit 151 I 1 WAPIN +bit 150 I 1 PTH6 +bit 149 I 1 IOIS16 +bit 148 I 1 PTG5 +bit 147 I 1 PTG4 +bit 146 I 1 PTG3 +bit 145 I 1 PTG2 +bit 144 I 1 PTG1 +bit 143 I 1 PTG0 +bit 142 I 1 ADTRG +bit 141 I 1 PTF3 +bit 140 I 1 PTF2 +bit 139 I 1 PTF1 +bit 138 I 1 PTF0 +bit 137 I 1 MD0 +bit 136 O 1 AFE_RDET 109 1 Z +bit 135 O 1 USB1D_SUSPEND 108 1 Z +bit 134 O 1 RTS2 107 1 Z +bit 133 O 1 USB1_PWR_EN 106 1 Z +bit 132 O 1 USB2_PWR_EN 105 1 Z +bit 131 O 1 CKE 104 1 Z +bit 130 O 1 RAS 103 1 Z +bit 129 O 1 PTJ1 102 1 Z +bit 128 O 1 CAS 101 1 Z +bit 127 O 1 PTJ3 100 1 Z +bit 126 O 1 PTJ4 99 1 Z +bit 125 O 1 PTJ5 98 1 Z +bit 124 O 1 CL1 97 1 Z +bit 123 O 1 DON 96 1 Z +bit 122 O 1 M_DISP 95 1 Z +bit 121 O 1 FLM 94 1 Z +bit 120 O 1 DRAK0 93 1 Z +bit 119 O 1 DACK0 92 1 Z +bit 118 O 1 PTG5 91 1 Z +bit 117 O 1 PTG3 90 1 Z +bit 116 O 1 PTG2 89 1 Z +bit 115 O 1 PTG1 88 1 Z +bit 114 O 1 PTG0 87 1 Z +bit 113 O 1 PTF3 86 1 Z +bit 112 O 1 PTF2 85 1 Z +bit 111 O 1 PTF1 84 1 Z +bit 110 O 1 PTF0 83 1 Z +bit 109 C 1 * +bit 108 C 1 * +bit 107 C 1 * +bit 106 C 1 * +bit 105 C 1 * +bit 104 C 1 * +bit 103 C 1 * +bit 102 C 1 * +bit 101 C 1 * +bit 100 C 1 * +bit 99 C 1 * +bit 98 C 1 * +bit 97 C 1 * +bit 96 C 1 * +bit 95 C 1 * +bit 94 C 1 * +bit 93 C 1 * +bit 92 C 1 * +bit 91 C 1 * +bit 90 C 1 * +bit 89 C 1 * +bit 88 C 1 * +bit 87 C 1 * +bit 86 C 1 * +bit 85 C 1 * +bit 84 C 1 * +bit 83 C 1 * +bit 82 I 1 LCD15 +bit 81 I 1 LCD14 +bit 80 I 1 LCD13 +bit 79 I 1 LCD12 +bit 78 I 1 STATUS0 +bit 77 I 1 STATUS1 +bit 76 I 1 CL2 +bit 75 I 1 SCK0 +bit 74 I 1 SIOMCLK +bit 73 I 1 SCK_SIO +bit 72 I 1 SIOFSYNC +bit 71 I 1 RXD0 +bit 70 I 1 RXD2 +bit 69 I 1 LCD7 +bit 68 I 1 LCD6 +bit 67 I 1 LCD1 +bit 66 I 1 LCD0 +bit 65 I 1 DREQ0 +bit 64 I 1 LCK +bit 63 I 1 RXD_SIO +bit 62 I 1 CTS2 +bit 61 I 1 LCD11 +bit 60 I 1 LCD10 +bit 59 I 1 LCD9 +bit 58 I 1 LCD8 +bit 57 I 1 LCD5 +bit 56 I 1 LCD4 +bit 55 I 1 LCD3 +bit 54 I 1 LCD2 +bit 53 I 1 MD3 +bit 52 I 1 MD4 +bit 51 O 1 LCD15 25 1 Z +bit 50 O 1 LCD14 24 1 Z +bit 49 O 1 LCD13 23 1 Z +bit 48 O 1 LCD12 22 1 Z +bit 47 O 1 STATUS0 21 1 Z +bit 46 O 1 STATUS1 20 1 Z +bit 45 O 1 CL2 19 1 Z +bit 44 O 1 TXD0 18 1 Z +bit 43 O 1 SCK0 17 1 Z +bit 42 O 1 TXD_SIO 16 1 Z +bit 41 O 1 SIOMCLK 15 1 Z +bit 40 O 1 TXD2 14 1 Z +bit 39 O 1 SCK_SIO 13 1 Z +bit 38 O 1 SIOFSYNC 12 1 Z +bit 37 O 1 LCD11 11 1 Z +bit 36 O 1 LCD10 10 1 Z +bit 35 O 1 LCD9 9 1 Z +bit 34 O 1 LCD8 8 1 Z +bit 33 O 1 LCD7 7 1 Z +bit 32 O 1 LCD6 6 1 Z +bit 31 O 1 LCD5 5 1 Z +bit 30 O 1 LCD4 4 1 Z +bit 29 O 1 LCD3 3 1 Z +bit 28 O 1 LCD2 2 1 Z +bit 27 O 1 LCD1 1 1 Z +bit 26 O 1 LCD0 0 1 Z +bit 25 C 1 * +bit 24 C 1 * +bit 23 C 1 * +bit 22 C 1 * +bit 21 C 1 * +bit 20 C 1 * +bit 19 C 1 * +bit 18 C 1 * +bit 17 C 1 * +bit 16 C 1 * +bit 15 C 1 * +bit 14 C 1 * +bit 13 C 1 * +bit 12 C 1 * +bit 11 C 1 * +bit 10 C 1 * +bit 9 C 1 * +bit 8 C 1 * +bit 7 C 1 * +bit 6 C 1 * +bit 5 C 1 * +bit 4 C 1 * +bit 3 C 1 * +bit 2 C 1 * +bit 1 C 1 * +bit 0 C 1 * + +initbus sh7727 diff --git a/sie_fs/usr/local/share/urjtag/hitachi/sh7729/STEPPINGS b/sie_fs/usr/local/share/urjtag/hitachi/sh7729/STEPPINGS new file mode 100644 index 0000000..1c0920b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/sh7729/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 580 2003-10-20 04:47:56Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Matan Ziv-Av , 2003. +# + +# bits 31-28 of the Device Identification Register +0000 sh7729 V0 diff --git a/sie_fs/usr/local/share/urjtag/hitachi/sh7729/sh7729 b/sie_fs/usr/local/share/urjtag/hitachi/sh7729/sh7729 new file mode 100644 index 0000000..988dc67 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/hitachi/sh7729/sh7729 @@ -0,0 +1,587 @@ +# +# $Id: sh7729,v 1.0 2003/18/10 21:09:11 +# +# JTAG declarations for SH7729 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Balazs Beregnyei , 2003. +# +# Documentation: +# [1] Hitachi, Ltd., "Hitachi SuperH RISC Engine SH7729 Hardware Manual" +# + +signal MD1 +signal MD2 +signal VCC0 +signal XTAL2 +signal EXTAL2 +signal VSS0 +signal NMI +signal IRQ0 +signal IRQ1 +signal IRQ2 +signal IRQ3 +signal IRQ4 +signal D31 +signal D30 +signal D29 +signal D28 +signal D27 +signal D26 +signal VSS1 +signal D25 +signal VCC1 +signal D24 +signal D23 +signal D22 +signal D21 +signal D20 +signal VSS2 +signal D19 +signal VCC2 +signal D18 +signal D17 +signal D16 +signal VSS3 +signal D15 +signal VCC3 +signal D14 +signal D13 +signal D12 +signal D11 +signal D10 +signal D9 +signal D8 +signal D7 +signal D6 +signal VSS4 +signal D5 +signal VCC4 +signal D4 +signal D3 +signal D2 +signal D1 +signal D0 +signal A0 +signal A1 +signal A2 +signal A3 +signal VSS5 +signal A4 +signal VCC5 +signal A5 +signal A6 +signal A7 +signal A8 +signal A9 +signal A10 +signal A11 +signal A12 +signal A13 +signal VSS6 +signal A14 +signal VCC6 +signal A15 +signal A16 +signal A17 +signal A18 +signal A19 +signal A20 +signal A21 +signal VSS7 +signal A22 +signal VCC7 +signal A23 +signal VSS8 +signal A24 +signal VCC8 +signal A25 +signal BS +signal RD +signal WE0 +signal WE1 +signal WE2 +signal WE3 +signal RDWR +signal AUDSYNC +signal VSS9 +signal CS0 +signal VCC9 +signal CS2 +signal CS3 +signal CS4 +signal CS5 +signal CS6 +signal CE2A +signal CE2B +signal CKE +signal RAS3L +signal PTJ1 +signal CASL +signal VSS10 +signal CASU +signal VCC10 +signal PTJ4 +signal PTJ5 +signal DACK0 +signal DACK1 +signal PTE6 +signal PTE3 +signal RAS3U +signal PTE1 +signal TDO +signal BACK +signal BREQ +signal WAIT +signal RESETM +signal ADTRG +signal IOIS16 +signal ASEMD0 +signal ASEBRKAK +signal CKIO2 +signal AUDATA3 +signal AUDATA2 +signal VSS11 +signal AUDATA1 +signal VCC11 +signal AUDATA0 +signal TRST +signal TMS +signal TDI +signal TCK +signal IRLS3 +signal IRLS2 +signal IRLS1 +signal IRLS0 +signal MD0 +signal VCC12 +signal CAP1 +signal VSS12 +signal VSS13 +signal CAP2 +signal VCC13 +signal AUDCK +signal VSS14 +signal VSS15 +signal VCC15 +signal XTAL +signal EXTAL +signal STATUS0 +signal STATUS1 +signal TCLK +signal IRQOUT +signal VSS16 +signal CKIO +signal VCC16 +signal TXD0 +signal SCK0 +signal TXD1 +signal SCK1 +signal TXD2 +signal SCK2 +signal RTS2 +signal RXD0 +signal RXD1 +signal VSS17 +signal RXD2 +signal VCC17 +signal CTS2 +signal MCS7 +signal MCS6 +signal MCS5 +signal MCS4 +signal VSS18 +signal WAKEUP +signal VCC18 +signal RESETOUT +signal MCS3 +signal MCS2 +signal MCS1 +signal MCS0 +signal DRAK0 +signal DRAK1 +signal DREQ0 +signal DREQ1 +signal RESETP +signal CA +signal MD3 +signal MD4 +signal MD5 +signal VSS19 +signal AN0 +signal AN1 +signal AN2 +signal AN3 +signal AN4 +signal AN5 +signal VCC19 +signal AN6 +signal AN7 +signal VSS20 + +register BSR 339 +register BR 1 +register DIR 32 + +instruction length 16 + +instruction EXTEST 0000111111111111 BSR +instruction SAMPLE/PRELOAD 0100111111111111 BSR +instruction IDCODE 1110111111111111 DIR +instruction BYPASS 1111111111111111 BR + +bit 338 I 1 D31 +bit 337 I 1 D30 +bit 336 I 1 D29 +bit 335 I 1 D28 +bit 334 I 1 D27 +bit 333 I 1 D26 +bit 332 I 1 D25 +bit 331 I 1 D24 +bit 330 I 1 D23 +bit 329 I 1 D22 +bit 328 I 1 D21 +bit 327 I 1 D20 +bit 326 I 1 D19 +bit 325 I 1 D18 +bit 324 I 1 D17 +bit 323 I 1 D16 +bit 322 I 1 D15 +bit 321 I 1 D14 +bit 320 I 1 D13 +bit 319 I 1 D12 +bit 318 I 1 D11 +bit 317 I 1 D10 +bit 316 I 1 D9 +bit 315 I 1 D8 +bit 314 I 1 D7 +bit 313 I 1 D6 +bit 312 I 1 D5 +bit 311 I 1 D4 +bit 310 I 1 D3 +bit 309 I 1 D2 +bit 308 I 1 D1 +bit 307 I 1 D0 +bit 306 I 1 MD1 +bit 305 I 1 MD2 +bit 304 I 1 NMI +bit 303 I 1 IRQ0 +bit 302 I 1 IRQ1 +bit 301 I 1 IRQ2 +bit 300 I 1 IRQ3 +bit 299 I 1 IRQ4 +bit 298 O 1 D31 266 1 Z +bit 297 O 1 D30 265 1 Z +bit 296 O 1 D29 264 1 Z +bit 295 O 1 D28 263 1 Z +bit 294 O 1 D27 262 1 Z +bit 293 O 1 D26 261 1 Z +bit 292 O 1 D25 260 1 Z +bit 291 O 1 D24 259 1 Z +bit 290 O 1 D23 258 1 Z +bit 289 O 1 D22 257 1 Z +bit 288 O 1 D21 256 1 Z +bit 287 O 1 D20 255 1 Z +bit 286 O 1 D19 254 1 Z +bit 285 O 1 D18 253 1 Z +bit 284 O 1 D17 252 1 Z +bit 283 O 1 D16 251 1 Z +bit 282 O 1 D15 250 1 Z +bit 281 O 1 D14 249 1 Z +bit 280 O 1 D13 248 1 Z +bit 279 O 1 D12 247 1 Z +bit 278 O 1 D11 246 1 Z +bit 277 O 1 D10 245 1 Z +bit 276 O 1 D9 244 1 Z +bit 275 O 1 D8 243 1 Z +bit 274 O 1 D7 242 1 Z +bit 273 O 1 D6 241 1 Z +bit 272 O 1 D5 240 1 Z +bit 271 O 1 D4 239 1 Z +bit 270 O 1 D3 238 1 Z +bit 269 O 1 D2 237 1 Z +bit 268 O 1 D1 236 1 Z +bit 267 O 1 D0 235 1 Z +bit 266 C 1 * +bit 265 C 1 * +bit 264 C 1 * +bit 263 C 1 * +bit 262 C 1 * +bit 261 C 1 * +bit 260 C 1 * +bit 259 C 1 * +bit 258 C 1 * +bit 257 C 1 * +bit 256 C 1 * +bit 255 C 1 * +bit 254 C 1 * +bit 253 C 1 * +bit 252 C 1 * +bit 251 C 1 * +bit 250 C 1 * +bit 249 C 1 * +bit 248 C 1 * +bit 247 C 1 * +bit 246 C 1 * +bit 245 C 1 * +bit 244 C 1 * +bit 243 C 1 * +bit 242 C 1 * +bit 241 C 1 * +bit 240 C 1 * +bit 239 C 1 * +bit 238 C 1 * +bit 237 C 1 * +bit 236 C 1 * +bit 235 C 1 * +bit 234 I 1 BS +bit 233 I 1 WE2 +bit 232 I 1 WE3 +bit 231 I 1 AUDSYNC +bit 230 I 1 CS2 +bit 229 I 1 CS3 +bit 228 I 1 CS4 +bit 227 I 1 CS5 +bit 226 I 1 CE2A +bit 225 I 1 CE2B +bit 224 O 1 A0 182 1 Z +bit 223 O 1 A1 181 1 Z +bit 222 O 1 A2 180 1 Z +bit 221 O 1 A3 179 1 Z +bit 220 O 1 A4 178 1 Z +bit 219 O 1 A5 177 1 Z +bit 218 O 1 A6 176 1 Z +bit 217 O 1 A7 175 1 Z +bit 216 O 1 A8 174 1 Z +bit 215 O 1 A9 173 1 Z +bit 214 O 1 A10 172 1 Z +bit 213 O 1 A11 171 1 Z +bit 212 O 1 A12 170 1 Z +bit 211 O 1 A13 169 1 Z +bit 210 O 1 A14 168 1 Z +bit 209 O 1 A15 167 1 Z +bit 208 O 1 A16 166 1 Z +bit 207 O 1 A17 165 1 Z +bit 206 O 1 A18 164 1 Z +bit 205 O 1 A19 163 1 Z +bit 204 O 1 A20 162 1 Z +bit 203 O 1 A21 161 1 Z +bit 202 O 1 A22 160 1 Z +bit 201 O 1 A23 159 1 Z +bit 200 O 1 A24 158 1 Z +bit 199 O 1 A25 157 1 Z +bit 198 O 1 BS 156 1 Z +bit 197 O 1 RD 155 1 Z +bit 196 O 1 WE0 154 1 Z +bit 195 O 1 WE1 153 1 Z +bit 194 O 1 WE2 152 1 Z +bit 193 O 1 WE3 151 1 Z +bit 192 O 1 RDWR 150 1 Z +bit 191 O 1 AUDSYNC 149 1 Z +bit 190 O 1 CS0 148 1 Z +bit 189 O 1 CS2 147 1 Z +bit 188 O 1 CS3 146 1 Z +bit 187 O 1 CS4 145 1 Z +bit 186 O 1 CS5 144 1 Z +bit 185 O 1 CS6 143 1 Z +bit 184 O 1 CE2A 142 1 Z +bit 183 O 1 CE2B 141 1 Z +bit 182 C 1 * +bit 181 C 1 * +bit 180 C 1 * +bit 179 C 1 * +bit 178 C 1 * +bit 177 C 1 * +bit 176 C 1 * +bit 175 C 1 * +bit 174 C 1 * +bit 173 C 1 * +bit 172 C 1 * +bit 171 C 1 * +bit 170 C 1 * +bit 169 C 1 * +bit 168 C 1 * +bit 167 C 1 * +bit 166 C 1 * +bit 165 C 1 * +bit 164 C 1 * +bit 163 C 1 * +bit 162 C 1 * +bit 161 C 1 * +bit 160 C 1 * +bit 159 C 1 * +bit 158 C 1 * +bit 157 C 1 * +bit 156 C 1 * +bit 155 C 1 * +bit 154 C 1 * +bit 153 C 1 * +bit 152 C 1 * +bit 151 C 1 * +bit 150 C 1 * +bit 149 C 1 * +bit 148 C 1 * +bit 147 C 1 * +bit 146 C 1 * +bit 145 C 1 * +bit 144 C 1 * +bit 143 C 1 * +bit 142 C 1 * +bit 141 C 1 * +bit 140 I 1 CKE +bit 139 I 1 RAS3L +bit 138 I 1 RAS2L +bit 137 I 1 CASLL +bit 136 I 1 CASLH +bit 135 I 1 CASHL +bit 134 I 1 CASHH +bit 133 I 1 DACK0 +bit 132 I 1 DACK1 +bit 131 I 1 CAS2L +bit 130 I 1 CAS2H +bit 129 I 1 RAS3U +bit 128 I 1 RAS2U +bit 127 I 1 BREQ +bit 126 I 1 WAIT +bit 125 I 1 AUDCK +bit 124 I 1 IOIS16 +bit 123 I 1 ASEBRKAK +bit 122 I 1 PTG4 +bit 121 I 1 AUDATA3 +bit 120 I 1 AUDATA2 +bit 119 I 1 AUDATA1 +bit 118 I 1 AUDATA0 +bit 117 I 1 ADTRG +bit 116 I 1 IRLS3 +bit 115 I 1 IRLS2 +bit 114 I 1 IRLS1 +bit 113 I 1 IRLS0 +bit 112 I 1 MD0 +bit 111 O 1 CKE 92 1 Z +bit 110 O 1 RAS3L 91 1 Z +bit 109 O 1 RAS2L 90 1 Z +bit 108 O 1 CASLL 89 1 Z +bit 107 O 1 CASLH 88 1 Z +bit 106 O 1 CASHL 87 1 Z +bit 105 O 1 CASHH 86 1 Z +bit 104 O 1 DACK0 85 1 Z +bit 103 O 1 DACK1 84 1 Z +bit 102 O 1 CAS2L 83 1 Z +bit 101 O 1 CAS2H 82 1 Z +bit 100 O 1 RAS3U 81 1 Z +bit 99 O 1 RAS2U 80 1 Z +bit 98 O 1 BACK 79 1 Z +bit 97 O 1 ASEBRKAK 78 1 Z +bit 96 O 1 AUDATA3 77 1 Z +bit 95 O 1 AUDATA2 76 1 Z +bit 94 O 1 AUDATA1 75 1 Z +bit 93 O 1 AUDATA0 74 1 Z +bit 92 C 1 * +bit 91 C 1 * +bit 90 C 1 * +bit 89 C 1 * +bit 88 C 1 * +bit 87 C 1 * +bit 86 C 1 * +bit 85 C 1 * +bit 84 C 1 * +bit 83 C 1 * +bit 82 C 1 * +bit 81 C 1 * +bit 80 C 1 * +bit 79 C 1 * +bit 78 C 1 * +bit 77 C 1 * +bit 76 C 1 * +bit 75 C 1 * +bit 74 C 1 * +bit 73 I 1 STATUS0 +bit 72 I 1 STATUS1 +bit 71 I 1 TCLK +bit 70 I 1 SCK0 +bit 69 I 1 SCK1 +bit 68 I 1 SCK2 +bit 67 I 1 RTS2 +bit 66 I 1 RXD0 +bit 65 I 1 RXD2 +bit 64 I 1 WAKEUP +bit 63 I 1 RESETOUT +bit 62 I 1 DRAK0 +bit 61 I 1 DRAK1 +bit 60 I 1 DREQ0 +bit 59 I 1 DREQ1 +bit 58 I 1 RXD1 +bit 57 I 1 CTS2 +bit 56 I 1 MCS7 +bit 55 I 1 MCS6 +bit 54 I 1 MCS5 +bit 53 I 1 MCS4 +bit 52 I 1 MCS3 +bit 51 I 1 MCS2 +bit 50 I 1 MCS1 +bit 49 I 1 MCS0 +bit 48 I 1 MD3 +bit 47 I 1 MD4 +bit 46 I 1 MD5 +bit 45 O 1 STATUS0 22 1 Z +bit 44 O 1 STATUS1 21 1 Z +bit 43 O 1 TCLK 20 1 Z +bit 42 O 1 IRQOUT 19 1 Z +bit 41 O 1 TXD0 18 1 Z +bit 40 O 1 SCK0 17 1 Z +bit 39 O 1 TXD1 16 1 Z +bit 38 O 1 SCK1 15 1 Z +bit 37 O 1 TXD2 14 1 Z +bit 36 O 1 SCK2 13 1 Z +bit 35 O 1 RTS2 12 1 Z +bit 34 O 1 MCS7 11 1 Z +bit 33 O 1 MCS6 10 1 Z +bit 32 O 1 MCS5 9 1 Z +bit 31 O 1 MCS4 8 1 Z +bit 30 O 1 WAKEUP 7 1 Z +bit 29 O 1 RESETOUT 6 1 Z +bit 28 O 1 MCS3 5 1 Z +bit 27 O 1 MCS2 4 1 Z +bit 26 O 1 MCS1 3 1 Z +bit 25 O 1 MCS0 2 1 Z +bit 24 O 1 DRAK0 1 1 Z +bit 23 O 1 DRAK1 0 1 Z +bit 22 C 1 * +bit 21 C 1 * +bit 20 C 1 * +bit 19 C 1 * +bit 18 C 1 * +bit 17 C 1 * +bit 16 C 1 * +bit 15 C 1 * +bit 14 C 1 * +bit 13 C 1 * +bit 12 C 1 * +bit 11 C 1 * +bit 10 C 1 * +bit 9 C 1 * +bit 8 C 1 * +bit 7 C 1 * +bit 6 C 1 * +bit 5 C 1 * +bit 4 C 1 * +bit 3 C 1 * +bit 2 C 1 * +bit 1 C 1 * +bit 0 C 1 * + +initbus sh7727 diff --git a/sie_fs/usr/local/share/urjtag/ibm/PARTS b/sie_fs/usr/local/share/urjtag/ibm/PARTS new file mode 100644 index 0000000..c76d1f7 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/ibm/PARTS @@ -0,0 +1,26 @@ +# +# $Id: PARTS 717 2007-11-06 20:56:59Z kawk $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 27-12 of the Device Identification Register +0010000001010100 ppc440gx IBM440GX +0000001001100111 ppc405ep PowerPC 405EP diff --git a/sie_fs/usr/local/share/urjtag/ibm/ppc405ep/STEPPINGS b/sie_fs/usr/local/share/urjtag/ibm/ppc405ep/STEPPINGS new file mode 100644 index 0000000..b809db6 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/ibm/ppc405ep/STEPPINGS @@ -0,0 +1,3 @@ +0001 ppc405ep A +0010 ppc405ep B +0011 ppc405ep C diff --git a/sie_fs/usr/local/share/urjtag/ibm/ppc405ep/ppc405ep b/sie_fs/usr/local/share/urjtag/ibm/ppc405ep/ppc405ep new file mode 100644 index 0000000..68dfa90 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/ibm/ppc405ep/ppc405ep @@ -0,0 +1,699 @@ +signal TDI +signal TMS +signal TRST +signal TCK +signal TDO +signal SysClk +signal AVDD +signal AGND +signal SysReset +signal TesetEn +signal Halt +signal SysErr +signal MemData0 +signal MemData1 +signal MemData2 +signal MemData3 +signal MemData4 +signal MemData5 +signal MemData6 +signal MemData7 +signal MemData8 +signal MemData9 +signal MemData10 +signal MemData11 +signal MemData12 +signal MemData13 +signal MemData14 +signal MemData15 +signal MemData16 +signal MemData17 +signal MemData18 +signal MemData19 +signal MemData20 +signal MemData21 +signal MemData22 +signal MemData23 +signal MemData24 +signal MemData25 +signal MemData26 +signal MemData27 +signal MemData28 +signal MemData29 +signal MemData30 +signal MemData31 +signal MemAddr0 +signal MemAddr1 +signal MemAddr2 +signal MemAddr3 +signal MemAddr4 +signal MemAddr5 +signal MemAddr6 +signal MemAddr7 +signal MemAddr8 +signal MemAddr9 +signal MemAddr10 +signal MemAddr11 +signal MemAddr12 +signal BA0 +signal BA1 +signal RAS +signal CAS +signal DQM0 +signal DQM1 +signal DQM2 +signal DQM3 +signal BankSel0 +signal BankSel1 +signal WE +signal ClkEn0 +signal ClkEn1 +signal MemClkOut0 +signal MemClkOut1 +signal PerData0 +signal PerData1 +signal PerData2 +signal PerData3 +signal PerData4 +signal PerData5 +signal PerData6 +signal PerData7 +signal PerData8 +signal PerData9 +signal PerData10 +signal PerData11 +signal PerData12 +signal PerData13 +signal PerData14 +signal PerData15 +signal PerAddr6 +signal PerAddr7 +signal PerAddr8 +signal PerAddr9 +signal PerAddr10 +signal PerAddr11 +signal PerAddr12 +signal PerAddr13 +signal PerAddr14 +signal PerAddr15 +signal PerAddr16 +signal PerAddr17 +signal PerAddr18 +signal PerAddr19 +signal PerAddr20 +signal PerAddr21 +signal PerAddr22 +signal PerAddr23 +signal PerAddr24 +signal PerAddr25 +signal PerAddr26 +signal PerAddr27 +signal PerAddr28 +signal PerAddr29 +signal PerAddr30 +signal PerAddr31 +signal PerWBE0 +signal PerWBE1 +signal PerCS0 +signal PerOE +signal PerRnW +signal PerReady +signal PerClk +signal ExtReset +signal PCIAD0 +signal PCIAD1 +signal PCIAD2 +signal PCIAD3 +signal PCIAD4 +signal PCIAD5 +signal PCIAD6 +signal PCIAD7 +signal PCIAD8 +signal PCIAD9 +signal PCIAD10 +signal PCIAD11 +signal PCIAD12 +signal PCIAD13 +signal PCIAD14 +signal PCIAD15 +signal PCIAD16 +signal PCIAD17 +signal PCIAD18 +signal PCIAD19 +signal PCIAD20 +signal PCIAD21 +signal PCIAD22 +signal PCIAD23 +signal PCIAD24 +signal PCIAD25 +signal PCIAD26 +signal PCIAD27 +signal PCIAD28 +signal PCIAD29 +signal PCIAD30 +signal PCIAD31 +signal PCIC0_BE0 +signal PCIC0_BE1 +signal PCIC0_BE2 +signal PCIC0_BE3 +signal PCIParity +signal PCIFrame +signal PCIIRDY +signal PCITRDY +signal PCIStop +signal PCIDevSel +signal PCIIDSel +signal PCISErr +signal PCIPErr +signal PCIClk +signal PCIReset +signal PCIINT_PerWE +signal PCIReq0_Gnt +signal PCIReq1 +signal PCIReq2 +signal PCIGnt0_Req +signal PCIGnt1 +signal PCIGnt2 +signal UART0_Rx +signal UART0_Tx +signal UART0_CTS +signal UART0_RTS +signal IICSCL +signal IICSDA +signal PerBlast_GPIO0 +signal GPIO1_TS1E +signal GPIO2_TS2E +signal GPIO3_TS1O +signal GPIO4_TS2O +signal GPIO5_TS3 +signal GPIO6_TS4 +signal GPIO7_TS5 +signal GPIO8_TS6 +signal GPIO9_TrcClk +signal PerCS1_GPIO10 +signal PerCS2_GPIO11 +signal PerCS3_GPIO12 +signal PerCS4_GPIO13 +signal PerAddr3_GPIO14 +signal PerAddr4_GPIO15 +signal PerAddr5_GPIO16 +signal IRQ0_GPIO17 +signal IRQ1_GPIO18 +signal IRQ2_GPIO19 +signal IRQ3_GPIO20 +signal IRQ4_GPIO21 +signal IRQ5_GPIO22 +signal IRQ6_GPIO23 +signal UART0_DCD_GPIO24UART0_DSR_GPIO25UART0_RI_GPIO26 +signal UART0_DSR_GPIO25UART0_RI_GPIO26 +signal UART0_RI_GPIO26 +signal UART0_DTR_GPIO27UART1_Rx_GPIO28 +signal UART1_Rx_GPIO28 +signal UART1_Tx_GPIO29 +signal RejectPkt0_GPIO3RejectPkt1_GPIO3PHY0Rx0D0 +signal RejectPkt1_GPIO3PHY0Rx0D0 +signal PHY0Rx0D0 +signal PHY0Rx0D1 +signal PHY0Rx0D2 +signal PHY0Rx0D3 +signal EMC0Tx0D0 +signal EMC0Tx0D1 +signal EMC0Tx0D2 +signal EMC0Tx0D3 +signal PHY0RxErr +signal PHY0Rx0Clk +signal PHY0Rx0DV +signal PHY0CrS0 +signal EMC0Tx0Err +signal EMC0Tx0En +signal PHY0Tx0Clk +signal PHY0Col0 +signal EMCMDIO +signal EMCMDClk +signal PHY0Rx1D0 +signal PHY0Rx1D1 +signal PHY0Rx1D2 +signal PHY0Rx1D3 +signal EMC0Tx1D0 +signal EMC0Tx1D1 +signal EMC0Tx1D2 +signal EMC0Tx1D3 +signal PHY0Rx1Err +signal PHY0Rx1Clk +signal PHY0Rx1DV +signal PHY0Col1 +signal EMC0Tx1Err +signal EMC0Tx1En +signal PHY0Tx1Clk +signal PHY0CrS1 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal VDD13 +signal VDD14 +signal VDD15 +signal VDD16 +signal VDD17 +signal VDD18 +signal VDD19 +signal VDD20 +signal VDD21 +signal VDD22 +signal VDD23 +signal VDD24 +signal VDD25 +signal VDD26 +signal VDD27 +signal VDD28 +signal VDD29 +signal VDD30 +signal VDD31 +signal VDD32 +signal VDD33 +signal VDD34 +signal VDD35 +signal VDD36 +signal VDD37 +signal VDD38 +signal VDD39 +signal VDD40 +signal OVDD1 +signal OVDD2 +signal OVDD3 +signal OVDD4 +signal OVDD5 +signal OVDD6 +signal OVDD7 +signal OVDD8 +signal OVDD9 +signal OVDD10 +signal OVDD11 +signal OVDD12 +signal OVDD13 +signal OVDD14 +signal OVDD15 +signal OVDD16 +signal OVDD17 +signal OVDD18 + +register BSR 300 +register BR 1 +register DIR 32 + +instruction length 7 + +instruction EXTEST 0000000 BSR +instruction SAMPLE/PRELOAD 1111010 BSR +instruction IDCODE 1111011 DIR +instruction BYPASS 1111100 BR + +bit 299 B 1 MemData20 290 0 Z +bit 298 B 1 MemData18 290 0 Z +bit 297 B 1 MemData19 290 0 Z +bit 296 C 0 * +bit 295 B 1 IICSCL 296 0 Z +bit 294 B 1 MemData17 290 0 Z +bit 293 C 0 * +bit 292 B 1 EMCMDIO 293 0 Z +bit 291 O 1 EMCMDClk +bit 290 C 0 * +bit 289 B 1 MemData16 290 0 Z +bit 288 B 1 MemData15 278 0 Z +bit 287 B 1 MemData14 278 0 Z +bit 286 B 1 MemData13 278 0 Z +bit 285 B 1 MemData12 278 0 Z +bit 284 I 1 PHY0Tx0Clk +bit 283 O 1 DQM1 +bit 282 B 1 MemData11 278 0 Z +bit 281 B 1 MemData10 278 0 Z +bit 280 I 1 PHY0Col0 +bit 279 B 1 MemData9 278 0 Z +bit 278 C 0 * +bit 277 B 1 MemData8 278 0 Z +bit 276 I 1 PHY0CrS0 +bit 275 B 1 MemData7 264 0 Z +bit 274 I 1 PHY0Rx0DV +bit 273 B 1 MemData6 264 0 Z +bit 272 B 1 MemData5 264 0 Z +bit 271 I 1 PHY0Rx0Clk +bit 270 B 1 MemData4 264 0 Z +bit 269 O 1 DQM0 +bit 268 B 1 MemData3 264 0 Z +bit 267 I 1 PHY0RxErr +bit 266 B 1 MemData2 264 0 Z +bit 265 B 1 MemData1 264 0 Z +bit 264 C 0 * +bit 263 B 1 MemData0 264 0 Z +bit 262 O 1 BankSel1 +bit 261 O 1 BankSel0 +bit 260 I 1 PHY0Rx0D3 +bit 259 O 1 ClkEn1 +bit 258 O 1 MemClkOut1 +bit 257 O 1 ClkEn0 +bit 256 O 1 MemClkOut0 +bit 255 O 1 WE +bit 254 O 1 CAS +bit 253 O 1 MemAddr0 +bit 252 O 1 RAS +bit 251 O 1 BA1 +bit 250 O 1 BA0 +bit 249 O 1 MemAddr1 +bit 248 I 1 PHY0Rx0D2 +bit 247 O 1 MemAddr2 +bit 246 O 1 MemAddr3 +bit 245 I 1 PHY0Rx0D0 +bit 244 O 1 MemAddr4 +bit 243 O 1 SysClk +bit 242 B 1 SysErr 229 0 Z +bit 241 O 1 MemAddr5 +bit 240 O 1 MemAddr6 +bit 239 O 1 MemAddr7 +bit 238 O 1 MemAddr8 +bit 237 O 1 MemAddr9 +bit 236 C 0 * +bit 235 B 1 SysReset 236 0 Z +bit 234 O 1 MemAddr11 +bit 233 O 1 MemAddr10 +bit 232 O 1 MemAddr12 +bit 231 C 0 * +bit 230 B 1 GPIO1_TS1E 231 0 Z +bit 229 C 0 * +bit 228 I 1 PHY0Rx0D1 +bit 227 C 0 * +bit 226 B 1 RejectPkt0_GPIO30 227 0 Z +bit 225 C 0 * +bit 224 B 1 RejectPkt1_GPIO31 225 0 Z +bit 223 C 0 * +bit 222 B 1 GPIO2_TS2E 223 0 Z +bit 221 C 0 * +bit 220 B 1 GPIO3_TS1O 221 0 Z +bit 219 C 0 * +bit 218 B 1 GPIO4_TS2O 219 0 Z +bit 217 C 0 * +bit 216 B 1 IRQ0_GPIO17 217 0 Z +bit 215 C 0 * +bit 214 B 1 IRQ1_GPIO18 215 0 Z +bit 213 C 0 * +bit 212 B 1 IRQ2_GPIO19 213 0 Z +bit 211 C 0 * +bit 210 B 1 GPIO5_TS3 211 0 Z +bit 209 C 0 * +bit 208 B 1 IRQ3_GPIO20 209 0 Z +bit 207 C 0 * +bit 206 B 1 GPIO6_TS4 207 0 Z +bit 205 C 0 * +bit 204 B 1 GPIO7_TS5 205 0 Z +bit 203 C 0 * +bit 202 B 1 GPIO8_TS6 203 0 Z +bit 201 C 0 * +bit 200 B 1 GPIO9_TrcClk 201 0 Z +bit 199 C 0 * +bit 198 B 1 IRQ4_GPIO21 199 0 Z +bit 197 C 0 * +bit 196 B 1 IRQ5_GPIO22 197 0 Z +bit 195 C 0 * +bit 194 B 1 IRQ6_GPIO23 195 0 Z +bit 193 B 1 PCIAD31 190 0 Z +bit 192 B 1 PCIAD30 190 0 Z +bit 191 B 1 PCIAD29 190 0 Z +bit 190 C 0 * +bit 189 B 1 PCIAD28 190 0 Z +bit 188 B 1 PCIAD27 184 0 Z +bit 187 B 1 PCIC0_BE3 130 0 Z +bit 186 B 1 PCIAD26 184 0 Z +bit 185 B 1 PCIAD25 184 0 Z +bit 184 C 0 * +bit 183 B 1 PCIAD24 184 0 Z +bit 182 B 1 PCIAD23 179 0 Z +bit 181 B 1 PCIAD22 179 0 Z +bit 180 B 1 PCIAD21 179 0 Z +bit 179 C 0 * +bit 178 B 1 PCIAD20 179 0 Z +bit 177 B 1 PCIC0_BE2 130 0 Z +bit 176 B 1 PCIAD19 173 0 Z +bit 175 B 1 PCIAD18 173 0 Z +bit 174 B 1 PCIAD17 173 0 Z +bit 173 C 0 * +bit 172 B 1 PCIAD16 173 0 Z +bit 171 C 0 * +bit 170 B 1 PCIParity 171 0 Z +bit 169 C 0 * +bit 168 B 1 PCIPErr 169 0 Z +bit 167 C 0 * +bit 166 B 1 PCISErr 167 0 Z +bit 165 B 1 PCIDevSel 163 0 Z +bit 164 B 1 PCIStop 163 0 Z +bit 163 C 0 * +bit 162 B 1 PCITRDY 163 0 Z +bit 161 C 0 * +bit 160 B 1 PCIIRDY 161 0 Z +bit 159 C 0 * +bit 158 B 1 PCIFrame 159 0 Z +bit 157 O 1 PCIReset +bit 156 O 1 PCIGnt2 152 0 Z +bit 155 O 1 PCIGnt1 152 0 Z +bit 154 I 1 PCIReq2 +bit 153 I 1 PCIReq1 +bit 152 C 0 * +bit 151 O 1 PCIGnt0_Req 152 0 Z +bit 150 B 1 PCIAD15 142 0 Z +bit 149 B 1 PCIAD14 142 0 Z +bit 148 I 1 PCIReq0_Gnt +bit 147 I 1 Halt +bit 146 B 1 PCIAD13 142 0 Z +bit 145 I 1 PCIIDSel +bit 144 I 1 PCIClk +bit 143 B 1 PCIC0_BE1 130 0 Z +bit 142 C 0 * +bit 141 B 1 PCIAD12 142 0 Z +bit 140 B 1 PCIAD11 137 0 Z +bit 139 B 1 PCIAD10 137 0 Z +bit 138 B 1 PCIAD9 137 0 Z +bit 137 C 0 * +bit 136 B 1 PCIAD8 137 0 Z +bit 135 B 1 PCIAD7 132 0 Z +bit 134 B 1 PCIAD6 132 0 Z +bit 133 B 1 PCIAD5 132 0 Z +bit 132 C 0 * +bit 131 B 1 PCIAD4 132 0 Z +bit 130 C 0 * +bit 129 B 1 PCIC0_BE0 130 0 Z +bit 128 B 1 PCIAD3 125 0 Z +bit 127 B 1 PCIAD2 125 0 Z +bit 126 B 1 PCIAD1 125 0 Z +bit 125 C 0 * +bit 124 B 1 PCIAD0 125 0 Z +bit 123 C 0 * +bit 122 O 1 PCIINT_PerWE 123 0 Z +bit 121 O 1 EMC0Tx1En +bit 120 O 1 EMC0Tx1Err +bit 119 O 1 EMC0Tx1D0 +bit 118 O 1 EMC0Tx1D3 +bit 117 O 1 EMC0Tx1D2 +bit 116 O 1 EMC0Tx1D1 +bit 115 O 1 PerAddr31 +bit 114 O 1 PerAddr30 +bit 113 O 1 PerAddr29 +bit 112 O 1 PerAddr28 +bit 111 O 1 PerAddr27 +bit 110 O 1 PerAddr26 +bit 109 O 1 PerAddr25 +bit 108 O 1 PerAddr24 +bit 107 O 1 PerAddr23 +bit 106 O 1 PerAddr22 +bit 105 O 1 PerAddr21 +bit 104 O 1 PerAddr20 +bit 103 O 1 PerAddr19 +bit 102 O 1 PerAddr18 +bit 101 C 0 * +bit 100 B 1 PerBlast_GPIO0 101 0 Z +bit 99 O 1 PerAddr17 +bit 98 O 1 PerAddr16 +bit 97 O 1 PerAddr15 +bit 96 O 1 PerAddr14 +bit 95 O 1 PerAddr13 +bit 94 O 1 PerAddr12 +bit 93 O 1 PerAddr11 +bit 92 O 1 PerAddr10 +bit 91 O 1 PerAddr9 +bit 90 O 1 PerAddr8 +bit 89 O 1 PerAddr7 +bit 88 O 1 PerAddr6 +bit 87 I 1 PHY0Tx1Clk +bit 86 C 0 * +bit 85 B 1 PerAddr5_GPIO16 86 0 Z +bit 84 I 1 PHY0CrS1 +bit 83 I 1 PHY0Rx1DV +bit 82 I 1 PHY0Col1 +bit 81 C 0 * +bit 80 B 1 PerAddr4_GPIO15 81 0 Z +bit 79 C 0 * +bit 78 B 1 PerAddr3_GPIO14 79 0 Z +bit 77 O 1 PerClk +bit 76 C 0 * +bit 75 B 1 PerCS4_GPIO13 76 0 Z +bit 74 I 1 PerReady +bit 73 O 1 ExtReset +bit 72 I 1 PHY0Rx1Err +bit 71 C 0 * +bit 70 B 1 PerCS1_GPIO10 71 0 Z +bit 69 O 1 PerCS0 +bit 68 C 0 * +bit 67 B 1 PerCS3_GPIO12 68 0 Z +bit 66 O 1 PerRnW +bit 65 C 0 * +bit 64 B 1 PerData15 43 0 Z +bit 63 B 1 PerCS2_GPIO11 65 0 Z +bit 62 O 1 PerOE +bit 61 I 1 PHY0Rx1Clk +bit 60 O 1 PerWBE1 +bit 59 O 1 PerWBE0 +bit 58 B 1 PerData12 43 0 Z +bit 57 I 1 PHY0Rx1D3 +bit 56 B 1 PerData14 43 0 Z +bit 55 B 1 PerData13 43 0 Z +bit 54 I 1 PHY0Rx1D2 +bit 53 B 1 PerData11 43 0 Z +bit 52 I 1 PHY0Rx1D1 +bit 51 B 1 PerData10 43 0 Z +bit 50 B 1 PerData9 43 0 Z +bit 49 C 0 * +bit 48 B 1 UART1_Tx_GPIO29 49 0 Z +bit 47 C 0 * +bit 46 B 1 UART1_Rx_GPIO28 47 0 Z +bit 45 C 0 * +bit 44 B 1 UART0_DTR_GPIO27 45 0 Z +bit 43 C 0 * +bit 42 B 1 PerData8 43 0 Z +bit 41 B 1 PerData7 25 0 Z +bit 40 C 0 * +bit 39 B 1 UART0_RI_GPIO26 40 0 Z +bit 38 C 0 * +bit 37 B 1 UART0_DSR_GPIO25 38 0 Z +bit 36 B 1 PerData6 25 0 Z +bit 35 B 1 PerData5 25 0 Z +bit 34 O 1 EMC0Tx0D2 +bit 33 B 1 PerData4 25 0 Z +bit 32 B 1 PerData3 25 0 Z +bit 31 C 0 * +bit 30 B 1 UART0_DCD_GPIO24 31 0 Z +bit 29 B 1 UART0_RTS 229 0 Z +bit 28 O 1 EMC0Tx0D1 +bit 27 B 1 PerData2 25 0 Z +bit 26 B 1 PerData1 25 0 Z +bit 25 C 0 * +bit 24 B 1 PerData0 25 0 Z +bit 23 O 1 EMC0Tx0D3 +bit 22 I 1 PHY0Rx1D0 +bit 21 B 1 UART0_Tx 229 0 Z +bit 20 B 1 MemData31 7 0 Z +bit 19 B 1 MemData30 7 0 Z +bit 18 I 1 UART0_Rx +bit 17 B 1 MemData29 7 0 Z +bit 16 B 1 MemData28 7 0 Z +bit 15 I 1 UART0_CTS +bit 14 O 1 DQM3 +bit 13 O 1 EMC0Tx0D0 +bit 12 B 1 MemData27 7 0 Z +bit 11 B 1 MemData26 7 0 Z +bit 10 B 1 MemData25 7 0 Z +bit 9 O 1 EMC0Tx0Err +bit 8 O 1 EMC0Tx0En +bit 7 C 0 * +bit 6 B 1 MemData24 7 0 Z +bit 5 B 1 MemData23 290 0 Z +bit 4 B 1 MemData22 290 0 Z +bit 3 C 0 * +bit 2 B 1 IICSDA 3 0 Z +bit 1 B 1 MemData21 290 0 Z +bit 0 O 1 DQM2 + +initbus ppc405ep +endian big diff --git a/sie_fs/usr/local/share/urjtag/ibm/ppc440gx/STEPPINGS b/sie_fs/usr/local/share/urjtag/ibm/ppc440gx/STEPPINGS new file mode 100644 index 0000000..fa41970 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/ibm/ppc440gx/STEPPINGS @@ -0,0 +1,28 @@ +# +# $Id: STEPPINGS 619 2004-11-16 21:51:27Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register + +0001 ppc440gx A +0010 ppc440gx B +0011 ppc440gx C diff --git a/sie_fs/usr/local/share/urjtag/ibm/ppc440gx/ppc440gx b/sie_fs/usr/local/share/urjtag/ibm/ppc440gx/ppc440gx new file mode 100644 index 0000000..860d355 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/ibm/ppc440gx/ppc440gx @@ -0,0 +1,1234 @@ +# +# $Id: ppc440gx 619 2004-11-16 21:51:27Z telka $ +# +# JTAG declarations for IBM PPC440GX +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + + +signal DMAACK0 N05 +signal DMAACK1 P07 +signal DMAACK2 P06 +signal DMAACK3 P11 +signal DMAEOT0 R16 +signal DMAEOT1 P15 +signal DMAEOT2 P16 +signal DMAEOT3 M16 +signal DMAREQ0 R03 +signal DMAREQ1 M11 +signal DMAREQ2 N11 +signal DMAREQ3 P01 +signal EBCADR0 D11 +signal EBCADR1 C11 +signal EBCADR10 C20 +signal EBCADR11 A16 +signal EBCADR12 A13 +signal EBCADR13 B14 +signal EBCADR14 C14 +signal EBCADR15 D14 +signal EBCADR16 B20 +signal EBCADR17 L15 +signal EBCADR18 L21 +signal EBCADR19 L22 +signal EBCADR2 B11 +signal EBCADR20 M22 +signal EBCADR21 M01 +signal EBCADR22 L24 +signal EBCADR23 P24 +signal EBCADR24 T19 +signal EBCADR25 R24 +signal EBCADR26 U22 +signal EBCADR27 U24 +signal EBCADR28 N03 +signal EBCADR29 V20 +signal EBCADR3 A12 +signal EBCADR30 V23 +signal EBCADR31 V21 +signal EBCADR4 A19 +signal EBCADR5 D18 +signal EBCADR6 E11 +signal EBCADR7 M03 +signal EBCADR8 N01 +signal EBCADR9 E14 +signal EBCBE0_N T18 +signal EBCBE1_N V19 +signal EBCBE2_N W22 +signal EBCBE3_N W24 +signal EBCBLAST_N C07 +signal EBCCS0_N E17 +signal EBCCS1_N L10 +signal EBCCS2_N V04 +signal EBCCS3_N T24 +signal EBCCS4_N L03 +signal EBCCS5_N T03 +signal EBCCS6_N L13 +signal EBCCS7_N U03 +signal EBCDATA0 H24 +signal EBCDATA1 H22 +signal EBCDATA10 J19 +signal EBCDATA11 J18 +signal EBCDATA12 J17 +signal EBCDATA13 J15 +signal EBCDATA14 J14 +signal EBCDATA15 J13 +signal EBCDATA16 J12 +signal EBCDATA17 J11 +signal EBCDATA18 J10 +signal EBCDATA19 J09 +signal EBCDATA2 H20 +signal EBCDATA20 L14 +signal EBCDATA21 K24 +signal EBCDATA22 K22 +signal EBCDATA23 K20 +signal EBCDATA24 K18 +signal EBCDATA25 K16 +signal EBCDATA26 K14 +signal EBCDATA27 K11 +signal EBCDATA28 K09 +signal EBCDATA29 L19 +signal EBCDATA3 G20 +signal EBCDATA30 L17 +signal EBCDATA31 L16 +signal EBCDATA4 G19 +signal EBCDATA5 H18 +signal EBCDATA6 J23 +signal EBCDATA7 J22 +signal EBCDATA8 J21 +signal EBCDATA9 J20 +signal EBCOE_N M09 +signal EBCPAR0 T23 +signal EBCPAR1 T22 +signal EBCPAR2 W20 +signal EBCPAR3 U20 +signal EBCREADY N07 +signal EBCRNW P05 +signal EBCWE_N P02 +signal EBMIBUSREQ AA24 +signal EBMICK U18 +signal EBMIERR P21 +signal EBMIEXTACK_N AA22 +signal EBMIEXTREQ_N AB23 +signal EBMIHOLDACK Y21 +signal EBMIHOLDREQ Y23 +signal EBMIRESET_N T17 +signal ENETCOL J07 +signal ENETCRS K07 +signal ENETMDCK J08 +signal ENETMDIO L05 +signal ENETRXCK J02 +signal ENETRXD0 G03 +signal ENETRXD1 E01 +signal ENETRXD2 A07 +signal ENETRXD3 H09 +signal ENETRXDV K01 +signal ENETRXER K03 +signal ENETTXCK J06 +signal ENETTXD0 L09 +signal ENETTXD1 K05 +signal ENETTXD2 J04 +signal ENETTXD3 J03 +signal ENETTXEN L06 +signal ENETTXER C05 +signal GMC1RXCTL P04 +signal GMC1TXCTL L07 +signal GMCREFCLK L01 +signal IIC0SCK G11 +signal IIC0SDATA G13 +signal IIC1SCK_GPIO16 H11 +signal IIC1SDATA_GPIO17 H14 +signal IRQ0_GPIO0 N18 +signal IRQ10_GPIO10 V18 +signal IRQ1_GPIO1 L20 +signal IRQ2_GPIO2 P20 +signal IRQ3_GPIO3 L18 +signal IRQ4_GPIO4 N14 +signal IRQ5_GPIO5 M20 +signal IRQ6_GPIO6 M14 +signal IRQ7_GPIO7 P18 +signal IRQ8_GPIO8 N20 +signal IRQ9_GPIO9 P22 +signal JTAGTCK V22 +signal JTAGTDI Y24 +signal JTAGTDO Y22 +signal JTAGTMS AB22 +signal JTAGTRST_N N24 +signal LSSD_RE L02 +signal LTIDRINH2 A05 +signal LTITESTEN M05 +signal MEMADR0 Y19 +signal MEMADR1 AD20 +signal MEMADR10 W16 +signal MEMADR11 Y11 +signal MEMADR12 V10 +signal MEMADR2 Y20 +signal MEMADR3 AB20 +signal MEMADR4 AD18 +signal MEMADR5 AD16 +signal MEMADR6 AB18 +signal MEMADR7 Y14 +signal MEMADR8 V13 +signal MEMADR9 V11 +signal MEMBA0 AA16 +signal MEMBA1 AD09 +signal MEMBSEL0_N AB15 +signal MEMBSEL1_N W14 +signal MEMBSEL2_N AD11 +signal MEMBSEL3_N AD05 +signal MEMCAS_N AB05 +signal MEMCKE0 AD17 +signal MEMCKE1 AB10 +signal MEMCKE2 Y09 +signal MEMCKE3 W09 +signal MEMDATA0 AD21 +signal MEMDATA1 AB21 +signal MEMDATA10 Y17 +signal MEMDATA11 AB16 +signal MEMDATA12 AC18 +signal MEMDATA13 Y18 +signal MEMDATA14 R14 +signal MEMDATA15 AB17 +signal MEMDATA16 AA14 +signal MEMDATA17 AD15 +signal MEMDATA18 T15 +signal MEMDATA19 V15 +signal MEMDATA2 AC22 +signal MEMDATA20 Y16 +signal MEMDATA21 U14 +signal MEMDATA22 T13 +signal MEMDATA23 Y15 +signal MEMDATA24 AD13 +signal MEMDATA25 AD14 +signal MEMDATA26 V14 +signal MEMDATA27 Y13 +signal MEMDATA28 P12 +signal MEMDATA29 AB12 +signal MEMDATA3 AA20 +signal MEMDATA30 Y12 +signal MEMDATA31 V12 +signal MEMDATA32 W11 +signal MEMDATA33 AD12 +signal MEMDATA34 Y10 +signal MEMDATA35 T12 +signal MEMDATA36 U11 +signal MEMDATA37 T11 +signal MEMDATA38 T10 +signal MEMDATA39 AD10 +signal MEMDATA4 U16 +signal MEMDATA40 AB08 +signal MEMDATA41 AD08 +signal MEMDATA42 R11 +signal MEMDATA43 Y07 +signal MEMDATA44 AC07 +signal MEMDATA45 AB09 +signal MEMDATA46 Y06 +signal MEMDATA47 Y08 +signal MEMDATA48 AA01 +signal MEMDATA49 AA03 +signal MEMDATA5 V17 +signal MEMDATA50 AB02 +signal MEMDATA51 Y01 +signal MEMDATA52 AB03 +signal MEMDATA53 Y02 +signal MEMDATA54 V07 +signal MEMDATA55 V01 +signal MEMDATA56 T08 +signal MEMDATA57 U07 +signal MEMDATA58 W01 +signal MEMDATA59 W03 +signal MEMDATA6 AD19 +signal MEMDATA60 V06 +signal MEMDATA61 T07 +signal MEMDATA62 W05 +signal MEMDATA63 U05 +signal MEMDATA7 AB19 +signal MEMDATA8 W18 +signal MEMDATA9 V16 +signal MEMDM0 T16 +signal MEMDM1 AA18 +signal MEMDM2 AB14 +signal MEMDM3 P13 +signal MEMDM4 AA09 +signal MEMDM5 AA07 +signal MEMDM6 Y03 +signal MEMDM7 V03 +signal MEMDM8 AC05 +signal MEMDQS0 AC20 +signal MEMDQS1 AC16 +signal MEMDQS2 AC14 +signal MEMDQS3 AB13 +signal MEMDQS4 AC11 +signal MEMDQS5 AC09 +signal MEMDQS6 Y04 +signal MEMDQS7 T01 +signal MEMDQS8 AA05 +signal MEMECC0 AB07 +signal MEMECC1 AB06 +signal MEMECC2 AD06 +signal MEMECC3 W07 +signal MEMECC4 U09 +signal MEMECC5 AC03 +signal MEMECC6 AB04 +signal MEMECC7 AD04 +signal MEMRAS_N AD07 +signal MEMSYSCK V09 +signal MEMSYSCK_N V08 +signal MEMVREFR1 T14 +signal MEMVREFR2 T09 +signal MEMWE_N Y05 +signal PCIACK64_N D09 +signal PCIAD0 C17 +signal PCIAD1 B09 +signal PCIAD10 C15 +signal PCIAD11 E15 +signal PCIAD12 G15 +signal PCIAD13 B16 +signal PCIAD14 C16 +signal PCIAD15 D16 +signal PCIAD16 E18 +signal PCIAD17 E19 +signal PCIAD18 F18 +signal PCIAD19 G18 +signal PCIAD2 G10 +signal PCIAD20 D20 +signal PCIAD21 A20 +signal PCIAD22 A21 +signal PCIAD23 C21 +signal PCIAD24 F22 +signal PCIAD25 B22 +signal PCIAD26 G21 +signal PCIAD27 E23 +signal PCIAD28 C23 +signal PCIAD29 F24 +signal PCIAD3 E10 +signal PCIAD30 D22 +signal PCIAD31 D24 +signal PCIAD32 H03 +signal PCIAD33 H01 +signal PCIAD34 L08 +signal PCIAD35 F01 +signal PCIAD36 D01 +signal PCIAD37 J05 +signal PCIAD38 H05 +signal PCIAD39 G02 +signal PCIAD4 C10 +signal PCIAD40 E02 +signal PCIAD41 C02 +signal PCIAD42 A08 +signal PCIAD43 G05 +signal PCIAD44 F03 +signal PCIAD45 D03 +signal PCIAD46 B03 +signal PCIAD47 H07 +signal PCIAD48 G04 +signal PCIAD49 E04 +signal PCIAD5 A10 +signal PCIAD50 C04 +signal PCIAD51 A04 +signal PCIAD52 F05 +signal PCIAD53 D05 +signal PCIAD54 B05 +signal PCIAD55 C09 +signal PCIAD56 E06 +signal PCIAD57 C06 +signal PCIAD58 A06 +signal PCIAD59 F07 +signal PCIAD6 F11 +signal PCIAD60 E07 +signal PCIAD61 D07 +signal PCIAD62 B07 +signal PCIAD63 E08 +signal PCIAD7 G12 +signal PCIAD8 G14 +signal PCIAD9 A15 +signal PCICLK E03 +signal PCIC_BE0_N F14 +signal PCIC_BE1_N E16 +signal PCIC_BE2_N C19 +signal PCIC_BE3_N F20 +signal PCIC_BE4_N C08 +signal PCIC_BE5_N C03 +signal PCIC_BE6_N G09 +signal PCIC_BE7_N F09 +signal PCIDEVSEL_N E13 +signal PCIFRAME_N A11 +signal PCIGNT0_N E22 +signal PCIGNT1_N_IRQ12 C22 +signal PCIGNT2_N N22 +signal PCIGNT3_N M18 +signal PCIGNT4_N R22 +signal PCIGNT5_N P19 +signal PCIIDSEL G07 +signal PCIINTA_N M07 +signal PCIIRDY_N E12 +signal PCIM66EN A14 +signal PCIPAR F16 +signal PCIPAR64 L04 +signal PCIPERR_N A17 +signal PCIREQ0_N E24 +signal PCIREQ1_N_IRQ11 E21 +signal PCIREQ2_N E20 +signal PCIREQ3_N R20 +signal PCIREQ4_N G23 +signal PCIREQ5_N R18 +signal PCIREQ64_N E09 +signal PCIRST_N M24 +signal PCISERR_N A18 +signal PCISTOP_N L12 +signal PCITRDY_N C12 +signal PCIX133UP G08 +signal PCIXCAP L23 +signal SYSCKTK U01 +signal SYSCLK G22 +signal SYSERROR T02 +signal SYSHALT_N V05 +signal SYSMEMPLLG AA11 +signal SYSMEMPLLV AB11 +signal SYSPCIPLLG J01 +signal SYSPCIPLLV G01 +signal SYSPLLG J24 +signal SYSPLLV G24 +signal SYSRESET_N P10 +signal TRACEBR0_GPIO18 N16 +signal TRACEBR1_GPIO19 P17 +signal TRACEBR2_GPIO20 T20 +signal TRACECK R05 +signal TRACEES0_GPIO21 T21 +signal TRACEES1_GPIO22 P23 +signal TRACEES2_GPIO23 N09 +signal TRACEES3_GPIO24 P08 +signal TRACEES4_GPIO25 T05 +signal TRACETE1_GPIO11 P14 +signal TRACETS0_GPIO26 T04 +signal TRACETS1_GPIO27 P03 +signal TRACETS2_GPIO28 R07 +signal TRACETS3_GPIO29 P09 +signal TRACETS4_GPIO30 R09 +signal TRACETS5_GPIO31 T06 +signal TRACETS6 R01 +signal UART0CTS_N C13 +signal UART0DCD_N V24 +signal UART0DSR_N V02 +signal UART0DTR_N B18 +signal UART0RI_N H16 +signal UART0RTS_N G16 +signal UART0RX G17 +signal UART0TX L11 +signal UART1DSR_CTS_GPIO14_N G06 +signal UART1RTS_DTR_GPIO15_N E05 +signal UART1RX_GPIO12 C18 +signal UART1TX_GPIO13 J16 +signal UARTSERCK A09 +signal P_GND B06 B10 B13 B17 B21 D04 D08 D12 D15 D19 D23 F02 F10 F13 F17 F21 H04 H08 H12 H15 H23 K02 K06 K10 K13 K17 K21 M04 M08 M12 M15 M19 M23 N02 N06 N10 N13 N17 N21 R04 R08 R12 R15 R19 R23 U02 U10 U13 U17 U21 W04 W08 W12 W15 W23 AA02 AA06 AA10 AA13 AA17 AA21 AC04 AC08 AC12 AC15 AC19 +signal P_VDD B08 B15 D06 D13 D21 F04 F12 F19 H02 H17 K12 K15 K23 M06 M10 M13 M21 N04 N12 N15 N19 R02 R10 R13 U08 U23 W06 W13 W21 AA04 AA12 AA19 AC10 AC17 +signal P_VDD2 B04 B12 B19 D02 D10 D17 F08 F15 F23 H06 H10 H13 H21 K04 K08 K19 M02 M17 N08 N23 R06 R17 R21 U04 U19 W02 AA23 +signal P_VDD3 U12 U15 W10 W17 AA08 AA15 AC06 AC13 AC21 + + +# mandatory data registers +register BSR 769 # Boundary Scan Register +register BR 1 # Bypass Register + +# optional data registers +register DIR 32 # Device Identification Register + +instruction length 8 + +# mandatory instructions +instruction EXTEST 00000000 BSR +instruction SAMPLE/PRELOAD 11110010 BSR +instruction BYPASS 11111111 BR + +# optional instructions +instruction CLAMP 11110110 BR +instruction HIGHZ 11110101 BR +instruction IDCODE 11110011 DIR + + + +# Boundary Scan Register bit definition +bit 0 B ? PCIAD31 1 0 Z +bit 1 C 0 PCIAD31 +bit 2 B ? PCIAD30 3 0 Z +bit 3 C 0 PCIAD30 +bit 4 B ? PCIAD28 5 0 Z +bit 5 C 0 PCIAD28 +bit 6 B ? PCIREQ0_N 7 0 Z +bit 7 C 0 PCIREQ0_N +bit 8 I ? PCIREQ1_N_IRQ11 +bit 9 B ? PCIGNT1_N_IRQ12 10 0 Z +bit 10 C 0 PCIGNT1_N_IRQ12 +bit 11 B ? PCIAD27 12 0 Z +bit 12 C 0 PCIAD27 +bit 13 B ? PCIAD19 14 0 Z +bit 14 C 0 PCIAD19 +bit 15 B ? PCIGNT0_N 16 0 Z +bit 16 C 0 PCIGNT0_N +bit 17 B ? PCIAD29 18 0 Z +bit 18 C 0 PCIAD29 +bit 19 B ? PCIAD24 20 0 Z +bit 20 C 0 PCIAD24 +bit 21 I ? SYSCLK +bit 22 B ? PCIC_BE3_N 23 0 Z +bit 23 C 0 PCIC_BE3_N +bit 24 B ? PCIAD26 25 0 Z +bit 25 C 0 PCIAD26 +bit 26 B ? PCIREQ4_N 27 0 Z +bit 27 C 0 PCIREQ4_N +bit 28 I ? PCIXCAP +bit 29 B ? DMAEOT3 30 0 Z +bit 30 C 0 DMAEOT3 +bit 31 O ? PCIRST_N 32 0 Z +bit 32 C 0 PCIRST_N +bit 33 O ? PCIGNT3_N 34 0 Z +bit 34 C 0 PCIGNT3_N +bit 35 B ? IRQ5_GPIO5 36 0 Z +bit 36 C 0 IRQ5_GPIO5 +bit 37 B ? IRQ1_GPIO1 38 0 Z +bit 38 C 0 IRQ1_GPIO1 +bit 39 B ? IRQ3_GPIO3 40 0 Z +bit 40 C 0 IRQ3_GPIO3 +bit 41 B ? IRQ6_GPIO6 42 0 Z +bit 42 C 0 IRQ6_GPIO6 +bit 43 B ? IRQ4_GPIO4 44 0 Z +bit 44 C 0 IRQ4_GPIO4 +bit 45 B ? IRQ0_GPIO0 46 0 Z +bit 46 C 0 IRQ0_GPIO0 +bit 47 B ? IRQ2_GPIO2 48 0 Z +bit 48 C 0 IRQ2_GPIO2 +bit 49 O ? PCIGNT2_N 50 0 Z +bit 50 C 0 PCIGNT2_N +bit 51 B ? IRQ8_GPIO8 52 0 Z +bit 52 C 0 IRQ8_GPIO8 +bit 53 B ? IRQ7_GPIO7 54 0 Z +bit 54 C 0 IRQ7_GPIO7 +bit 55 O ? PCIGNT5_N 56 0 Z +bit 56 C 0 PCIGNT5_N +bit 57 B ? TRACETE1_GPIO11 58 0 Z +bit 58 C 0 TRACETE1_GPIO11 +bit 59 O ? PCIGNT4_N 60 0 Z +bit 60 C 0 PCIGNT4_N +bit 61 B ? PCIREQ3_N 62 0 Z +bit 62 C 0 PCIREQ3_N +bit 63 B ? TRACEBR0_GPIO18 64 0 Z +bit 64 C 0 TRACEBR0_GPIO18 +bit 65 B ? TRACEBR1_GPIO19 66 0 Z +bit 66 C 0 TRACEBR1_GPIO19 +bit 67 B ? TRACEBR2_GPIO20 68 0 Z +bit 68 C 0 TRACEBR2_GPIO20 +bit 69 B ? TRACEES0_GPIO21 70 0 Z +bit 70 C 0 TRACEES0_GPIO21 +bit 71 B ? TRACEES1_GPIO22 72 0 Z +bit 72 C 0 TRACEES1_GPIO22 +bit 73 B ? IRQ9_GPIO9 74 0 Z +bit 74 C 0 IRQ9_GPIO9 +bit 75 B ? PCIREQ5_N 76 0 Z +bit 76 C 0 PCIREQ5_N +bit 77 B ? DMAEOT2 78 0 Z +bit 78 C 0 DMAEOT2 +bit 79 B ? DMAEOT0 80 0 Z +bit 80 C 0 DMAEOT0 +bit 81 B ? EBMIERR 82 0 Z +bit 82 C 0 EBMIERR +bit 83 B ? DMAEOT1 84 0 Z +bit 84 C 0 DMAEOT1 +bit 85 O ? EBMICK 86 0 Z +bit 86 C 0 EBMICK +bit 87 O ? EBMIRESET_N 88 0 Z +bit 88 C 0 EBMIRESET_N +bit 89 I ? UART0DCD_N +bit 90 B ? IRQ10_GPIO10 91 0 Z +bit 91 C 0 IRQ10_GPIO10 +bit 92 B ? EBMIHOLDREQ 93 0 Z +bit 93 C 0 EBMIHOLDREQ +bit 94 O ? EBMIHOLDACK 95 0 Z +bit 95 C 0 EBMIHOLDACK +bit 96 B ? EBMIEXTREQ_N 97 0 Z +bit 97 C 0 EBMIEXTREQ_N +bit 98 O ? EBMIEXTACK_N 99 0 Z +bit 99 C 0 EBMIEXTACK_N +bit 100 O ? EBMIBUSREQ 101 0 Z +bit 101 C 0 EBMIBUSREQ +bit 102 B ? PCIAD51 103 0 Z +bit 103 C 0 PCIAD51 +bit 104 B ? PCIAD50 105 0 Z +bit 105 C 0 PCIAD50 +bit 106 B ? PCIAD46 107 0 Z +bit 107 C 0 PCIAD46 +bit 108 B ? PCIAD53 109 0 Z +bit 109 C 0 PCIAD53 +bit 110 B ? UART1RTS_DTR_GPIO15_N 111 0 Z +bit 111 C 0 UART1RTS_DTR_GPIO15_N +bit 112 B ? PCIAD54 113 0 Z +bit 113 C 0 PCIAD54 +bit 114 B ? ENETTXER 115 0 Z +bit 115 C 0 ENETTXER +bit 116 B ? ENETRXD2 117 0 Z +bit 117 C 0 ENETRXD2 +bit 118 B ? ENETRXD3 119 0 Z +bit 119 C 0 ENETRXD3 +bit 120 O ? PCIX133UP 121 0 Z +bit 121 C 0 PCIX133UP +bit 122 B ? PCIAD58 123 0 Z +bit 123 C 0 PCIAD58 +bit 124 B ? PCIAD57 125 0 Z +bit 125 C 0 PCIAD57 +bit 126 I ? UARTSERCK +bit 127 B ? PCIAD59 128 0 Z +bit 128 C 0 PCIAD59 +bit 129 B ? PCIC_BE6_N 130 0 Z +bit 130 C 0 PCIC_BE6_N +bit 131 B ? PCIAD63 132 0 Z +bit 132 C 0 PCIAD63 +bit 133 B ? PCIAD56 134 0 Z +bit 134 C 0 PCIAD56 +bit 135 B ? PCIAD55 136 0 Z +bit 136 C 0 PCIAD55 +bit 137 B ? PCIAD1 138 0 Z +bit 138 C 0 PCIAD1 +bit 139 B ? PCIAD61 140 0 Z +bit 140 C 0 PCIAD61 +bit 141 B ? PCIAD62 142 0 Z +bit 142 C 0 PCIAD62 +bit 143 B ? PCIAD60 144 0 Z +bit 144 C 0 PCIAD60 +bit 145 B ? PCIAD42 146 0 Z +bit 146 C 0 PCIAD42 +bit 147 B ? PCIC_BE4_N 148 0 Z +bit 148 C 0 PCIC_BE4_N +bit 149 B ? PCIAD5 150 0 Z +bit 150 C 0 PCIAD5 +bit 151 B ? PCIC_BE7_N 152 0 Z +bit 152 C 0 PCIC_BE7_N +bit 153 B ? PCIAD2 154 0 Z +bit 154 C 0 PCIAD2 +bit 155 B ? PCIACK64_N 156 0 Z +bit 156 C 0 PCIACK64_N +bit 157 B ? PCIREQ64_N 158 0 Z +bit 158 C 0 PCIREQ64_N +bit 159 B ? PCIAD3 160 0 Z +bit 160 C 0 PCIAD3 +bit 161 B ? PCIAD4 162 0 Z +bit 162 C 0 PCIAD4 +bit 163 B ? PCIFRAME_N 164 0 Z +bit 164 C 0 PCIFRAME_N +bit 165 B ? PCIAD6 166 0 Z +bit 166 C 0 PCIAD6 +bit 167 B ? PCIAD7 168 0 Z +bit 168 C 0 PCIAD7 +bit 169 B ? PCIIRDY_N 170 0 Z +bit 170 C 0 PCIIRDY_N +bit 171 B ? PCITRDY_N 172 0 Z +bit 172 C 0 PCITRDY_N +bit 173 B ? PCISTOP_N 174 0 Z +bit 174 C 0 PCISTOP_N +bit 175 I ? UART0CTS_N +bit 176 B ? PCIDEVSEL_N 177 0 Z +bit 177 C 0 PCIDEVSEL_N +bit 178 B ? PCIAD8 179 0 Z +bit 179 C 0 PCIAD8 +bit 180 B ? PCIC_BE0_N 181 0 Z +bit 181 C 0 PCIC_BE0_N +bit 182 I ? PCIM66EN +bit 183 B ? PCIAD10 184 0 Z +bit 184 C 0 PCIAD10 +bit 185 B ? PCIAD11 186 0 Z +bit 186 C 0 PCIAD11 +bit 187 B ? PCIC_BE1_N 188 0 Z +bit 188 C 0 PCIC_BE1_N +bit 189 B ? PCIAD15 190 0 Z +bit 190 C 0 PCIAD15 +bit 191 B ? PCIAD12 192 0 Z +bit 192 C 0 PCIAD12 +bit 193 B ? PCIPAR 194 0 Z +bit 194 C 0 PCIPAR +bit 195 B ? PCIAD9 196 0 Z +bit 196 C 0 PCIAD9 +bit 197 B ? PCIAD0 198 0 Z +bit 198 C 0 PCIAD0 +bit 199 B ? PCIPERR_N 200 0 Z +bit 200 C 0 PCIPERR_N +bit 201 B ? PCIAD16 202 0 Z +bit 202 C 0 PCIAD16 +bit 203 O ? UART0DTR_N 204 0 Z +bit 204 C 0 UART0DTR_N +bit 205 B ? PCIAD13 206 0 Z +bit 206 C 0 PCIAD13 +bit 207 B ? PCIAD14 208 0 Z +bit 208 C 0 PCIAD14 +bit 209 B ? PCIAD17 210 0 Z +bit 210 C 0 PCIAD17 +bit 211 O ? UART0RTS_N 212 0 Z +bit 212 C 0 UART0RTS_N +bit 213 B ? PCIAD18 214 0 Z +bit 214 C 0 PCIAD18 +bit 215 B ? UART1RX_GPIO12 216 0 Z +bit 216 C 0 UART1RX_GPIO12 +bit 217 B ? PCIC_BE2_N 218 0 Z +bit 218 C 0 PCIC_BE2_N +bit 219 I ? UART0RX +bit 220 I ? UART0RI_N +bit 221 B ? PCISERR_N 222 0 Z +bit 222 C 0 PCISERR_N +bit 223 B ? UART1TX_GPIO13 224 0 Z +bit 224 C 0 UART1TX_GPIO13 +bit 225 B ? PCIREQ2_N 226 0 Z +bit 226 C 0 PCIREQ2_N +bit 227 B ? PCIAD20 228 0 Z +bit 228 C 0 PCIAD20 +bit 229 B ? PCIAD21 230 0 Z +bit 230 C 0 PCIAD21 +bit 231 B ? PCIAD25 232 0 Z +bit 232 C 0 PCIAD25 +bit 233 B ? PCIAD23 234 0 Z +bit 234 C 0 PCIAD23 +bit 235 B ? PCIAD22 236 0 Z +bit 236 C 0 PCIAD22 +bit 237 B ? MEMDATA48 238 0 Z +bit 238 C 0 MEMDATA48 +bit 239 B ? MEMDATA49 240 0 Z +bit 240 C 0 MEMDATA49 +bit 241 B ? MEMDATA50 242 0 Z +bit 242 C 0 MEMDATA50 +bit 243 B ? MEMDATA51 244 0 Z +bit 244 C 0 MEMDATA51 +bit 245 B ? MEMDQS6 246 0 Z +bit 246 C 0 MEMDQS6 +bit 247 B ? MEMDATA52 248 0 Z +bit 248 C 0 MEMDATA52 +bit 249 B ? MEMDATA53 250 0 Z +bit 250 C 0 MEMDATA53 +bit 251 B ? MEMDATA54 252 0 Z +bit 252 C 0 MEMDATA54 +bit 253 O ? MEMDM6 254 0 Z +bit 254 C 0 MEMDM6 +bit 255 B ? MEMDATA55 256 0 Z +bit 256 C 0 MEMDATA55 +bit 257 B ? MEMDATA56 258 0 Z +bit 258 C 0 MEMDATA56 +bit 259 B ? MEMDATA57 260 0 Z +bit 260 C 0 MEMDATA57 +bit 261 B ? MEMDATA58 262 0 Z +bit 262 C 0 MEMDATA58 +bit 263 B ? MEMDATA59 264 0 Z +bit 264 C 0 MEMDATA59 +bit 265 B ? MEMDQS7 266 0 Z +bit 266 C 0 MEMDQS7 +bit 267 I ? MEMDM7 268 0 Z +bit 268 C 0 MEMDM7 +bit 269 B ? MEMDATA60 270 0 Z +bit 270 C 0 MEMDATA60 +bit 271 B ? MEMDATA61 272 0 Z +bit 272 C 0 MEMDATA61 +bit 273 B ? MEMDATA63 274 0 Z +bit 274 C 0 MEMDATA63 +bit 275 B ? MEMDATA62 276 0 Z +bit 276 C 0 MEMDATA62 +bit 277 O ? SYSERROR 278 0 Z +bit 278 C 0 SYSERROR +bit 279 I ? UART0DSR_N +bit 280 I ? SYSHALT_N +bit 281 B ? SYSRESET_N 282 0 Z +bit 282 C 0 SYSRESET_N +bit 283 I ? SYSCKTK +bit 284 I ? GMC1RXCTL +bit 285 B ? TRACETS6 286 0 Z +bit 286 C 0 TRACETS6 +bit 287 B ? TRACETS5_GPIO31 288 0 Z +bit 288 C 0 TRACETS5_GPIO31 +bit 289 B ? TRACETS4_GPIO30 290 0 Z +bit 290 C 0 TRACETS4_GPIO30 +bit 291 B ? TRACETS3_GPIO29 292 0 Z +bit 292 C 0 TRACETS3_GPIO29 +bit 293 B ? TRACETS2_GPIO28 294 0 Z +bit 294 C 0 TRACETS2_GPIO28 +bit 295 B ? TRACETS1_GPIO27 296 0 Z +bit 296 C 0 TRACETS1_GPIO27 +bit 297 B ? TRACETS0_GPIO26 298 0 Z +bit 298 C 0 TRACETS0_GPIO26 +bit 299 B ? TRACEES4_GPIO25 300 0 Z +bit 300 C 0 TRACEES4_GPIO25 +bit 301 B ? TRACEES3_GPIO24 302 0 Z +bit 302 C 0 TRACEES3_GPIO24 +bit 303 B ? TRACEES2_GPIO23 304 0 Z +bit 304 C 0 TRACEES2_GPIO23 +bit 305 O ? TRACECK 306 0 Z +bit 306 C 0 TRACECK +bit 307 I ? DMAREQ0 +bit 308 B ? DMAREQ3 309 0 Z +bit 309 C 0 DMAREQ3 +bit 310 B ? DMAACK3 311 0 Z +bit 311 C 0 DMAACK3 +bit 312 B ? DMAACK2 313 0 Z +bit 313 C 0 DMAACK2 +bit 314 O ? DMAACK1 315 0 Z +bit 315 C 0 DMAACK1 +bit 316 O ? DMAACK0 317 0 Z +bit 317 C 0 DMAACK0 +bit 318 I ? DMAREQ2 +bit 319 I ? DMAREQ1 +bit 320 B ? GMC1TXCTL 321 0 Z +bit 321 C 0 GMC1TXCTL +bit 322 B ? ENETMDIO 323 0 Z +bit 323 C 0 ENETMDIO +bit 324 O ? PCIINTA_N 325 0 Z +bit 325 C 0 PCIINTA_N +bit 326 O ? ENETTXEN 327 0 Z +bit 327 C 0 ENETTXEN +bit 328 O ? UART0TX 329 0 Z +bit 329 C 0 UART0TX +bit 330 I ? GMCREFCLK +bit 331 B ? ENETRXER 332 0 Z +bit 332 C 0 ENETRXER +bit 333 O ? ENETTXD1 334 0 Z +bit 334 C 0 ENETTXD1 +bit 335 B ? PCIAD34 336 0 Z +bit 336 C 0 PCIAD34 +bit 337 B ? PCIAD37 338 0 Z +bit 338 C 0 PCIAD37 +bit 339 O ? ENETTXD2 340 0 Z +bit 340 C 0 ENETTXD2 +bit 341 B ? ENETCRS 342 0 Z +bit 342 C 0 ENETCRS +bit 343 O ? ENETTXD0 344 0 Z +bit 344 C 0 ENETTXD0 +bit 345 I ? ENETTXCK +bit 346 B ? ENETRXDV 347 0 Z +bit 347 C 0 ENETRXDV +bit 348 B ? PCIPAR64 349 0 Z +bit 349 C 0 PCIPAR64 +bit 350 B ? PCIAD32 351 0 Z +bit 351 C 0 PCIAD32 +bit 352 B ? PCIAD33 353 0 Z +bit 353 C 0 PCIAD33 +bit 354 B ? PCIAD43 355 0 Z +bit 355 C 0 PCIAD43 +bit 356 B ? PCIAD39 357 0 Z +bit 357 C 0 PCIAD39 +bit 358 B ? PCIAD48 359 0 Z +bit 359 C 0 PCIAD48 +bit 360 B ? ENETRXCK 361 0 Z +bit 361 C 0 ENETRXCK +bit 362 O ? ENETTXD3 363 0 Z +bit 363 C 0 ENETTXD3 +bit 364 B ? PCIAD52 365 0 Z +bit 365 C 0 PCIAD52 +bit 366 B ? PCIAD38 367 0 Z +bit 367 C 0 PCIAD38 +bit 368 B ? ENETCOL 369 0 Z +bit 369 C 0 ENETCOL +bit 370 B ? UART1DSR_CTS_GPIO14_N 371 0 Z +bit 371 C 0 UART1DSR_CTS_GPIO14_N +bit 372 B ? ENETRXD0 373 0 Z +bit 373 C 0 ENETRXD0 +bit 374 B ? PCIAD44 375 0 Z +bit 375 C 0 PCIAD44 +bit 376 B ? PCIAD35 377 0 Z +bit 377 C 0 PCIAD35 +bit 378 B ? PCIAD47 379 0 Z +bit 379 C 0 PCIAD47 +bit 380 O ? ENETMDCK 381 0 Z +bit 381 C 0 ENETMDCK +bit 382 I ? PCICLK +bit 383 I ? PCIIDSEL +bit 384 B ? PCIAD40 385 0 Z +bit 385 C 0 PCIAD40 +bit 386 B ? PCIC_BE5_N 387 0 Z +bit 387 C 0 PCIC_BE5_N +bit 388 B ? PCIAD49 389 0 Z +bit 389 C 0 PCIAD49 +bit 390 B ? ENETRXD1 391 0 Z +bit 391 C 0 ENETRXD1 +bit 392 B ? PCIAD41 393 0 Z +bit 393 C 0 PCIAD41 +bit 394 B ? PCIAD45 395 0 Z +bit 395 C 0 PCIAD45 +bit 396 B ? PCIAD36 397 0 Z +bit 397 C 0 PCIAD36 +bit 398 B ? MEMDATA0 399 0 Z +bit 399 C 0 MEMDATA0 +bit 400 B ? MEMDATA1 401 0 Z +bit 401 C 0 MEMDATA1 +bit 402 B ? MEMDATA2 403 0 Z +bit 403 C 0 MEMDATA2 +bit 404 O ? MEMADR1 405 0 Z +bit 405 C 0 MEMADR1 +bit 406 B ? MEMDATA3 407 0 Z +bit 407 C 0 MEMDATA3 +bit 408 O ? MEMADR2 409 0 Z +bit 409 C 0 MEMADR2 +bit 410 B ? MEMDQS0 411 0 Z +bit 411 C 0 MEMDQS0 +bit 412 O ? MEMDM0 413 0 Z +bit 413 C 0 MEMDM0 +bit 414 O ? MEMADR3 415 0 Z +bit 415 C 0 MEMADR3 +bit 416 O ? MEMADR4 417 0 Z +bit 417 C 0 MEMADR4 +bit 418 B ? MEMDATA4 419 0 Z +bit 419 C 0 MEMDATA4 +bit 420 B ? MEMDATA5 421 0 Z +bit 421 C 0 MEMDATA5 +bit 422 B ? MEMDATA6 423 0 Z +bit 423 C 0 MEMDATA6 +bit 424 B ? MEMDATA7 425 0 Z +bit 425 C 0 MEMDATA7 +bit 426 O ? MEMADR5 427 0 Z +bit 427 C 0 MEMADR5 +bit 428 O ? MEMADR6 429 0 Z +bit 429 C 0 MEMADR6 +bit 430 B ? MEMDATA8 431 0 Z +bit 431 C 0 MEMDATA8 +bit 432 B ? MEMDATA9 433 0 Z +bit 433 C 0 MEMDATA9 +bit 434 B ? MEMDATA10 435 0 Z +bit 435 C 0 MEMDATA10 +bit 436 O ? MEMADR0 437 0 Z +bit 437 C 0 MEMADR0 +bit 438 B ? MEMDATA11 439 0 Z +bit 439 C 0 MEMDATA11 +bit 440 B ? MEMDQS1 441 0 Z +bit 441 C 0 MEMDQS1 +bit 442 O ? MEMDM1 443 0 Z +bit 443 C 0 MEMDM1 +bit 444 B ? MEMDATA12 445 0 Z +bit 445 C 0 MEMDATA12 +bit 446 B ? MEMDATA13 447 0 Z +bit 447 C 0 MEMDATA13 +bit 448 B ? MEMDATA14 449 0 Z +bit 449 C 0 MEMDATA14 +bit 450 O ? MEMCKE0 451 0 Z +bit 451 C 0 MEMCKE0 +bit 452 B ? MEMDATA15 453 0 Z +bit 453 C 0 MEMDATA15 +bit 454 B ? MEMDATA16 455 0 Z +bit 455 C 0 MEMDATA16 +bit 456 B ? MEMDATA17 457 0 Z +bit 457 C 0 MEMDATA17 +bit 458 O ? MEMADR10 459 0 Z +bit 459 C 0 MEMADR10 +bit 460 B ? MEMDATA18 461 0 Z +bit 461 C 0 MEMDATA18 +bit 462 B ? MEMDATA19 463 0 Z +bit 463 C 0 MEMDATA19 +bit 464 O ? MEMDM2 465 0 Z +bit 465 C 0 MEMDM2 +bit 466 B ? MEMDQS2 467 0 Z +bit 467 C 0 MEMDQS2 +bit 468 O ? MEMBA0 469 0 Z +bit 469 C 0 MEMBA0 +bit 470 B ? MEMDATA20 471 0 Z +bit 471 C 0 MEMDATA20 +bit 472 B ? MEMDATA21 473 0 Z +bit 473 C 0 MEMDATA21 +bit 474 B ? MEMDATA22 475 0 Z +bit 475 C 0 MEMDATA22 +bit 476 B ? MEMDATA23 477 0 Z +bit 477 C 0 MEMDATA23 +bit 478 O ? MEMBSEL0_N 479 0 Z +bit 479 C 0 MEMBSEL0_N +bit 480 B ? MEMDATA24 481 0 Z +bit 481 C 0 MEMDATA24 +bit 482 B ? MEMDATA25 483 0 Z +bit 483 C 0 MEMDATA25 +bit 484 O ? MEMBSEL1_N 485 0 Z +bit 485 C 0 MEMBSEL1_N +bit 486 B ? MEMDATA26 487 0 Z +bit 487 C 0 MEMDATA26 +bit 488 B ? MEMDATA27 489 0 Z +bit 489 C 0 MEMDATA27 +bit 490 B ? MEMDQS3 491 0 Z +bit 491 C 0 MEMDQS3 +bit 492 O ? MEMADR7 493 0 Z +bit 493 C 0 MEMADR7 +bit 494 O ? MEMADR8 495 0 Z +bit 495 C 0 MEMADR8 +bit 496 O ? MEMDM3 497 0 Z +bit 497 C 0 MEMDM3 +bit 498 B ? MEMDATA28 499 0 Z +bit 499 C 0 MEMDATA28 +bit 500 O ? MEMADR9 501 0 Z +bit 501 C 0 MEMADR9 +bit 502 O ? MEMADR11 503 0 Z +bit 503 C 0 MEMADR11 +bit 504 B ? MEMDATA29 505 0 Z +bit 505 C 0 MEMDATA29 +bit 506 B ? MEMDATA30 507 0 Z +bit 507 C 0 MEMDATA30 +bit 508 B ? MEMDATA31 509 0 Z +bit 509 C 0 MEMDATA31 +bit 510 B ? MEMDATA32 511 0 Z +bit 511 C 0 MEMDATA32 +bit 512 O ? MEMBSEL2_N 513 0 Z +bit 513 C 0 MEMBSEL2_N +bit 514 B ? MEMDATA33 515 0 Z +bit 515 C 0 MEMDATA33 +bit 516 O ? MEMCKE1 517 0 Z +bit 517 C 0 MEMCKE1 +bit 518 B ? MEMDATA34 519 0 Z +bit 519 C 0 MEMDATA34 +bit 520 B ? MEMDATA35 521 0 Z +bit 521 C 0 MEMDATA35 +bit 522 B ? MEMDATA36 523 0 Z +bit 523 C 0 MEMDATA36 +bit 524 O ? MEMCKE2 525 0 Z +bit 525 C 0 MEMCKE2 +bit 526 O ? MEMDM4 527 0 Z +bit 527 C 0 MEMDM4 +bit 528 B ? MEMDQS4 529 0 Z +bit 529 C 0 MEMDQS4 +bit 530 O ? MEMADR12 531 0 Z +bit 531 C 0 MEMADR12 +bit 532 B ? MEMDATA37 533 0 Z +bit 533 C 0 MEMDATA37 +bit 534 B ? MEMDATA38 535 0 Z +bit 535 C 0 MEMDATA38 +bit 536 O ? MEMCKE3 537 0 Z +bit 537 C 0 MEMCKE3 +bit 538 B ? MEMDATA39 539 0 Z +bit 539 C 0 MEMDATA39 +bit 540 B ? MEMDATA40 541 0 Z +bit 541 C 0 MEMDATA40 +bit 542 B ? MEMDATA41 543 0 Z +bit 543 C 0 MEMDATA41 +bit 544 B ? MEMDATA42 545 0 Z +bit 545 C 0 MEMDATA42 +bit 546 B ? MEMDATA43 547 0 Z +bit 547 C 0 MEMDATA43 +bit 548 B ? MEMDATA44 549 0 Z +bit 549 C 0 MEMDATA44 +bit 550 O ? MEMDM5 551 0 Z +bit 551 C 0 MEMDM5 +bit 552 B ? MEMDQS5 553 0 Z +bit 553 C 0 MEMDQS5 +bit 554 B ? MEMDATA45 555 0 Z +bit 555 C 0 MEMDATA45 +bit 556 B ? MEMDATA46 557 0 Z +bit 557 C 0 MEMDATA46 +bit 558 B ? MEMDATA47 559 0 Z +bit 559 C 0 MEMDATA47 +bit 560 O ? MEMSYSCK 561 0 Z +bit 561 C 0 MEMSYSCK +bit 562 B ? MEMECC0 563 0 Z +bit 563 C 0 MEMECC0 +bit 564 O ? MEMBA1 565 0 Z +bit 565 C 0 MEMBA1 +bit 566 B ? MEMECC1 567 0 Z +bit 567 C 0 MEMECC1 +bit 568 B ? MEMECC2 569 0 Z +bit 569 C 0 MEMECC2 +bit 570 B ? MEMECC3 571 0 Z +bit 571 C 0 MEMECC3 +bit 572 B ? MEMECC4 573 0 Z +bit 573 C 0 MEMECC4 +bit 574 O ? MEMRAS_N 575 0 Z +bit 575 C 0 MEMRAS_N +bit 576 O ? MEMCAS_N 577 0 Z +bit 577 C 0 MEMCAS_N +bit 578 O ? MEMDM8 579 0 Z +bit 579 C 0 MEMDM8 +bit 580 O ? MEMWE_N 581 0 Z +bit 581 C 0 MEMWE_N +bit 582 B ? MEMDQS8 583 0 Z +bit 583 C 0 MEMDQS8 +bit 584 O ? MEMBSEL3_N 585 0 Z +bit 585 C 0 MEMBSEL3_N +bit 586 B ? MEMECC5 587 0 Z +bit 587 C 0 MEMECC5 +bit 588 B ? MEMECC6 589 0 Z +bit 589 C 0 MEMECC6 +bit 590 B ? MEMECC7 591 0 Z +bit 591 C 0 MEMECC7 +bit 592 B ? EBCDATA12 593 0 Z +bit 593 C 0 EBCDATA12 +bit 594 B ? EBCDATA5 595 0 Z +bit 595 C 0 EBCDATA5 +bit 596 B ? EBCDATA4 597 0 Z +bit 597 C 0 EBCDATA4 +bit 598 B ? EBCDATA11 599 0 Z +bit 599 C 0 EBCDATA11 +bit 600 B ? EBCDATA2 601 0 Z +bit 601 C 0 EBCDATA2 +bit 602 B ? EBCDATA7 603 0 Z +bit 603 C 0 EBCDATA7 +bit 604 B ? EBCDATA6 605 0 Z +bit 605 C 0 EBCDATA6 +bit 606 B ? EBCDATA3 607 0 Z +bit 607 C 0 EBCDATA3 +bit 608 B ? EBCADR17 609 0 Z +bit 609 C 0 EBCADR17 +bit 610 B ? EBCDATA0 611 0 Z +bit 611 C 0 EBCDATA0 +bit 612 B ? EBCDATA1 613 0 Z +bit 613 C 0 EBCDATA1 +bit 614 B ? EBCADR18 615 0 Z +bit 615 C 0 EBCADR18 +bit 616 B ? EBCDATA21 617 0 Z +bit 617 C 0 EBCDATA21 +bit 618 B ? EBCDATA10 619 0 Z +bit 619 C 0 EBCDATA10 +bit 620 B ? EBCDATA25 621 0 Z +bit 621 C 0 EBCDATA25 +bit 622 B ? EBCDATA31 623 0 Z +bit 623 C 0 EBCDATA31 +bit 624 B ? EBCDATA24 625 0 Z +bit 625 C 0 EBCDATA24 +bit 626 B ? EBCADR19 627 0 Z +bit 627 C 0 EBCADR19 +bit 628 B ? EBCDATA8 629 0 Z +bit 629 C 0 EBCDATA8 +bit 630 B ? EBCDATA9 631 0 Z +bit 631 C 0 EBCDATA9 +bit 632 B ? EBCDATA30 633 0 Z +bit 633 C 0 EBCDATA30 +bit 634 B ? EBCDATA23 635 0 Z +bit 635 C 0 EBCDATA23 +bit 636 B ? EBCDATA22 637 0 Z +bit 637 C 0 EBCDATA22 +bit 638 B ? EBCADR22 639 0 Z +bit 639 C 0 EBCADR22 +bit 640 B ? EBCDATA20 641 0 Z +bit 641 C 0 EBCDATA20 +bit 642 B ? EBCDATA29 643 0 Z +bit 643 C 0 EBCDATA29 +bit 644 B ? EBCADR20 645 0 Z +bit 645 C 0 EBCADR20 +bit 646 B ? EBCADR23 647 0 Z +bit 647 C 0 EBCADR23 +bit 648 B ? EBCADR24 649 0 Z +bit 649 C 0 EBCADR24 +bit 650 B ? EBCADR25 651 0 Z +bit 651 C 0 EBCADR25 +bit 652 B ? EBCADR26 653 0 Z +bit 653 C 0 EBCADR26 +bit 654 B ? EBCADR27 655 0 Z +bit 655 C 0 EBCADR27 +bit 656 B ? EBCADR29 657 0 Z +bit 657 C 0 EBCADR29 +bit 658 B ? EBCADR30 659 0 Z +bit 659 C 0 EBCADR30 +bit 660 B ? EBCADR31 661 0 Z +bit 661 C 0 EBCADR31 +bit 662 B ? EBCPAR0 663 0 Z +bit 663 C 0 EBCPAR0 +bit 664 B ? EBCPAR1 665 0 Z +bit 665 C 0 EBCPAR1 +bit 666 B ? EBCPAR2 667 0 Z +bit 667 C 0 EBCPAR2 +bit 668 B ? EBCPAR3 669 0 Z +bit 669 C 0 EBCPAR3 +bit 670 B ? EBCBE0_N 671 0 Z +bit 671 C 0 EBCBE0_N +bit 672 B ? EBCBE1_N 673 0 Z +bit 673 C 0 EBCBE1_N +bit 674 O ? EBCCS3_N 675 0 Z +bit 675 C 0 EBCCS3_N +bit 676 B ? EBCBE2_N 677 0 Z +bit 677 C 0 EBCBE2_N +bit 678 B ? EBCBE3_N 679 0 Z +bit 679 C 0 EBCBE3_N +bit 680 B ? EBCDATA19 681 0 Z +bit 681 C 0 EBCDATA19 +bit 682 B ? EBCBLAST_N 683 0 Z +bit 683 C 0 EBCBLAST_N +bit 684 B ? EBCDATA27 685 0 Z +bit 685 C 0 EBCDATA27 +bit 686 B ? EBCADR0 687 0 Z +bit 687 C 0 EBCADR0 +bit 688 B ? EBCDATA18 689 0 Z +bit 689 C 0 EBCDATA18 +bit 690 B ? EBCDATA17 691 0 Z +bit 691 C 0 EBCDATA17 +bit 692 B ? EBCADR1 693 0 Z +bit 693 C 0 EBCADR1 +bit 694 B ? EBCADR2 695 0 Z +bit 695 C 0 EBCADR2 +bit 696 B ? IIC1SCK_GPIO16 697 0 Z +bit 697 C 0 IIC1SCK_GPIO16 +bit 698 B ? EBCDATA16 699 0 Z +bit 699 C 0 EBCDATA16 +bit 700 B ? EBCADR3 701 0 Z +bit 701 C 0 EBCADR3 +bit 702 B ? EBCADR6 703 0 Z +bit 703 C 0 EBCADR6 +bit 704 B ? IIC0SCK 705 0 Z +bit 705 C 0 IIC0SCK +bit 706 O ? EBCCS6_N 707 0 Z +bit 707 C 0 EBCCS6_N +bit 708 B ? IIC0SDATA 709 0 Z +bit 709 C 0 IIC0SDATA +bit 710 B ? EBCADR9 711 0 Z +bit 711 C 0 EBCADR9 +bit 712 B ? EBCADR12 713 0 Z +bit 713 C 0 EBCADR12 +bit 714 B ? EBCDATA15 715 0 Z +bit 715 C 0 EBCDATA15 +bit 716 B ? IIC1SDATA_GPIO17 717 0 Z +bit 717 C 0 IIC1SDATA_GPIO17 +bit 718 B ? EBCADR13 719 0 Z +bit 719 C 0 EBCADR13 +bit 720 B ? EBCADR14 721 0 Z +bit 721 C 0 EBCADR14 +bit 722 B ? EBCDATA14 723 0 Z +bit 723 C 0 EBCDATA14 +bit 724 B ? EBCDATA13 725 0 Z +bit 725 C 0 EBCDATA13 +bit 726 B ? EBCADR15 727 0 Z +bit 727 C 0 EBCADR15 +bit 728 B ? EBCDATA26 729 0 Z +bit 729 C 0 EBCDATA26 +bit 730 B ? EBCADR5 731 0 Z +bit 731 C 0 EBCADR5 +bit 732 O ? EBCCS0_N 733 0 Z +bit 733 C 0 EBCCS0_N +bit 734 B ? EBCADR11 735 0 Z +bit 735 C 0 EBCADR11 +bit 736 B ? EBCADR4 737 0 Z +bit 737 C 0 EBCADR4 +bit 738 B ? EBCADR10 739 0 Z +bit 739 C 0 EBCADR10 +bit 740 B ? EBCADR16 741 0 Z +bit 741 C 0 EBCADR16 +bit 742 O ? EBCCS5_N 743 0 Z +bit 743 C 0 EBCCS5_N +bit 744 O ? EBCCS2_N 745 0 Z +bit 745 C 0 EBCCS2_N +bit 746 O ? EBCCS7_N 747 0 Z +bit 747 C 0 EBCCS7_N +bit 748 O ? EBCWE_N 749 0 Z +bit 749 C 0 EBCWE_N +bit 750 B ? EBCADR8 751 0 Z +bit 751 C 0 EBCADR8 +bit 752 B ? EBCADR28 753 0 Z +bit 753 C 0 EBCADR28 +bit 754 B ? EBCRNW 755 0 Z +bit 755 C 0 EBCRNW +bit 756 I ? EBCREADY +bit 757 B ? EBCADR7 758 0 Z +bit 758 C 0 EBCADR7 +bit 759 B ? EBCADR21 760 0 Z +bit 760 C 0 EBCADR21 +bit 761 O ? EBCOE_N 762 0 Z +bit 762 C 0 EBCOE_N +bit 763 O ? EBCCS4_N 764 0 Z +bit 764 C 0 EBCCS4_N +bit 765 B ? EBCDATA28 766 0 Z +bit 766 C 0 EBCDATA28 +bit 767 O ? EBCCS1_N 768 0 Z +bit 768 C 0 EBCCS1_N diff --git a/sie_fs/usr/local/share/urjtag/intel/PARTS b/sie_fs/usr/local/share/urjtag/intel/PARTS new file mode 100644 index 0000000..df4740c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/PARTS @@ -0,0 +1,39 @@ +# +# $Id: PARTS 697 2007-11-06 19:22:39Z kawk $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Intel Corporation, "Intel StrongARM SA-1110 Microprocessor +# Developer's Manual", October 2001, Order Number: 278240-004 +# [2] Intel Corporation, "Intel XScale Microarchitecture for the PXA250 +# and PXA210 Applications Processors User's Manual", February 2002, +# Order Number: 278525-001 +# + +# bits 27-12 of the Device Identification Register +1001001001100001 sa1110 SA1110 # see 16.6.2 in [1] +1001001001100100 pxa250 PXA250 # see 9.4.3 in [2] +1001001001101100 pxa210 PXA210 # see 9.4.3 in [2] +1001001001110100 ixp425 IXP425-533MHz # see IXP425 bdsl file from the devel CD +1001001001110101 ixp425 IXP425-400MHz # see IXP425 bdsl file from the devel CD +1001001001110111 ixp425 IXP425-266MHz # see IXP425 bdsl file from the devel CD +1001001001100101 pxa270 PXA270 # see bulbcx.dat from Intel Jflash source code + diff --git a/sie_fs/usr/local/share/urjtag/intel/ixp425/STEPPINGS b/sie_fs/usr/local/share/urjtag/intel/ixp425/STEPPINGS new file mode 100644 index 0000000..ab7cbbe --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/ixp425/STEPPINGS @@ -0,0 +1,26 @@ +# +# $Id: STEPPINGS 578 2003-10-13 18:36:11Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Christian Pellegrin , 2003. +# + +# bits 31-28 of the Device Identification Register +0000 ixp425 A0 +0001 ixp425 B0 diff --git a/sie_fs/usr/local/share/urjtag/intel/ixp425/ixp425 b/sie_fs/usr/local/share/urjtag/intel/ixp425/ixp425 new file mode 100644 index 0000000..d647d7c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/ixp425/ixp425 @@ -0,0 +1,634 @@ +# +# $Id: ixp425 558 2003-09-05 21:09:14Z telka $ +# +# JTAG declarations for IXP425 +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Christian Pellegrin , 2003. +# + +signal EX_CS[0] K26 +signal EX_CS[1] L24 +signal EX_CS[2] M22 +signal EX_CS[3] J26 +signal EX_CS[4] K24 +signal EX_CS[5] J25 +signal EX_CS[6] H26 +signal EX_CS[7] K23 +signal EX_WR F22 +signal EX_RD A23 +signal EX_RDY[0] V26 +signal EX_RDY[1] U24 +signal EX_RDY[2] U23 +signal EX_RDY[3] T22 +signal EX_IOWAIT B23 +signal EX_CLK M23 +signal EX_ALE D22 +signal EX_ADDR[0] G1 +signal EX_ADDR[1] H2 +signal EX_ADDR[2] H1 +signal EX_ADDR[3] H6 +signal EX_ADDR[4] J6 +signal EX_ADDR[5] J5 +signal EX_ADDR[6] J3 +signal EX_ADDR[7] J1 +signal EX_ADDR[8] K1 +signal EX_ADDR[9] K2 +signal EX_ADDR[10] K5 +signal EX_ADDR[11] K6 +signal EX_ADDR[12] L1 +signal EX_ADDR[13] L3 +signal EX_ADDR[14] M1 +signal EX_ADDR[15] M3 +signal EX_ADDR[16] N3 +signal EX_ADDR[17] P1 +signal EX_ADDR[18] R1 +signal EX_ADDR[19] P2 +signal EX_ADDR[20] R3 +signal EX_ADDR[21] T4 +signal EX_ADDR[22] R5 +signal EX_ADDR[23] P5 +signal EX_ADDR[24] T5 +signal EX_ADDR[25] P4 +signal EX_DATA[0] N4 +signal EX_DATA[1] M5 +signal EX_DATA[2] L5 +signal EX_DATA[3] T6 +signal EX_DATA[4] N6 +signal EX_DATA[5] T7 +signal EX_DATA[6] M6 +signal EX_DATA[7] M7 +signal EX_DATA[8] M9 +signal EX_DATA[9] T10 +signal EX_DATA[10] R9 +signal EX_DATA[11] T11 +signal EX_DATA[12] P11 +signal EX_DATA[13] N10 +signal EX_DATA[14] T12 +signal EX_DATA[15] M10 +signal EX_DATA[16] H3 +signal EX_DATA[17] H5 +signal EX_DATA[18] J4 +signal EX_DATA[19] K3 +signal EX_DATA[20] L4 +signal EX_DATA[21] M2 +signal EX_DATA[22] N1 +signal EX_DATA[23] T3 +signal EX_DATA[24] P6 +signal EX_DATA[25] R7 +signal EX_DATA[26] P7 +signal EX_DATA[27] P8 +signal EX_DATA[28] L8 +signal EX_DATA[29] P10 +signal EX_DATA[30] R11 +signal EX_DATA[31] P12 +signal GPIO[0] U25 +signal GPIO[1] U22 +signal GPIO[2] V24 +signal GPIO[3] W26 +signal GPIO[4] W25 +signal GPIO[5] Y26 +signal GPIO[6] V22 +signal GPIO[7] W23 +signal GPIO[8] AA26 +signal GPIO[9] V21 +signal GPIO[10] Y24 +signal GPIO[11] AB26 +signal GPIO[12] AA24 +signal GPIO[13] AC26 +signal GPIO[14] W21 +signal GPIO[15] Y22 + +# mandatory data registers +register BSR 498 # Boundary Scan Register +register BR 1 # Bypass Register +# optional data registers +register DIR 32 # Device Identification Register + +instruction length 7 +# mandatory instructions +instruction EXTEST 0000000 BSR +instruction SAMPLE/PRELOAD 0000001 BSR +instruction BYPASS 1111111 BR +# optional instructions +instruction CLAMP 1001001 BR +instruction HIGHZ 1001010 BR +instruction IDCODE 1111110 DIR + +# boundary scan register + +bit 497 C ? . +bit 496 C ? . +bit 495 C ? . +bit 494 C ? . +bit 493 C ? . +bit 492 C ? . +bit 491 C ? . +bit 490 C ? . +bit 489 C ? . +bit 488 C ? . +bit 487 C ? . +bit 486 C ? . +bit 485 C ? . +bit 484 C ? . +bit 483 C ? . +bit 482 C ? . +bit 481 C ? . +bit 480 C ? . +bit 479 C ? . +bit 478 C ? . +bit 477 C ? . +bit 476 C ? . +bit 475 C ? . +bit 474 C ? . +bit 473 C ? . +bit 472 C ? . +bit 471 C ? . +bit 470 C ? . +bit 469 C ? . +bit 468 C ? . +bit 467 C ? . +bit 466 C ? . +bit 465 C ? . +bit 464 C ? . +bit 463 C ? . +bit 462 C ? . +bit 461 C ? . +bit 460 C ? . +bit 459 C ? . +bit 458 C ? . +bit 457 C ? . +bit 456 C ? . +bit 455 C ? . +bit 454 C ? . +bit 453 C ? . +bit 452 C ? . +bit 451 C ? . +bit 450 C ? . +bit 449 C ? . +bit 448 C ? . +bit 447 C ? . +bit 446 C ? . +bit 445 C ? . +bit 444 C ? . +bit 443 C ? . +bit 442 C ? . +bit 441 C ? . +bit 440 C ? . +bit 439 C ? . +bit 438 C ? . +bit 437 C ? . +bit 436 C ? . +bit 435 C ? . +bit 434 C ? . +bit 433 C ? . +bit 432 C ? . +bit 431 C ? . +bit 430 C ? . +bit 429 C ? . +bit 428 C ? . +bit 427 C ? . +bit 426 C ? . +bit 425 C ? . +bit 424 C ? . +bit 423 C ? . +bit 422 C ? . +bit 421 C ? . +bit 420 C ? . +bit 419 C ? . +bit 418 C ? . +bit 417 C ? . +bit 416 C ? . +bit 415 C ? . +bit 414 C ? . +bit 413 C ? . +bit 412 C ? . +bit 411 C ? . +bit 410 C ? . +bit 409 C ? . +bit 408 C ? . +bit 407 C ? . +bit 406 C ? . +bit 405 C ? . +bit 404 C ? . +bit 403 C ? . +bit 402 C ? . +bit 401 C ? . +bit 400 C ? . +bit 399 C ? . +bit 398 C ? . +bit 397 C ? . +bit 396 C ? . +bit 395 C ? . +bit 394 C ? . +bit 393 C ? . +bit 392 C ? . +bit 391 C ? . +bit 390 C ? . +bit 389 C ? . +bit 388 C ? . +bit 387 C ? . +bit 386 C ? . +bit 385 C ? . +bit 384 B ? GPIO[1] 369 1 Z +bit 383 B ? GPIO[2] 368 1 Z +bit 382 B ? GPIO[3] 367 1 Z +bit 381 B ? GPIO[4] 366 1 Z +bit 380 B ? GPIO[5] 365 1 Z +bit 379 B ? GPIO[6] 364 1 Z +bit 378 B ? GPIO[7] 363 1 Z +bit 377 B ? GPIO[8] 362 1 Z +bit 376 B ? GPIO[9] 361 1 Z +bit 375 B ? GPIO[10] 360 1 Z +bit 374 B ? GPIO[11] 359 1 Z +bit 373 B ? GPIO[12] 358 1 Z +bit 372 B ? GPIO[13] 357 1 Z +bit 371 B ? GPIO[14] 356 1 Z +bit 370 B ? GPIO[15] 355 1 Z +bit 369 C ? . +bit 368 C ? . +bit 367 C ? . +bit 366 C ? . +bit 365 C ? . +bit 364 C ? . +bit 363 C ? . +bit 362 C ? . +bit 361 C ? . +bit 360 C ? . +bit 359 C ? . +bit 358 C ? . +bit 357 C ? . +bit 356 C ? . +bit 355 C ? . +bit 354 I ? EX_IOWAIT +bit 353 I ? EX_CLK +bit 352 O ? EX_ALE 297 1 Z +bit 351 O ? EX_ADDR[0] 296 1 Z +bit 350 O ? EX_ADDR[1] 295 1 Z +bit 349 O ? EX_ADDR[2] 294 1 Z +bit 348 O ? EX_ADDR[3] 293 1 Z +bit 347 O ? EX_ADDR[4] 292 1 Z +bit 346 O ? EX_ADDR[5] 291 1 Z +bit 345 O ? EX_ADDR[6] 290 1 Z +bit 344 O ? EX_ADDR[7] 289 1 Z +bit 343 O ? EX_ADDR[8] 288 1 Z +bit 342 O ? EX_ADDR[9] 287 1 Z +bit 341 O ? EX_ADDR[10] 286 1 Z +bit 340 O ? EX_ADDR[11] 285 1 Z +bit 339 O ? EX_ADDR[12] 284 1 Z +bit 338 O ? EX_ADDR[13] 283 1 Z +bit 337 O ? EX_ADDR[14] 282 1 Z +bit 336 O ? EX_ADDR[15] 281 1 Z +bit 335 O ? EX_ADDR[16] 280 1 Z +bit 334 O ? EX_ADDR[17] 279 1 Z +bit 333 O ? EX_ADDR[18] 278 1 Z +bit 332 O ? EX_ADDR[19] 277 1 Z +bit 331 O ? EX_ADDR[20] 276 1 Z +bit 330 O ? EX_ADDR[21] 275 1 Z +bit 329 O ? EX_ADDR[22] 274 1 Z +bit 328 O ? EX_ADDR[23] 273 1 Z +bit 327 O ? EX_WR 272 1 Z +bit 326 O ? EX_RD 271 1 Z +bit 325 O ? EX_CS[0] 270 1 Z +bit 324 O ? EX_CS[1] 269 1 Z +bit 323 O ? EX_CS[2] 268 1 Z +bit 322 O ? EX_CS[3] 267 1 Z +bit 321 O ? EX_CS[4] 266 1 Z +bit 320 O ? EX_CS[5] 265 1 Z +bit 319 O ? EX_CS[6] 264 1 Z +bit 318 O ? EX_CS[7] 263 1 Z +bit 317 B ? EX_DATA[0] 262 1 Z +bit 316 B ? EX_DATA[1] 261 1 Z +bit 315 B ? EX_DATA[2] 260 1 Z +bit 314 B ? EX_DATA[3] 259 1 Z +bit 313 B ? EX_DATA[4] 258 1 Z +bit 312 B ? EX_DATA[5] 257 1 Z +bit 311 B ? EX_DATA[6] 256 1 Z +bit 310 B ? EX_DATA[7] 255 1 Z +bit 309 B ? EX_DATA[8] 254 1 Z +bit 308 B ? EX_DATA[9] 253 1 Z +bit 307 B ? EX_DATA[10] 252 1 Z +bit 306 B ? EX_DATA[11] 251 1 Z +bit 305 B ? EX_DATA[12] 250 1 Z +bit 304 B ? EX_DATA[13] 249 1 Z +bit 303 B ? EX_DATA[14] 248 1 Z +bit 302 B ? EX_DATA[15] 247 1 Z +bit 301 I ? EX_RDY[0] +bit 300 I ? EX_RDY[1] +bit 299 I ? EX_RDY[2] +bit 298 I ? EX_RDY[3] +bit 297 C ? . +bit 296 C ? . +bit 295 C ? . +bit 294 C ? . +bit 293 C ? . +bit 292 C ? . +bit 291 C ? . +bit 290 C ? . +bit 289 C ? . +bit 288 C ? . +bit 287 C ? . +bit 286 C ? . +bit 285 C ? . +bit 284 C ? . +bit 283 C ? . +bit 282 C ? . +bit 281 C ? . +bit 280 C ? . +bit 279 C ? . +bit 278 C ? . +bit 277 C ? . +bit 276 C ? . +bit 275 C ? . +bit 274 C ? . +bit 273 C ? . +bit 272 C ? . +bit 271 C ? . +bit 270 C ? . +bit 269 C ? . +bit 268 C ? . +bit 267 C ? . +bit 266 C ? . +bit 265 C ? . +bit 264 C ? . +bit 263 C ? . +bit 262 C ? . +bit 261 C ? . +bit 260 C ? . +bit 259 C ? . +bit 258 C ? . +bit 257 C ? . +bit 256 C ? . +bit 255 C ? . +bit 254 C ? . +bit 253 C ? . +bit 252 C ? . +bit 251 C ? . +bit 250 C ? . +bit 249 C ? . +bit 248 C ? . +bit 247 C ? . +bit 246 C ? . +bit 245 C ? . +bit 244 C ? . +bit 243 C ? . +bit 242 C ? . +bit 241 C ? . +bit 240 C ? . +bit 239 C ? . +bit 238 C ? . +bit 237 C ? . +bit 236 C ? . +bit 235 C ? . +bit 234 C ? . +bit 233 C ? . +bit 232 C ? . +bit 231 C ? . +bit 230 C ? . +bit 229 C ? . +bit 228 C ? . +bit 227 C ? . +bit 226 C ? . +bit 225 C ? . +bit 224 C ? . +bit 223 C ? . +bit 222 C ? . +bit 221 C ? . +bit 220 C ? . +bit 219 C ? . +bit 218 C ? . +bit 217 C ? . +bit 216 C ? . +bit 215 C ? . +bit 214 C ? . +bit 213 C ? . +bit 212 C ? . +bit 211 C ? . +bit 210 C ? . +bit 209 C ? . +bit 208 C ? . +bit 207 C ? . +bit 206 C ? . +bit 205 C ? . +bit 204 C ? . +bit 203 C ? . +bit 202 C ? . +bit 201 C ? . +bit 200 C ? . +bit 199 C ? . +bit 198 C ? . +bit 197 C ? . +bit 196 C ? . +bit 195 C ? . +bit 194 C ? . +bit 193 C ? . +bit 192 C ? . +bit 191 C ? . +bit 190 C ? . +bit 189 C ? . +bit 188 C ? . +bit 187 C ? . +bit 186 C ? . +bit 185 C ? . +bit 184 C ? . +bit 183 C ? . +bit 182 C ? . +bit 181 C ? . +bit 180 C ? . +bit 179 C ? . +bit 178 C ? . +bit 177 C ? . +bit 176 C ? . +bit 175 C ? . +bit 174 C ? . +bit 173 C ? . +bit 172 C ? . +bit 171 C ? . +bit 170 C ? . +bit 169 C ? . +bit 168 C ? . +bit 167 C ? . +bit 166 C ? . +bit 165 C ? . +bit 164 C ? . +bit 163 C ? . +bit 162 C ? . +bit 161 C ? . +bit 160 C ? . +bit 159 C ? . +bit 158 C ? . +bit 157 C ? . +bit 156 C ? . +bit 155 C ? . +bit 154 C ? . +bit 153 C ? . +bit 152 C ? . +bit 151 C ? . +bit 150 C ? . +bit 149 C ? . +bit 148 C ? . +bit 147 C ? . +bit 146 C ? . +bit 145 C ? . +bit 144 C ? . +bit 143 C ? . +bit 142 C ? . +bit 141 C ? . +bit 140 C ? . +bit 139 C ? . +bit 138 C ? . +bit 137 C ? . +bit 136 C ? . +bit 135 C ? . +bit 134 C ? . +bit 133 C ? . +bit 132 C ? . +bit 131 C ? . +bit 130 C ? . +bit 129 C ? . +bit 128 C ? . +bit 127 C ? . +bit 126 C ? . +bit 125 C ? . +bit 124 C ? . +bit 123 C ? . +bit 122 C ? . +bit 121 C ? . +bit 120 C ? . +bit 119 C ? . +bit 118 C ? . +bit 117 C ? . +bit 116 C ? . +bit 115 C ? . +bit 114 C ? . +bit 113 C ? . +bit 112 C ? . +bit 111 C ? . +bit 110 C ? . +bit 109 C ? . +bit 108 C ? . +bit 107 C ? . +bit 106 C ? . +bit 105 C ? . +bit 104 C ? . +bit 103 C ? . +bit 102 C ? . +bit 101 C ? . +bit 100 C ? . +bit 99 C ? . +bit 98 C ? . +bit 97 C ? . +bit 96 C ? . +bit 95 C ? . +bit 94 C ? . +bit 93 C ? . +bit 92 C ? . +bit 91 C ? . +bit 90 C ? . +bit 89 C ? . +bit 88 C ? . +bit 87 C ? . +bit 86 C ? . +bit 85 C ? . +bit 84 C ? . +bit 83 C ? . +bit 82 C ? . +bit 81 C ? . +bit 80 C ? . +bit 79 C ? . +bit 78 C ? . +bit 77 C ? . +bit 76 C ? . +bit 75 C ? . +bit 74 C ? . +bit 73 C ? . +bit 72 C ? . +bit 71 C ? . +bit 70 C ? . +bit 69 C ? . +bit 68 C ? . +bit 67 C ? . +bit 66 C ? . +bit 65 C ? . +bit 64 C ? . +bit 63 C ? . +bit 62 C ? . +bit 61 C ? . +bit 60 C ? . +bit 59 C ? . +bit 58 C ? . +bit 57 C ? . +bit 56 C ? . +bit 55 C ? . +bit 54 C ? . +bit 53 C ? . +bit 52 C ? . +bit 51 C ? . +bit 50 C ? . +bit 49 C ? . +bit 48 C ? . +bit 47 C ? . +bit 46 C ? . +bit 45 C ? . +bit 44 C ? . +bit 43 C ? . +bit 42 C ? . +bit 41 C ? . +bit 40 C ? . +bit 39 C ? . +bit 38 C ? . +bit 37 C ? . +bit 36 C ? . +bit 35 C ? . +bit 34 C ? . +bit 33 C ? . +bit 32 C ? . +bit 31 C ? . +bit 30 C ? . +bit 29 C ? . +bit 28 C ? . +bit 27 C ? . +bit 26 C ? . +bit 25 C ? . +bit 24 C ? . +bit 23 C ? . +bit 22 C ? . +bit 21 C ? . +bit 20 C ? . +bit 19 C ? . +bit 18 C ? . +bit 17 C ? . +bit 16 C ? . +bit 15 C ? . +bit 14 C ? . +bit 13 C ? . +bit 12 C ? . +bit 11 C ? . +bit 10 C ? . +bit 9 C ? . +bit 8 C ? . +bit 7 C ? . +bit 6 C ? . +bit 5 C ? . +bit 4 C ? . +bit 3 C ? . +bit 2 C ? . +bit 1 C ? . +bit 0 C ? . + +initbus ixp425 diff --git a/sie_fs/usr/local/share/urjtag/intel/pxa250/STEPPINGS b/sie_fs/usr/local/share/urjtag/intel/pxa250/STEPPINGS new file mode 100644 index 0000000..dd5da8b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/pxa250/STEPPINGS @@ -0,0 +1,36 @@ +# +# $Id: STEPPINGS 401 2003-03-18 22:54:45Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Intel Corporation, "Intel PXA250 and PXA210 Application Processors +# Specification Update", February 2003, Order Number: 278534-012 +# + +# bits 31-28 of the Device Identification Register +# see D55. in [1] +0000 pxa250 A0 +0001 pxa250 A1 +0010 pxa250 B0 +0011 pxa250 B1 +0100 pxa250 B2 +0101 pxa250c0 C0 +0110 pxa250c0 PXA255A0 diff --git a/sie_fs/usr/local/share/urjtag/intel/pxa250/pxa250 b/sie_fs/usr/local/share/urjtag/intel/pxa250/pxa250 new file mode 100644 index 0000000..db7de7d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/pxa250/pxa250 @@ -0,0 +1,642 @@ +# +# $Id: pxa250 558 2003-09-05 21:09:14Z telka $ +# +# JTAG declarations for PXA250 +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Intel Corporation, "Intel PXA250 and PXA210 Application Processors +# Design Guide", February 2002, Order Number: 278523-001 +# [2] Intel Corporation, "Intel XScale Microarchitecture for the PXA250 +# and PXA210 Application Processors User's Manual", February 2002, +# Order Number: 278525-001 +# [3] Intel Corporation, "BSDL description for top level entity pxa250_jtag", 2002-03-06, +# http://developer.intel.com/design/pca/applicationsprocessors/bsdl/PXA250_bsdl_bga.txt +# [4] Intel Corporation, "Intel PXA250 and PXA210 Application Processors +# Specification Update", January 2003, Order Number: 278534-011 +# + +# see Table 1-4 in [1] and D29. in [4] +signal nACRESET D10 +signal nBATT_FAULT K12 +signal BOOT_SEL[0] G16 +signal BOOT_SEL[1] G13 +signal BOOT_SEL[2] F13 +signal nCS[0] N8 +signal DQM[0] M8 +signal DQM[1] B1 +signal DQM[2] B2 +signal DQM[3] L7 +signal GPIO[0] L10 +signal GPIO[1] L12 +signal GPIO[2] L13 +signal GPIO[3] K14 +signal GPIO[4] J12 +signal GPIO[5] J11 +signal GPIO[6] H14 +signal GPIO[7] G15 +signal GPIO[8] F14 +signal GPIO[9] F12 +signal GPIO[10] F7 +signal GPIO[11] A7 +signal GPIO[12] B6 +signal GPIO[13] B5 +signal GPIO[14] B4 +signal GPIO[15] T8 +signal GPIO[16] E12 +signal GPIO[17] D12 +signal GPIO[18] C1 +signal GPIO[19] N14 +signal GPIO[20] N12 +signal GPIO[21] N15 +signal GPIO[22] M12 +signal GPIO[23] F9 +signal GPIO[24] E9 +signal GPIO[25] D9 +signal GPIO[26] A9 +signal GPIO[27] B9 +signal GPIO[28] C9 +signal GPIO[29] E10 +signal GPIO[30] A10 +signal GPIO[31] E11 +signal GPIO[32] A16 +signal GPIO[33] T13 +signal GPIO[34] A13 +signal GPIO[35] A14 +signal GPIO[36] A12 +signal GPIO[37] B11 +signal GPIO[38] B10 +signal GPIO[39] E13 +signal GPIO[40] F10 +signal GPIO[41] F8 +signal GPIO[42] B13 +signal GPIO[43] D13 +signal GPIO[44] A15 +signal GPIO[45] B14 +signal GPIO[46] B15 +signal GPIO[47] C15 +signal GPIO[48] P13 +signal GPIO[49] T14 +signal GPIO[50] T15 +signal GPIO[51] R15 +signal GPIO[52] P14 +signal GPIO[53] R16 +signal GPIO[54] P16 +signal GPIO[55] M13 +signal GPIO[56] N16 +signal GPIO[57] M16 +signal GPIO[58] E7 +signal GPIO[59] D7 +signal GPIO[60] C7 +signal GPIO[61] B7 +signal GPIO[62] E6 +signal GPIO[63] D6 +signal GPIO[64] E5 +signal GPIO[65] A6 +signal GPIO[66] C5 +signal GPIO[67] A5 +signal GPIO[68] D5 +signal GPIO[69] A4 +signal GPIO[70] A3 +signal GPIO[71] A2 +signal GPIO[72] C3 +signal GPIO[73] B3 +signal GPIO[74] E8 +signal GPIO[75] D8 +signal GPIO[76] B8 +signal GPIO[77] A8 +signal GPIO[78] P9 +signal GPIO[79] T9 +signal GPIO[80] R13 +signal MA[0] G1 +signal MA[1] H2 +signal MA[2] H1 +signal MA[3] H6 +signal MA[4] J6 +signal MA[5] J5 +signal MA[6] J3 +signal MA[7] J1 +signal MA[8] K1 +signal MA[9] K2 +signal MA[10] K5 +signal MA[11] K6 +signal MA[12] L1 +signal MA[13] L3 +signal MA[14] M1 +signal MA[15] M3 +signal MA[16] N3 +signal MA[17] P1 +signal MA[18] R1 +signal MA[19] P2 +signal MA[20] R3 +signal MA[21] T4 +signal MA[22] R5 +signal MA[23] P5 +signal MA[24] T5 +signal MA[25] P4 +signal MD[0] N4 +signal MD[1] M5 +signal MD[2] L5 +signal MD[3] T6 +signal MD[4] N6 +signal MD[5] T7 +signal MD[6] M6 +signal MD[7] M7 +signal MD[8] M9 +signal MD[9] T10 +signal MD[10] R9 +signal MD[11] T11 +signal MD[12] P11 +signal MD[13] N10 +signal MD[14] T12 +signal MD[15] M10 +signal MD[16] H3 +signal MD[17] H5 +signal MD[18] J4 +signal MD[19] K3 +signal MD[20] L4 +signal MD[21] M2 +signal MD[22] N1 +signal MD[23] T3 +signal MD[24] P6 +signal MD[25] R7 +signal MD[26] P7 +signal MD[27] P8 +signal MD[28] L8 +signal MD[29] P10 +signal MD[30] R11 +signal MD[31] P12 +signal MMCMD D14 +signal MMDAT B16 +signal nOE G5 +signal PEXTAL K16 +signal PLL_VCC J15 +signal PLL_VSS J16 +signal PWR_EN L11 +signal PXTAL K15 +signal RDnWR D3 +signal nRESET J13 +signal nRESET_OUT K11 +signal SCL D11 +signal SDA A11 +signal nSDCAS F3 +signal SDCKE[0] E4 +signal SDCKE[1] E3 +signal SDCLK[0] D2 +signal SDCLK[1] F5 +signal SDCLK[2] D1 +signal nSDCS[0] F1 +signal nSDCS[1] G6 +signal nSDCS[2] G3 +signal nSDCS[3] F2 +signal nSDRAS E1 +signal TCK H12 +signal TDI H15 +signal TDO H16 +signal TEST G12 +signal TESTCLK G11 +signal TEXTAL L15 +signal TXTAL L16 +signal TMS H13 +signal nTRST H11 +signal USB_N B12 +signal USB_P C12 +signal VCC F11 G7 G9 H10 J7 K8 K10 L6 L9 +signal VCCN A1 D4 F4 H4 K4 M4 M14 N5 N7 N9 N11 N13 P3 T2 T16 +signal VCCQ C6 C10 C13 D15 E14 G14 M11 +signal nVDD_FAULT K13 +signal VSS C16 H8 H9 J8 J9 T1 +signal VSSN C2 E2 G2 J2 L2 M15 N2 P15 R2 R4 R6 R8 R10 R12 R14 +signal VSSQ C4 C8 C11 C14 D16 E15 E16 F6 F15 F16 G8 G10 H7 J10 J14 K7 K9 L14 +signal nWE G4 + +# mandatory data registers +register BSR 385 # Boundary Scan Register (see [3]) +register BR 1 # Bypass Register +# optional data registers +register DIR 32 # Device Identification Register +# user defined registers +register DBG_SR 36 # see 10.10 in [2] +register LDIC_SR1 33 # see 10.13.2 in [2] + +# see 9.3.1 in [2] +instruction length 5 +# mandatory instructions +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 00001 BSR +instruction BYPASS 11111 BR +# optional instructions +instruction CLAMP 00100 BR +instruction HIGHZ 01000 BR +instruction IDCODE 11110 DIR +# user-defined instructions +instruction DBGRX 00010 DBG_SR +instruction LDIC 00111 LDIC_SR1 +instruction SELDCSR 01001 DBG_SR # see 10.10.1 in [2] +instruction DBGTX 10000 DBG_SR + +# see [3] +bit 384 I ? nRESET +bit 383 I ? BOOT_SEL[2] +bit 382 I ? BOOT_SEL[1] +bit 381 I ? BOOT_SEL[0] +bit 380 I ? nBATT_FAULT +bit 379 I ? nVDD_FAULT +bit 378 I ? TESTCLK +bit 377 I ? TEST +bit 376 X ? . +bit 375 I ? MD[31] +bit 374 I ? MD[30] +bit 373 I ? MD[29] +bit 372 I ? MD[28] +bit 371 I ? MD[27] +bit 370 I ? MD[26] +bit 369 I ? MD[25] +bit 368 I ? MD[24] +bit 367 I ? MD[23] +bit 366 I ? MD[22] +bit 365 I ? MD[21] +bit 364 I ? MD[20] +bit 363 I ? MD[19] +bit 362 I ? MD[18] +bit 361 I ? MD[17] +bit 360 I ? MD[16] +bit 359 I ? MD[15] +bit 358 I ? MD[14] +bit 357 I ? MD[13] +bit 356 I ? MD[12] +bit 355 I ? MD[11] +bit 354 I ? MD[10] +bit 353 I ? MD[9] +bit 352 I ? MD[8] +bit 351 I ? MD[7] +bit 350 I ? MD[6] +bit 349 I ? MD[5] +bit 348 I ? MD[4] +bit 347 I ? MD[3] +bit 346 I ? MD[2] +bit 345 I ? MD[1] +bit 344 I ? MD[0] +bit 343 I ? MMCMD +bit 342 I ? MMDAT +bit 341 I ? USB_P +bit 340 I ? USB_N +bit 339 I ? SDA +bit 338 I ? SCL +bit 337 I ? GPIO[80] +bit 336 I ? GPIO[79] +bit 335 I ? GPIO[78] +bit 334 I ? GPIO[77] +bit 333 I ? GPIO[76] +bit 332 I ? GPIO[75] +bit 331 I ? GPIO[74] +bit 330 I ? GPIO[73] +bit 329 I ? GPIO[72] +bit 328 I ? GPIO[71] +bit 327 I ? GPIO[70] +bit 326 I ? GPIO[69] +bit 325 I ? GPIO[68] +bit 324 I ? GPIO[67] +bit 323 I ? GPIO[66] +bit 322 I ? GPIO[65] +bit 321 I ? GPIO[64] +bit 320 I ? GPIO[63] +bit 319 I ? GPIO[62] +bit 318 I ? GPIO[61] +bit 317 I ? GPIO[60] +bit 316 I ? GPIO[59] +bit 315 I ? GPIO[58] +bit 314 I ? GPIO[57] +bit 313 I ? GPIO[56] +bit 312 I ? GPIO[55] +bit 311 I ? GPIO[54] +bit 310 I ? GPIO[53] +bit 309 I ? GPIO[52] +bit 308 I ? GPIO[51] +bit 307 I ? GPIO[50] +bit 306 I ? GPIO[49] +bit 305 I ? GPIO[48] +bit 304 I ? GPIO[47] +bit 303 I ? GPIO[46] +bit 302 I ? GPIO[45] +bit 301 I ? GPIO[44] +bit 300 I ? GPIO[43] +bit 299 I ? GPIO[42] +bit 298 I ? GPIO[41] +bit 297 I ? GPIO[40] +bit 296 I ? GPIO[39] +bit 295 I ? GPIO[38] +bit 294 I ? GPIO[37] +bit 293 I ? GPIO[36] +bit 292 I ? GPIO[35] +bit 291 I ? GPIO[34] +bit 290 I ? GPIO[33] +bit 289 I ? GPIO[32] +bit 288 I ? GPIO[31] +bit 287 I ? GPIO[30] +bit 286 I ? GPIO[29] +bit 285 I ? GPIO[28] +bit 284 I ? GPIO[27] +bit 283 I ? GPIO[26] +bit 282 I ? GPIO[25] +bit 281 I ? GPIO[24] +bit 280 I ? GPIO[23] +bit 279 I ? GPIO[22] +bit 278 I ? GPIO[21] +bit 277 I ? GPIO[20] +bit 276 I ? GPIO[19] +bit 275 I ? GPIO[18] +bit 274 I ? GPIO[17] +bit 273 I ? GPIO[16] +bit 272 I ? GPIO[15] +bit 271 I ? GPIO[14] +bit 270 I ? GPIO[13] +bit 269 I ? GPIO[12] +bit 268 I ? GPIO[11] +bit 267 I ? GPIO[10] +bit 266 I ? GPIO[9] +bit 265 I ? GPIO[8] +bit 264 I ? GPIO[7] +bit 263 I ? GPIO[6] +bit 262 I ? GPIO[5] +bit 261 I ? GPIO[4] +bit 260 I ? GPIO[3] +bit 259 I ? GPIO[2] +bit 258 I ? GPIO[1] +bit 257 I ? GPIO[0] +bit 256 O ? MA[25] 208 0 Z +bit 255 O ? MA[24] 208 0 Z +bit 254 O ? MA[23] 208 0 Z +bit 253 O ? MA[22] 208 0 Z +bit 252 O ? MA[21] 208 0 Z +bit 251 O ? MA[20] 208 0 Z +bit 250 O ? MA[19] 208 0 Z +bit 249 O ? MA[18] 208 0 Z +bit 248 O ? MA[17] 208 0 Z +bit 247 O ? MA[16] 208 0 Z +bit 246 O ? MA[15] 208 0 Z +bit 245 O ? MA[14] 208 0 Z +bit 244 O ? MA[13] 208 0 Z +bit 243 O ? MA[12] 208 0 Z +bit 242 O ? MA[11] 208 0 Z +bit 241 O ? MA[10] 208 0 Z +bit 240 O ? MA[9] 208 0 Z +bit 239 O ? MA[8] 208 0 Z +bit 238 O ? MA[7] 208 0 Z +bit 237 O ? MA[6] 208 0 Z +bit 236 O ? MA[5] 208 0 Z +bit 235 O ? MA[4] 208 0 Z +bit 234 O ? MA[3] 208 0 Z +bit 233 O ? MA[2] 208 0 Z +bit 232 O ? MA[1] 208 0 Z +bit 231 O ? MA[0] 208 0 Z +bit 230 O ? nCS[0] 206 0 Z +bit 229 O ? nOE 207 0 Z +bit 228 O ? nWE 207 0 Z +bit 227 O ? nSDRAS 208 0 Z +bit 226 O ? nSDCAS 208 0 Z +bit 225 O ? DQM[3] 205 0 Z +bit 224 O ? DQM[2] 205 0 Z +bit 223 O ? DQM[1] 208 0 Z +bit 222 O ? DQM[0] 208 0 Z +bit 221 O ? nSDCS[3] +bit 220 O ? nSDCS[2] +bit 219 O ? nSDCS[1] +bit 218 O ? nSDCS[0] 208 0 Z +bit 217 O ? SDCKE[1] +bit 216 O ? SDCKE[0] +bit 215 O ? SDCLK[2] +bit 214 O ? SDCLK[1] 208 0 Z +bit 213 O ? SDCLK[0] +bit 212 O ? RDnWR 205 0 Z +bit 211 O ? nACRESET +bit 210 O ? nRESET_OUT +bit 209 O ? PWR_EN +bit 208 C ? . +bit 207 C ? . +bit 206 C ? . +bit 205 C ? . +bit 204 O ? MD[31] 84 0 Z +bit 203 O ? MD[30] 84 0 Z +bit 202 O ? MD[29] 84 0 Z +bit 201 O ? MD[28] 84 0 Z +bit 200 O ? MD[27] 84 0 Z +bit 199 O ? MD[26] 84 0 Z +bit 198 O ? MD[25] 84 0 Z +bit 197 O ? MD[24] 84 0 Z +bit 196 O ? MD[23] 84 0 Z +bit 195 O ? MD[22] 84 0 Z +bit 194 O ? MD[21] 84 0 Z +bit 193 O ? MD[20] 84 0 Z +bit 192 O ? MD[19] 84 0 Z +bit 191 O ? MD[18] 84 0 Z +bit 190 O ? MD[17] 84 0 Z +bit 189 O ? MD[16] 84 0 Z +bit 188 O ? MD[15] 85 0 Z +bit 187 O ? MD[14] 85 0 Z +bit 186 O ? MD[13] 85 0 Z +bit 185 O ? MD[12] 85 0 Z +bit 184 O ? MD[11] 85 0 Z +bit 183 O ? MD[10] 85 0 Z +bit 182 O ? MD[9] 85 0 Z +bit 181 O ? MD[8] 85 0 Z +bit 180 O ? MD[7] 85 0 Z +bit 179 O ? MD[6] 85 0 Z +bit 178 O ? MD[5] 85 0 Z +bit 177 O ? MD[4] 85 0 Z +bit 176 O ? MD[3] 85 0 Z +bit 175 O ? MD[2] 85 0 Z +bit 174 O ? MD[1] 85 0 Z +bit 173 O ? MD[0] 85 0 Z +bit 172 O ? MMCMD 83 0 Z +bit 171 O ? MMDAT 82 0 Z +bit 170 O ? USB_P 81 0 Z +bit 169 O ? USB_N 81 0 Z +bit 168 O ? SDA # FIXME: see WEAK1 in [3] +bit 167 O ? SCL # FIXME: see WEAK1 in [3] +bit 166 O ? GPIO[80] 80 0 Z +bit 165 O ? GPIO[79] 79 0 Z +bit 164 O ? GPIO[78] 78 0 Z +bit 163 O ? GPIO[77] 77 0 Z +bit 162 O ? GPIO[76] 76 0 Z +bit 161 O ? GPIO[75] 75 0 Z +bit 160 O ? GPIO[74] 74 0 Z +bit 159 O ? GPIO[73] 73 0 Z +bit 158 O ? GPIO[72] 72 0 Z +bit 157 O ? GPIO[71] 71 0 Z +bit 156 O ? GPIO[70] 70 0 Z +bit 155 O ? GPIO[69] 69 0 Z +bit 154 O ? GPIO[68] 68 0 Z +bit 153 O ? GPIO[67] 67 0 Z +bit 152 O ? GPIO[66] 66 0 Z +bit 151 O ? GPIO[65] 65 0 Z +bit 150 O ? GPIO[64] 64 0 Z +bit 149 O ? GPIO[63] 63 0 Z +bit 148 O ? GPIO[62] 62 0 Z +bit 147 O ? GPIO[61] 61 0 Z +bit 146 O ? GPIO[60] 60 0 Z +bit 145 O ? GPIO[59] 59 0 Z +bit 144 O ? GPIO[58] 58 0 Z +bit 143 O ? GPIO[57] 57 0 Z +bit 142 O ? GPIO[56] 56 0 Z +bit 141 O ? GPIO[55] 55 0 Z +bit 140 O ? GPIO[54] 54 0 Z +bit 139 O ? GPIO[53] 53 0 Z +bit 138 O ? GPIO[52] 52 0 Z +bit 137 O ? GPIO[51] 51 0 Z +bit 136 O ? GPIO[50] 50 0 Z +bit 135 O ? GPIO[49] 49 0 Z +bit 134 O ? GPIO[48] 48 0 Z +bit 133 O ? GPIO[47] 47 0 Z +bit 132 O ? GPIO[46] 46 0 Z +bit 131 O ? GPIO[45] 45 0 Z +bit 130 O ? GPIO[44] 44 0 Z +bit 129 O ? GPIO[43] 43 0 Z +bit 128 O ? GPIO[42] 42 0 Z +bit 127 O ? GPIO[41] 41 0 Z +bit 126 O ? GPIO[40] 40 0 Z +bit 125 O ? GPIO[39] 39 0 Z +bit 124 O ? GPIO[38] 38 0 Z +bit 123 O ? GPIO[37] 37 0 Z +bit 122 O ? GPIO[36] 36 0 Z +bit 121 O ? GPIO[35] 35 0 Z +bit 120 O ? GPIO[34] 34 0 Z +bit 119 O ? GPIO[33] 33 0 Z +bit 118 O ? GPIO[32] 32 0 Z +bit 117 O ? GPIO[31] 31 0 Z +bit 116 O ? GPIO[30] 30 0 Z +bit 115 O ? GPIO[29] 29 0 Z +bit 114 O ? GPIO[28] 28 0 Z +bit 113 O ? GPIO[27] 27 0 Z +bit 112 O ? GPIO[26] 26 0 Z +bit 111 O ? GPIO[25] 25 0 Z +bit 110 O ? GPIO[24] 24 0 Z +bit 109 O ? GPIO[23] 23 0 Z +bit 108 O ? GPIO[22] 22 0 Z +bit 107 O ? GPIO[21] 21 0 Z +bit 106 O ? GPIO[20] 20 0 Z +bit 105 O ? GPIO[19] 19 0 Z +bit 104 O ? GPIO[18] 18 0 Z +bit 103 O ? GPIO[17] 17 0 Z +bit 102 O ? GPIO[16] 16 0 Z +bit 101 O ? GPIO[15] 15 0 Z +bit 100 O ? GPIO[14] 14 0 Z +bit 99 O ? GPIO[13] 13 0 Z +bit 98 O ? GPIO[12] 12 0 Z +bit 97 O ? GPIO[11] 11 0 Z +bit 96 O ? GPIO[10] 10 0 Z +bit 95 O ? GPIO[9] 9 0 Z +bit 94 O ? GPIO[8] 8 0 Z +bit 93 O ? GPIO[7] 7 0 Z +bit 92 O ? GPIO[6] 6 0 Z +bit 91 O ? GPIO[5] 5 0 Z +bit 90 O ? GPIO[4] 4 0 Z +bit 89 O ? GPIO[3] 3 0 Z +bit 88 O ? GPIO[2] 2 0 Z +bit 87 O ? GPIO[1] 1 0 Z +bit 86 O ? GPIO[0] 0 0 Z +bit 85 C ? . +bit 84 C ? . +bit 83 C ? . +bit 82 C ? . +bit 81 C ? . +bit 80 C ? . +bit 79 C ? . +bit 78 C ? . +bit 77 C ? . +bit 76 C ? . +bit 75 C ? . +bit 74 C ? . +bit 73 C ? . +bit 72 C ? . +bit 71 C ? . +bit 70 C ? . +bit 69 C ? . +bit 68 C ? . +bit 67 C ? . +bit 66 C ? . +bit 65 C ? . +bit 64 C ? . +bit 63 C ? . +bit 62 C ? . +bit 61 C ? . +bit 60 C ? . +bit 59 C ? . +bit 58 C ? . +bit 57 C ? . +bit 56 C ? . +bit 55 C ? . +bit 54 C ? . +bit 53 C ? . +bit 52 C ? . +bit 51 C ? . +bit 50 C ? . +bit 49 C ? . +bit 48 C ? . +bit 47 C ? . +bit 46 C ? . +bit 45 C ? . +bit 44 C ? . +bit 43 C ? . +bit 42 C ? . +bit 41 C ? . +bit 40 C ? . +bit 39 C ? . +bit 38 C ? . +bit 37 C ? . +bit 36 C ? . +bit 35 C ? . +bit 34 C ? . +bit 33 C ? . +bit 32 C ? . +bit 31 C ? . +bit 30 C ? . +bit 29 C ? . +bit 28 C ? . +bit 27 C ? . +bit 26 C ? . +bit 25 C ? . +bit 24 C ? . +bit 23 C ? . +bit 22 C ? . +bit 21 C ? . +bit 20 C ? . +bit 19 C ? . +bit 18 C ? . +bit 17 C ? . +bit 16 C ? . +bit 15 C ? . +bit 14 C ? . +bit 13 C ? . +bit 12 C ? . +bit 11 C ? . +bit 10 C ? . +bit 9 C ? . +bit 8 C ? . +bit 7 C ? . +bit 6 C ? . +bit 5 C ? . +bit 4 C ? . +bit 3 C ? . +bit 2 C ? . +bit 1 C ? . +bit 0 C ? . + +initbus pxa2x0 diff --git a/sie_fs/usr/local/share/urjtag/intel/pxa250/pxa250c0 b/sie_fs/usr/local/share/urjtag/intel/pxa250/pxa250c0 new file mode 100644 index 0000000..da61aaf --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/pxa250/pxa250c0 @@ -0,0 +1,669 @@ +# +# $Id: pxa250c0 558 2003-09-05 21:09:14Z telka $ +# +# JTAG declarations for PXA250C0 +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Intel Corporation, "Intel PXA250 and PXA210 Application Processors +# Design Guide", February 2002, Order Number: 278523-001 +# [2] Intel Corporation, "Intel XScale Microarchitecture for the PXA250 +# and PXA210 Application Processors User's Manual", February 2002, +# Order Number: 278525-001 +# [3] Intel Corporation, "BSDL Description for Top-Level Entity pxa26x_jtag_B0 Revision: C0 v1_6", +# http://developer.intel.com/design/pca/applicationsprocessors/bsdl/PXA26x_bsdl_B0_v1_6.bsdl +# [4] Intel Corporation, "Intel PXA250 and PXA210 Application Processors +# Specification Update", January 2003, Order Number: 278534-011 +# [5] Intel Corporation, "Intel PXA26x Processor Family Developer's Manual", +# October 2002, Order Number: 278638-001 +# + +# see Table 1-4 in [1] and D29. in [4] +signal nACRESET D10 +signal nBATT_FAULT K12 +signal BOOT_SEL[0] G16 +signal BOOT_SEL[1] G13 +signal BOOT_SEL[2] F13 +signal nCS[0] N8 +signal DQM[0] M8 +signal DQM[1] B1 +signal DQM[2] B2 +signal DQM[3] L7 +signal GPIO[0] L10 +signal GPIO[1] L12 +signal GPIO[2] L13 +signal GPIO[3] K14 +signal GPIO[4] J12 +signal GPIO[5] J11 +signal GPIO[6] H14 +signal GPIO[7] G15 +signal GPIO[8] F14 +signal GPIO[9] F12 +signal GPIO[10] F7 +signal GPIO[11] A7 +signal GPIO[12] B6 +signal GPIO[13] B5 +signal GPIO[14] B4 +signal GPIO[15] T8 +signal GPIO[16] E12 +signal GPIO[17] D12 +signal GPIO[18] C1 +signal GPIO[19] N14 +signal GPIO[20] N12 +signal GPIO[21] N15 +signal GPIO[22] M12 +signal GPIO[23] F9 +signal GPIO[24] E9 +signal GPIO[25] D9 +signal GPIO[26] A9 +signal GPIO[27] B9 +signal GPIO[28] C9 +signal GPIO[29] E10 +signal GPIO[30] A10 +signal GPIO[31] E11 +signal GPIO[32] A16 +signal GPIO[33] T13 +signal GPIO[34] A13 +signal GPIO[35] A14 +signal GPIO[36] A12 +signal GPIO[37] B11 +signal GPIO[38] B10 +signal GPIO[39] E13 +signal GPIO[40] F10 +signal GPIO[41] F8 +signal GPIO[42] B13 +signal GPIO[43] D13 +signal GPIO[44] A15 +signal GPIO[45] B14 +signal GPIO[46] B15 +signal GPIO[47] C15 +signal GPIO[48] P13 +signal GPIO[49] T14 +signal GPIO[50] T15 +signal GPIO[51] R15 +signal GPIO[52] P14 +signal GPIO[53] R16 +signal GPIO[54] P16 +signal GPIO[55] M13 +signal GPIO[56] N16 +signal GPIO[57] M16 +signal GPIO[58] E7 +signal GPIO[59] D7 +signal GPIO[60] C7 +signal GPIO[61] B7 +signal GPIO[62] E6 +signal GPIO[63] D6 +signal GPIO[64] E5 +signal GPIO[65] A6 +signal GPIO[66] C5 +signal GPIO[67] A5 +signal GPIO[68] D5 +signal GPIO[69] A4 +signal GPIO[70] A3 +signal GPIO[71] A2 +signal GPIO[72] C3 +signal GPIO[73] B3 +signal GPIO[74] E8 +signal GPIO[75] D8 +signal GPIO[76] B8 +signal GPIO[77] A8 +signal GPIO[78] P9 +signal GPIO[79] T9 +signal GPIO[80] R13 +signal MA[0] G1 +signal MA[1] H2 +signal MA[2] H1 +signal MA[3] H6 +signal MA[4] J6 +signal MA[5] J5 +signal MA[6] J3 +signal MA[7] J1 +signal MA[8] K1 +signal MA[9] K2 +signal MA[10] K5 +signal MA[11] K6 +signal MA[12] L1 +signal MA[13] L3 +signal MA[14] M1 +signal MA[15] M3 +signal MA[16] N3 +signal MA[17] P1 +signal MA[18] R1 +signal MA[19] P2 +signal MA[20] R3 +signal MA[21] T4 +signal MA[22] R5 +signal MA[23] P5 +signal MA[24] T5 +signal MA[25] P4 +signal MD[0] N4 +signal MD[1] M5 +signal MD[2] L5 +signal MD[3] T6 +signal MD[4] N6 +signal MD[5] T7 +signal MD[6] M6 +signal MD[7] M7 +signal MD[8] M9 +signal MD[9] T10 +signal MD[10] R9 +signal MD[11] T11 +signal MD[12] P11 +signal MD[13] N10 +signal MD[14] T12 +signal MD[15] M10 +signal MD[16] H3 +signal MD[17] H5 +signal MD[18] J4 +signal MD[19] K3 +signal MD[20] L4 +signal MD[21] M2 +signal MD[22] N1 +signal MD[23] T3 +signal MD[24] P6 +signal MD[25] R7 +signal MD[26] P7 +signal MD[27] P8 +signal MD[28] L8 +signal MD[29] P10 +signal MD[30] R11 +signal MD[31] P12 +signal MMCMD D14 +signal MMDAT B16 +signal nOE G5 +signal PEXTAL K16 +signal PLL_VCC J15 +signal PLL_VSS J16 +signal PWR_EN L11 +signal PXTAL K15 +signal RDnWR D3 +signal nRESET J13 +signal nRESET_OUT K11 +signal SCL D11 +signal SDA A11 +signal nSDCAS F3 +signal SDCKE[0] E4 +signal SDCKE[1] E3 +signal SDCLK[0] D2 +signal SDCLK[1] F5 +signal SDCLK[2] D1 +signal nSDCS[0] F1 +signal nSDCS[1] G6 +signal nSDCS[2] G3 +signal nSDCS[3] F2 +signal nSDRAS E1 +signal TCK H12 +signal TDI H15 +signal TDO H16 +signal TEST G12 +signal TESTCLK G11 +signal TEXTAL L15 +signal TXTAL L16 +signal TMS H13 +signal nTRST H11 +signal USB_N B12 +signal USB_P C12 +signal VCC F11 G7 G9 H10 J7 K8 K10 L6 L9 +signal VCCN A1 D4 F4 H4 K4 M4 M14 N5 N7 N9 N11 N13 P3 T2 T16 +signal VCCQ C6 C10 C13 D15 E14 G14 M11 +signal nVDD_FAULT K13 +signal VSS C16 H8 H9 J8 J9 T1 +signal VSSN C2 E2 G2 J2 L2 M15 N2 P15 R2 R4 R6 R8 R10 R12 R14 +signal VSSQ C4 C8 C11 C14 D16 E15 E16 F6 F15 F16 G8 G10 H7 J10 J14 K7 K9 L14 +signal nWE G4 + +# mandatory data registers +register BSR 410 # Boundary Scan Register (see [3]) +register BR 1 # Bypass Register +# optional data registers +register DIR 32 # Device Identification Register +# user defined registers +register DBG_SR 36 # see 10.10 in [2] +register LDIC_SR1 33 # see 10.13.2 in [2] + +# see 9.3.1 in [2] +instruction length 5 +# mandatory instructions +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 00001 BSR +instruction BYPASS 11111 BR +# optional instructions +instruction CLAMP 00100 BR +instruction HIGHZ 01000 BR +instruction IDCODE 11110 DIR +# user-defined instructions +instruction DBGRX 00010 DBG_SR +instruction LDIC 00111 LDIC_SR1 +instruction SELDCSR 01001 DBG_SR # see 10.10.1 in [2] +instruction DBGTX 10000 DBG_SR + +# see [3] +bit 409 I ? nRESET +bit 408 I ? BOOT_SEL[2] +bit 407 I ? BOOT_SEL[1] +bit 406 I ? BOOT_SEL[0] +bit 405 I ? nBATT_FAULT +bit 404 I ? nVDD_FAULT +bit 403 I ? TESTCLK +bit 402 I ? TEST +bit 401 X ? . +bit 400 I ? MD[31] +bit 399 I ? MD[30] +bit 398 I ? MD[29] +bit 397 I ? MD[28] +bit 396 I ? MD[27] +bit 395 I ? MD[26] +bit 394 I ? MD[25] +bit 393 I ? MD[24] +bit 392 I ? MD[23] +bit 391 I ? MD[22] +bit 390 I ? MD[21] +bit 389 I ? MD[20] +bit 388 I ? MD[19] +bit 387 I ? MD[18] +bit 386 I ? MD[17] +bit 385 I ? MD[16] +bit 384 I ? MD[15] +bit 383 I ? MD[14] +bit 382 I ? MD[13] +bit 381 I ? MD[12] +bit 380 I ? MD[11] +bit 379 I ? MD[10] +bit 378 I ? MD[9] +bit 377 I ? MD[8] +bit 376 I ? MD[7] +bit 375 I ? MD[6] +bit 374 I ? MD[5] +bit 373 I ? MD[4] +bit 372 I ? MD[3] +bit 371 I ? MD[2] +bit 370 I ? MD[1] +bit 369 I ? MD[0] +bit 368 I ? MMCMD +bit 367 I ? MMDAT +bit 366 I ? USB_P +bit 365 I ? USB_N +bit 364 I ? SDA +bit 363 I ? SCL +bit 362 I ? nACRESET # see Table 2-7. in [5] +bit 361 I ? RDnWR # see Table 2-7. in [5] +bit 360 I ? nSDCS[3] # see Table 2-7. in [5] +bit 359 I ? nSDCS[2] # see Table 2-7. in [5] +bit 358 I ? GPIO[85] +bit 357 I ? GPIO[84] +bit 356 I ? GPIO[83] +bit 355 I ? GPIO[82] +bit 354 I ? GPIO[81] +bit 353 I ? GPIO[80] +bit 352 I ? GPIO[79] +bit 351 I ? GPIO[78] +bit 350 I ? GPIO[77] +bit 349 I ? GPIO[76] +bit 348 I ? GPIO[75] +bit 347 I ? GPIO[74] +bit 346 I ? GPIO[73] +bit 345 I ? GPIO[72] +bit 344 I ? GPIO[71] +bit 343 I ? GPIO[70] +bit 342 I ? GPIO[69] +bit 341 I ? GPIO[68] +bit 340 I ? GPIO[67] +bit 339 I ? GPIO[66] +bit 338 I ? GPIO[65] +bit 337 I ? GPIO[64] +bit 336 I ? GPIO[63] +bit 335 I ? GPIO[62] +bit 334 I ? GPIO[61] +bit 333 I ? GPIO[60] +bit 332 I ? GPIO[59] +bit 331 I ? GPIO[58] +bit 330 I ? GPIO[57] +bit 329 I ? GPIO[56] +bit 328 I ? GPIO[55] +bit 327 I ? GPIO[54] +bit 326 I ? GPIO[53] +bit 325 I ? GPIO[52] +bit 324 I ? GPIO[51] +bit 323 I ? GPIO[50] +bit 322 I ? GPIO[49] +bit 321 I ? GPIO[48] +bit 320 I ? GPIO[47] +bit 319 I ? GPIO[46] +bit 318 I ? GPIO[45] +bit 317 I ? GPIO[44] +bit 316 I ? GPIO[43] +bit 315 I ? GPIO[42] +bit 314 I ? GPIO[41] +bit 313 I ? GPIO[40] +bit 312 I ? GPIO[39] +bit 311 I ? GPIO[38] +bit 310 I ? GPIO[37] +bit 309 I ? GPIO[36] +bit 308 I ? GPIO[35] +bit 307 I ? GPIO[34] +bit 306 I ? GPIO[33] +bit 305 I ? GPIO[32] +bit 304 I ? GPIO[31] +bit 303 I ? GPIO[30] +bit 302 I ? GPIO[29] +bit 301 I ? GPIO[28] +bit 300 I ? GPIO[27] +bit 299 I ? GPIO[26] +bit 298 I ? GPIO[25] +bit 297 I ? GPIO[24] +bit 296 I ? GPIO[23] +bit 295 I ? GPIO[22] +bit 294 I ? GPIO[21] +bit 293 I ? GPIO[20] +bit 292 I ? GPIO[19] +bit 291 I ? GPIO[18] +bit 290 I ? GPIO[17] +bit 289 I ? GPIO[16] +bit 288 I ? GPIO[15] +bit 287 I ? GPIO[14] +bit 286 I ? GPIO[13] +bit 285 I ? GPIO[12] +bit 284 I ? GPIO[11] +bit 283 I ? GPIO[10] +bit 282 I ? GPIO[9] +bit 281 I ? GPIO[8] +bit 280 I ? GPIO[7] +bit 279 I ? GPIO[6] +bit 278 I ? GPIO[5] +bit 277 I ? GPIO[4] +bit 276 I ? GPIO[3] +bit 275 I ? GPIO[2] +bit 274 I ? GPIO[1] +bit 273 I ? GPIO[0] +bit 272 O ? MA[25] 226 0 Z +bit 271 O ? MA[24] 226 0 Z +bit 270 O ? MA[23] 226 0 Z +bit 269 O ? MA[22] 226 0 Z +bit 268 O ? MA[21] 226 0 Z +bit 267 O ? MA[20] 226 0 Z +bit 266 O ? MA[19] 226 0 Z +bit 265 O ? MA[18] 226 0 Z +bit 264 O ? MA[17] 226 0 Z +bit 263 O ? MA[16] 226 0 Z +bit 262 O ? MA[15] 226 0 Z +bit 261 O ? MA[14] 226 0 Z +bit 260 O ? MA[13] 226 0 Z +bit 259 O ? MA[12] 226 0 Z +bit 258 O ? MA[11] 226 0 Z +bit 257 O ? MA[10] 226 0 Z +bit 256 O ? MA[9] 226 0 Z +bit 255 O ? MA[8] 226 0 Z +bit 254 O ? MA[7] 226 0 Z +bit 253 O ? MA[6] 226 0 Z +bit 252 O ? MA[5] 226 0 Z +bit 251 O ? MA[4] 226 0 Z +bit 250 O ? MA[3] 226 0 Z +bit 249 O ? MA[2] 226 0 Z +bit 248 O ? MA[1] 226 0 Z +bit 247 O ? MA[0] 226 0 Z +bit 246 O ? nCS[0] 224 0 Z +bit 245 O ? nOE 225 0 Z +bit 244 O ? nWE 225 0 Z +bit 243 O ? nSDRAS 226 0 Z +bit 242 O ? nSDCAS 226 0 Z +bit 241 O ? DQM[3] 223 0 Z +bit 240 O ? DQM[2] 223 0 Z +bit 239 O ? DQM[1] 226 0 Z +bit 238 O ? DQM[0] 226 0 Z +bit 237 C ? . +bit 236 C ? . +bit 235 O ? nSDCS[1] +bit 234 O ? nSDCS[0] 226 0 Z +bit 233 O ? SDCKE[1] +bit 232 O ? SDCKE[0] +bit 231 O ? SDCLK[2] +bit 230 O ? SDCLK[1] 226 0 Z +bit 229 O ? SDCLK[0] +bit 228 O ? nRESET_OUT +bit 227 O ? PWR_EN +bit 226 C ? . +bit 225 C ? . +bit 224 C ? . +bit 223 C ? . +bit 222 O ? MD[31] 93 0 Z +bit 221 O ? MD[30] 93 0 Z +bit 220 O ? MD[29] 93 0 Z +bit 219 O ? MD[28] 93 0 Z +bit 218 O ? MD[27] 93 0 Z +bit 217 O ? MD[26] 93 0 Z +bit 216 O ? MD[25] 93 0 Z +bit 215 O ? MD[24] 93 0 Z +bit 214 O ? MD[23] 93 0 Z +bit 213 O ? MD[22] 93 0 Z +bit 212 O ? MD[21] 93 0 Z +bit 211 O ? MD[20] 93 0 Z +bit 210 O ? MD[19] 93 0 Z +bit 209 O ? MD[18] 93 0 Z +bit 208 O ? MD[17] 93 0 Z +bit 207 O ? MD[16] 93 0 Z +bit 206 O ? MD[15] 94 0 Z +bit 205 O ? MD[14] 94 0 Z +bit 204 O ? MD[13] 94 0 Z +bit 203 O ? MD[12] 94 0 Z +bit 202 O ? MD[11] 94 0 Z +bit 201 O ? MD[10] 94 0 Z +bit 200 O ? MD[9] 94 0 Z +bit 199 O ? MD[8] 94 0 Z +bit 198 O ? MD[7] 94 0 Z +bit 197 O ? MD[6] 94 0 Z +bit 196 O ? MD[5] 94 0 Z +bit 195 O ? MD[4] 94 0 Z +bit 194 O ? MD[3] 94 0 Z +bit 193 O ? MD[2] 94 0 Z +bit 192 O ? MD[1] 94 0 Z +bit 191 O ? MD[0] 94 0 Z +bit 190 O ? MMCMD 92 0 Z +bit 189 O ? MMDAT 91 0 Z +bit 188 O ? USB_P 90 0 Z +bit 187 O ? USB_N 90 0 Z +bit 186 O ? SDA 237 0 Z +bit 185 O ? SCL 236 0 Z +bit 184 O ? nACRESET 89 0 Z # see Table 2-7. in [5] +bit 183 O ? RDnWR 88 0 Z # see Table 2-7. in [5] +bit 182 O ? nSDCS[3] 87 0 Z # see Table 2-7. in [5] +bit 181 O ? nSDCS[2] 86 0 Z # see Table 2-7. in [5] +bit 180 O ? GPIO[85] 85 0 Z +bit 179 O ? GPIO[84] 84 0 Z +bit 178 O ? GPIO[83] 83 0 Z +bit 177 O ? GPIO[82] 82 0 Z +bit 176 O ? GPIO[81] 81 0 Z +bit 175 O ? GPIO[80] 80 0 Z +bit 174 O ? GPIO[79] 79 0 Z +bit 173 O ? GPIO[78] 78 0 Z +bit 172 O ? GPIO[77] 77 0 Z +bit 171 O ? GPIO[76] 76 0 Z +bit 170 O ? GPIO[75] 75 0 Z +bit 169 O ? GPIO[74] 74 0 Z +bit 168 O ? GPIO[73] 73 0 Z +bit 167 O ? GPIO[72] 72 0 Z +bit 166 O ? GPIO[71] 71 0 Z +bit 165 O ? GPIO[70] 70 0 Z +bit 164 O ? GPIO[69] 69 0 Z +bit 163 O ? GPIO[68] 68 0 Z +bit 162 O ? GPIO[67] 67 0 Z +bit 161 O ? GPIO[66] 66 0 Z +bit 160 O ? GPIO[65] 65 0 Z +bit 159 O ? GPIO[64] 64 0 Z +bit 158 O ? GPIO[63] 63 0 Z +bit 157 O ? GPIO[62] 62 0 Z +bit 156 O ? GPIO[61] 61 0 Z +bit 155 O ? GPIO[60] 60 0 Z +bit 154 O ? GPIO[59] 59 0 Z +bit 153 O ? GPIO[58] 58 0 Z +bit 152 O ? GPIO[57] 57 0 Z +bit 151 O ? GPIO[56] 56 0 Z +bit 150 O ? GPIO[55] 55 0 Z +bit 149 O ? GPIO[54] 54 0 Z +bit 148 O ? GPIO[53] 53 0 Z +bit 147 O ? GPIO[52] 52 0 Z +bit 146 O ? GPIO[51] 51 0 Z +bit 145 O ? GPIO[50] 50 0 Z +bit 144 O ? GPIO[49] 49 0 Z +bit 143 O ? GPIO[48] 48 0 Z +bit 142 O ? GPIO[47] 47 0 Z +bit 141 O ? GPIO[46] 46 0 Z +bit 140 O ? GPIO[45] 45 0 Z +bit 139 O ? GPIO[44] 44 0 Z +bit 138 O ? GPIO[43] 43 0 Z +bit 137 O ? GPIO[42] 42 0 Z +bit 136 O ? GPIO[41] 41 0 Z +bit 135 O ? GPIO[40] 40 0 Z +bit 134 O ? GPIO[39] 39 0 Z +bit 133 O ? GPIO[38] 38 0 Z +bit 132 O ? GPIO[37] 37 0 Z +bit 131 O ? GPIO[36] 36 0 Z +bit 130 O ? GPIO[35] 35 0 Z +bit 129 O ? GPIO[34] 34 0 Z +bit 128 O ? GPIO[33] 33 0 Z +bit 127 O ? GPIO[32] 32 0 Z +bit 126 O ? GPIO[31] 31 0 Z +bit 125 O ? GPIO[30] 30 0 Z +bit 124 O ? GPIO[29] 29 0 Z +bit 123 O ? GPIO[28] 28 0 Z +bit 122 O ? GPIO[27] 27 0 Z +bit 121 O ? GPIO[26] 26 0 Z +bit 120 O ? GPIO[25] 25 0 Z +bit 119 O ? GPIO[24] 24 0 Z +bit 118 O ? GPIO[23] 23 0 Z +bit 117 O ? GPIO[22] 22 0 Z +bit 116 O ? GPIO[21] 21 0 Z +bit 115 O ? GPIO[20] 20 0 Z +bit 114 O ? GPIO[19] 19 0 Z +bit 113 O ? GPIO[18] 18 0 Z +bit 112 O ? GPIO[17] 17 0 Z +bit 111 O ? GPIO[16] 16 0 Z +bit 110 O ? GPIO[15] 15 0 Z +bit 109 O ? GPIO[14] 14 0 Z +bit 108 O ? GPIO[13] 13 0 Z +bit 107 O ? GPIO[12] 12 0 Z +bit 106 O ? GPIO[11] 11 0 Z +bit 105 O ? GPIO[10] 10 0 Z +bit 104 O ? GPIO[9] 9 0 Z +bit 103 O ? GPIO[8] 8 0 Z +bit 102 O ? GPIO[7] 7 0 Z +bit 101 O ? GPIO[6] 6 0 Z +bit 100 O ? GPIO[5] 5 0 Z +bit 99 O ? GPIO[4] 4 0 Z +bit 98 O ? GPIO[3] 3 0 Z +bit 97 O ? GPIO[2] 2 0 Z +bit 96 O ? GPIO[1] 1 0 Z +bit 95 O ? GPIO[0] 0 0 Z +bit 94 C ? . +bit 93 C ? . +bit 92 C ? . +bit 91 C ? . +bit 90 C ? . +bit 89 C ? . +bit 88 C ? . +bit 87 C ? . +bit 86 C ? . +bit 85 C ? . +bit 84 C ? . +bit 83 C ? . +bit 82 C ? . +bit 81 C ? . +bit 80 C ? . +bit 79 C ? . +bit 78 C ? . +bit 77 C ? . +bit 76 C ? . +bit 75 C ? . +bit 74 C ? . +bit 73 C ? . +bit 72 C ? . +bit 71 C ? . +bit 70 C ? . +bit 69 C ? . +bit 68 C ? . +bit 67 C ? . +bit 66 C ? . +bit 65 C ? . +bit 64 C ? . +bit 63 C ? . +bit 62 C ? . +bit 61 C ? . +bit 60 C ? . +bit 59 C ? . +bit 58 C ? . +bit 57 C ? . +bit 56 C ? . +bit 55 C ? . +bit 54 C ? . +bit 53 C ? . +bit 52 C ? . +bit 51 C ? . +bit 50 C ? . +bit 49 C ? . +bit 48 C ? . +bit 47 C ? . +bit 46 C ? . +bit 45 C ? . +bit 44 C ? . +bit 43 C ? . +bit 42 C ? . +bit 41 C ? . +bit 40 C ? . +bit 39 C ? . +bit 38 C ? . +bit 37 C ? . +bit 36 C ? . +bit 35 C ? . +bit 34 C ? . +bit 33 C ? . +bit 32 C ? . +bit 31 C ? . +bit 30 C ? . +bit 29 C ? . +bit 28 C ? . +bit 27 C ? . +bit 26 C ? . +bit 25 C ? . +bit 24 C ? . +bit 23 C ? . +bit 22 C ? . +bit 21 C ? . +bit 20 C ? . +bit 19 C ? . +bit 18 C ? . +bit 17 C ? . +bit 16 C ? . +bit 15 C ? . +bit 14 C ? . +bit 13 C ? . +bit 12 C ? . +bit 11 C ? . +bit 10 C ? . +bit 9 C ? . +bit 8 C ? . +bit 7 C ? . +bit 6 C ? . +bit 5 C ? . +bit 4 C ? . +bit 3 C ? . +bit 2 C ? . +bit 1 C ? . +bit 0 C ? . + +initbus pxa2x0 diff --git a/sie_fs/usr/local/share/urjtag/intel/pxa270/STEPPINGS b/sie_fs/usr/local/share/urjtag/intel/pxa270/STEPPINGS new file mode 100644 index 0000000..6c63c9b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/pxa270/STEPPINGS @@ -0,0 +1,36 @@ +# +# $Id: STEPPINGS,v 1.7 2003/03/18 22:54:45 telka Exp $ +# +# Copyright (C) 2004 BEC Systems +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Cliff Brake +# +# Documentation: +# [1] Intel Corporation, "Intel PXA270 Developers Manual" +# February 2003, Order Number: 278534-012 +# + +# bits 31-28 of the Device Identification Register +# see D55. in [1] +0000 pxa270 A0 +0001 pxa270 A1 +0010 pxa270 B0 +0011 pxa270 B1 +0100 pxa270 C0 +0111 pxa270 C5 + diff --git a/sie_fs/usr/local/share/urjtag/intel/pxa270/pxa270 b/sie_fs/usr/local/share/urjtag/intel/pxa270/pxa270 new file mode 100644 index 0000000..7256e64 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/pxa270/pxa270 @@ -0,0 +1,802 @@ +# +# $Id: pxa250c0,v 1.4 2003/09/05 21:09:11 telka Exp $ +# +# JTAG declarations for PXA250C0 +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# 2005-01-03: Cliff Brake +# - Modified for PXA270 (from PXA255) +# +# Documentation: +# [1] Intel Corporation, "Jflash source code", +# +# [2] Intel Corporation, "Intel PAX270 Processor Electrical, Mechanical, +# and Thermal Specification", December 2004, Order Number: 280002-004 + +# signals -- see "bulbcx.dat" file in [1] and Table 4-1 in [2] + +signal nBATT_FAULT AB24 +signal BOOT_SEL AB23 +signal CLK_REQ W24 +signal nCS[0] B3 +signal DQM[0] AB9 +signal DQM[1] AB10 +signal DQM[2] AC9 +signal DQM[3] AC10 +signal GPIO[0] V22 +signal GPIO[1] Y24 +signal SYS_EN AA24 # dedicated +signal GPIO[3] W21 +signal GPIO[4] W23 +signal PWR_CAP[0] AB21 +signal PWR_CAP[1] AD22 +signal PWR_CAP[2] AC22 +signal PWR_CAP[3] AA20 +signal GPIO[9] U22 +signal GPIO[10] V23 +signal GPIO[11] C11 +signal GPIO[12] B10 +signal GPIO[13] C10 +signal GPIO[14] T24 +signal GPIO[15] A3 +signal GPIO[16] A18 +signal GPIO[17] C16 +signal GPIO[18] B9 +signal GPIO[19] R22 +signal GPIO[20] AB6 +signal GPIO[21] AD5 +signal GPIO[22] D13 +signal GPIO[23] B16 +signal GPIO[24] A17 +signal GPIO[25] D16 +signal GPIO[26] B15 +signal GPIO[27] C15 +signal GPIO[28] A14 +signal GPIO[29] B13 +signal GPIO[30] C13 +signal GPIO[31] C12 +signal GPIO[32] A20 +signal GPIO[33] B6 +signal GPIO[34] A21 +signal GPIO[35] B19 +signal GPIO[36] C14 +signal GPIO[37] A15 +signal GPIO[38] B14 +signal GPIO[39] D19 +signal GPIO[40] D14 +signal GPIO[41] C18 +signal GPIO[42] C21 +signal GPIO[43] C22 +signal GPIO[44] B20 +signal GPIO[45] C19 +signal GPIO[46] B11 +signal GPIO[47] A11 +signal GPIO[48] AC13 +signal GPIO[49] A10 +signal GPIO[50] AB13 +signal GPIO[51] AD13 +signal GPIO[52] AC14 +signal GPIO[53] AB14 +signal GPIO[54] AA14 +signal GPIO[55] AA10 +signal GPIO[56] AB11 +signal GPIO[57] AC11 +signal GPIO[58] G24 +signal GPIO[59] G22 +signal GPIO[60] G23 +signal GPIO[61] H24 +signal GPIO[62] H22 +signal GPIO[63] H23 +signal GPIO[64] J22 +signal GPIO[65] K24 +signal GPIO[66] K22 +signal GPIO[67] K23 +signal GPIO[68] L21 +signal GPIO[69] L23 +signal GPIO[70] M24 +signal GPIO[71] L22 +signal GPIO[72] N24 +signal GPIO[73] M22 +signal GPIO[74] R23 +signal GPIO[75] P23 +signal GPIO[76] P22 +signal GPIO[77] R21 +signal GPIO[78] B7 +signal GPIO[79] C8 +signal GPIO[80] C7 +signal GPIO[81] AB12 +signal GPIO[82] AD9 +signal GPIO[83] AD10 +signal GPIO[84] AA11 +signal GPIO[85] AC12 +signal GPIO[86] N22 +signal GPIO[87] N23 +signal GPIO[88] C23 +signal GPIO[89] D22 +signal GPIO[90] F22 +signal GPIO[91] F23 +signal GPIO[92] A19 +signal GPIO[93] AB19 +signal GPIO[94] AD19 +signal GPIO[95] AA18 +signal GPIO[96] AC19 +signal GPIO[97] AA17 +signal GPIO[98] AD18 +signal GPIO[99] AB18 +signal GPIO[100] AC18 +signal GPIO[101] AC17 +signal GPIO[102] AB17 +signal GPIO[103] AC16 +signal GPIO[104] AD15 +signal GPIO[105] AB16 +signal GPIO[106] AB15 +signal GPIO[107] AC15 +signal GPIO[108] AD14 +signal GPIO[109] D17 +signal GPIO[110] B17 +signal GPIO[111] C17 +signal GPIO[112] B18 +signal GPIO[113] A13 +signal GPIO[114] D24 +signal GPIO[115] E21 +signal GPIO[116] C24 +signal GPIO[117] D20 +signal GPIO[118] A22 +signal MA[0] C6 +signal MA[1] A6 +signal MA[2] K4 +signal MA[3] J1 +signal MA[4] K2 +signal MA[5] K3 +signal MA[6] J2 +signal MA[7] J3 +signal MA[8] G1 +signal MA[9] H2 +signal MA[10] H3 +signal MA[11] G2 +signal MA[12] G3 +signal MA[13] E1 +signal MA[14] F2 +signal MA[15] G4 +signal MA[16] F3 +signal MA[17] D1 +signal MA[18] C1 +signal MA[19] E3 +signal MA[20] E4 +signal MA[21] D2 +signal MA[22] C2 +signal MA[23] D4 +signal MA[24] C4 +signal MA[25] D6 +signal MD[0] AB4 +signal MD[1] AB1 +signal MD[2] AA3 +signal MD[3] Y3 +signal MD[4] Y2 +signal MD[5] U4 +signal MD[6] V3 +signal MD[7] U1 +signal MD[8] T3 +signal MD[9] R4 +signal MD[10] P4 +signal MD[11] P2 +signal MD[12] N3 +signal MD[13] M4 +signal MD[14] L1 +signal MD[15] K1 +signal MD[16] AA4 +signal MD[17] AB3 +signal MD[18] AA1 +signal MD[19] Y1 +signal MD[20] W1 +signal MD[21] V1 +signal MD[22] V2 +signal MD[23] T1 +signal MD[24] R1 +signal MD[25] R3 +signal MD[26] P3 +signal MD[27] N1 +signal MD[28] N2 +signal MD[29] M3 +signal MD[30] M2 +signal MD[31] L2 +signal nOE AC5 +signal PWR_EN Y23 +signal PWR_OUT AB22 +signal PXTAL_IN AC21 +signal PXTAL_OUT AD21 +signal nRESET Y22 +signal nRESET_OUT Y21 +signal RDnWR C9 +signal nSDCAS AA6 +signal nSDCS[0] AB7 +signal nSDCS[1] AB8 +signal SDCKE[1] AD6 +signal SDCLK[0] AC4 +signal SDCLK[1] AD7 +signal SDCLK[2] AD3 +signal nSDRAS AC7 +signal TEST U24 +signal TESTCLK T23 +signal TXTAL_IN AA22 +signal TXTAL_OUT AA23 +signal TDI U23 +signal TMS T21 +signal TCK T22 +signal TDO V24 +signal nTRST U21 +signal UIO E23 +signal USBC_N C20 +signal USBC_P B22 +signal USBH_N_0 D23 +signal USBH_P_0 E22 +signal VCC_BATT AB20 +signal VCC_BB AD12 +signal VCC_CORE AD11 T2 AD4 W3 B21 M23 R24 AD16 F24 L24 J23 D3 A7 B12 +signal VCC_IO AD17 A12 A16 +signal VCC_LCD J24 P24 +signal VCC_MEM W2 AC6 A4 B8 AC8 AA2 U2 AD8 F1 H1 M1 AD1 AC1 AC2 AD2 L3 E2 C3 P1 +signal VCC_PLL AC20 +signal VCC_RAM B4 A5 A8 A9 +signal VCC_USB A23 A24 B23 B24 +signal VCC_USIM E24 +signal VSS_BB AA13 +signal VSS_CORE AA12 W4 D8 D12 D21 G21 K21 P21 AA7 U3 M21 AA15 D10 J21 A1 B1 A2 B2 D7 B5 +signal VSS_IO D11 D15 D18 F21 H21 N21 AA19 AA16 +signal VSS_MEM Y4 C5 R2 N4 D9 AA9 AA8 AA5 V4 T4 L4 AB2 AC3 J4 H4 F4 D5 +signal VSS_PAD V21 AD23 AC23 AD24 AC24 AA21 +signal VSS_PLL AD20 +signal nVDD_FAULT W22 +signal nWE AB5 + +# mandatory data registers +register BSR 504 # Boundary Scan Register (see [3]) +register BR 1 # Bypass Register +# optional data registers +register DIR 32 # Device Identification Register +# user defined registers +#register DBG_SR 36 # see 10.10 in [2] +#register LDIC_SR1 33 # see 10.13.2 in [2] + +# see 9.3.1 in [2] +instruction length 7 +# mandatory instructions +instruction EXTEST 0000000 BSR +instruction SAMPLE/PRELOAD 0000001 BSR +instruction BYPASS 1111111 BR +# optional instructions +instruction CLAMP 0000100 BR +instruction HIGHZ 0001000 BR +instruction IDCODE 1111110 DIR +# user-defined instructions +#instruction DBGRX 00010 DBG_SR +#instruction LDIC 00111 LDIC_SR1 +#instruction SELDCSR 01001 DBG_SR # see 10.10.1 in [2] +#instruction DBGTX 10000 DBG_SR + +#======================================================================== + +bit 503 O 0 * +bit 502 I 1 USBH_P_0 +bit 501 O 0 * +bit 500 I 1 USBH_N_0 +bit 499 I 1 USBC_P +bit 498 I 1 USBC_N +bit 497 I 1 UIO +bit 496 I 1 TESTCLK +bit 495 I 1 TEST +bit 494 I 1 NVDD_FAULT +bit 493 I 1 NRESET +bit 492 I 1 NBATT_FAULT +bit 491 I 1 MD[0] +bit 490 I 1 MD[1] +bit 489 I 1 MD[2] +bit 488 I 1 MD[3] +bit 487 I 1 MD[4] +bit 486 I 1 MD[5] +bit 485 I 1 MD[6] +bit 484 I 1 MD[7] +bit 483 I 1 MD[8] +bit 482 I 1 MD[9] +bit 481 I 1 MD[10] +bit 480 I 1 MD[11] +bit 479 I 1 MD[12] +bit 478 I 1 MD[13] +bit 477 I 1 MD[14] +bit 476 I 1 MD[15] +bit 475 I 1 MD[16] +bit 474 I 1 MD[17] +bit 473 I 1 MD[18] +bit 472 I 1 MD[19] +bit 471 I 1 MD[20] +bit 470 I 1 MD[21] +bit 469 I 1 MD[22] +bit 468 I 1 MD[23] +bit 467 I 1 MD[24] +bit 466 I 1 MD[25] +bit 465 I 1 MD[26] +bit 464 I 1 MD[27] +bit 463 I 1 MD[28] +bit 462 I 1 MD[29] +bit 461 I 1 MD[30] +bit 460 I 1 MD[31] +bit 459 I 1 GPIO[0] +bit 458 I 1 GPIO[1] +bit 457 I 1 GPIO[2] +bit 456 I 1 GPIO[3] +bit 455 I 1 GPIO[4] +bit 454 I 1 GPIO[5] +bit 453 I 1 GPIO[6] +bit 452 I 1 GPIO[7] +bit 451 I 1 GPIO[8] +bit 450 I 1 GPIO[9] +bit 449 I 1 GPIO[10] +bit 448 I 1 GPIO[11] +bit 447 I 1 GPIO[12] +bit 446 I 1 GPIO[13] +bit 445 I 1 GPIO[14] +bit 444 I 1 GPIO[15] +bit 443 I 1 GPIO[16] +bit 442 I 1 GPIO[17] +bit 441 I 1 GPIO[18] +bit 440 I 1 GPIO[19] +bit 439 I 1 GPIO[20] +bit 438 I 1 GPIO[21] +bit 437 I 1 GPIO[22] +bit 436 I 1 GPIO[23] +bit 435 I 1 GPIO[24] +bit 434 I 1 GPIO[25] +bit 433 I 1 GPIO[26] +bit 432 I 1 GPIO[27] +bit 431 I 1 GPIO[28] +bit 430 I 1 GPIO[29] +bit 429 I 1 GPIO[30] +bit 428 I 1 GPIO[31] +bit 427 I 1 GPIO[32] +bit 426 I 1 GPIO[33] +bit 425 I 1 GPIO[34] +bit 424 I 1 GPIO[35] +bit 423 I 1 GPIO[36] +bit 422 I 1 GPIO[37] +bit 421 I 1 GPIO[38] +bit 420 I 1 GPIO[39] +bit 419 I 1 GPIO[40] +bit 418 I 1 GPIO[41] +bit 417 I 1 GPIO[42] +bit 416 I 1 GPIO[43] +bit 415 I 1 GPIO[44] +bit 414 I 1 GPIO[45] +bit 413 I 1 GPIO[46] +bit 412 I 1 GPIO[47] +bit 411 I 1 GPIO[48] +bit 410 I 1 GPIO[49] +bit 409 I 1 GPIO[50] +bit 408 I 1 GPIO[51] +bit 407 I 1 GPIO[52] +bit 406 I 1 GPIO[53] +bit 405 I 1 GPIO[54] +bit 404 I 1 GPIO[55] +bit 403 I 1 GPIO[56] +bit 402 I 1 GPIO[57] +bit 401 I 1 GPIO[58] +bit 400 I 1 GPIO[59] +bit 399 I 1 GPIO[60] +bit 398 I 1 GPIO[61] +bit 397 I 1 GPIO[62] +bit 396 I 1 GPIO[63] +bit 395 I 1 GPIO[64] +bit 394 I 1 GPIO[65] +bit 393 I 1 GPIO[66] +bit 392 I 1 GPIO[67] +bit 391 I 1 GPIO[68] +bit 390 I 1 GPIO[69] +bit 389 I 1 GPIO[70] +bit 388 I 1 GPIO[71] +bit 387 I 1 GPIO[72] +bit 386 I 1 GPIO[73] +bit 385 I 1 GPIO[74] +bit 384 I 1 GPIO[75] +bit 383 I 1 GPIO[76] +bit 382 I 1 GPIO[77] +bit 381 I 1 GPIO[78] +bit 380 I 1 GPIO[79] +bit 379 I 1 GPIO[80] +bit 378 I 1 GPIO[81] +bit 377 I 1 GPIO[82] +bit 376 I 1 GPIO[83] +bit 375 I 1 GPIO[84] +bit 374 I 1 GPIO[85] +bit 373 I 1 GPIO[86] +bit 372 I 1 GPIO[87] +bit 371 I 1 GPIO[88] +bit 370 I 1 GPIO[89] +bit 369 I 1 GPIO[90] +bit 368 I 1 GPIO[91] +bit 367 I 1 GPIO[92] +bit 366 I 1 GPIO[93] +bit 365 I 1 GPIO[94] +bit 364 I 1 GPIO[95] +bit 363 I 1 GPIO[96] +bit 362 I 1 GPIO[97] +bit 361 I 1 GPIO[98] +bit 360 I 1 GPIO[99] +bit 359 I 1 GPIO[100] +bit 358 I 1 GPIO[101] +bit 357 I 1 GPIO[102] +bit 356 I 1 GPIO[103] +bit 355 I 1 GPIO[104] +bit 354 I 1 GPIO[105] +bit 353 I 1 GPIO[106] +bit 352 I 1 GPIO[107] +bit 351 I 1 GPIO[108] +bit 350 I 1 GPIO[109] +bit 349 I 1 GPIO[110] +bit 348 I 1 GPIO[111] +bit 347 I 1 GPIO[112] +bit 346 I 1 GPIO[113] +bit 345 I 1 GPIO[114] +bit 344 I 1 GPIO[115] +bit 343 I 1 GPIO[116] +bit 342 I 1 GPIO[117] +bit 341 I 1 GPIO[118] +bit 340 O 0 * +bit 339 O 0 * +bit 338 I 1 CLK_REQ +bit 337 I 1 BOOT_SEL +bit 336 O 0 * +bit 335 O 1 USBH_P_0 331 1 Z +bit 334 O 0 * +bit 333 O 1 USBH_N_0 331 1 Z +bit 332 O 1 * +bit 331 C 1 * +bit 330 O 1 USBC_P 328 0 Z +bit 329 O 1 USBC_N 328 0 Z +bit 328 C 0 * +bit 327 C 0 * +bit 326 O 1 UIO 327 0 Z +bit 325 C 0 * +bit 324 O 1 SDCLK[2] 75 0 Z +bit 323 O 1 SDCLK[1] 69 0 Z +bit 322 O 1 SDCKE[1] 75 0 Z +bit 321 O 1 nSDRAS 69 0 Z +bit 320 O 1 nSDCS[1] 75 0 Z +bit 319 O 1 nSDCS[0] 69 0 Z +bit 318 O 1 GPIO[0] 197 0 Z +bit 317 O 1 GPIO[1] 196 0 Z +bit 316 O 1 GPIO[2] 195 0 Z +bit 315 O 1 GPIO[3] 194 0 Z +bit 314 O 1 GPIO[4] 193 0 Z +bit 313 O 1 GPIO[5] 192 0 Z +bit 312 O 1 GPIO[6] 191 0 Z +bit 311 O 1 GPIO[7] 190 0 Z +bit 310 O 1 GPIO[8] 189 0 Z +bit 309 O 1 GPIO[9] 188 0 Z +bit 308 O 1 GPIO[10] 187 0 Z +bit 307 O 1 GPIO[11] 186 0 Z +bit 306 O 1 GPIO[12] 185 0 Z +bit 305 O 1 GPIO[13] 184 0 Z +bit 304 O 1 GPIO[14] 183 0 Z +bit 303 O 1 GPIO[15] 182 0 Z +bit 302 O 1 GPIO[16] 181 0 Z +bit 301 O 1 GPIO[17] 180 0 Z +bit 300 O 1 GPIO[18] 179 0 Z +bit 299 O 1 GPIO[19] 178 0 Z +bit 298 O 1 GPIO[20] 177 0 Z +bit 297 O 1 GPIO[21] 176 0 Z +bit 296 O 1 GPIO[22] 175 0 Z +bit 295 O 1 GPIO[23] 174 0 Z +bit 294 O 1 GPIO[24] 173 0 Z +bit 293 O 1 GPIO[25] 172 0 Z +bit 292 O 1 GPIO[26] 171 0 Z +bit 291 O 1 GPIO[27] 170 0 Z +bit 290 O 1 GPIO[28] 169 0 Z +bit 289 O 1 GPIO[29] 168 0 Z +bit 288 O 1 GPIO[30] 167 0 Z +bit 287 O 1 GPIO[31] 166 0 Z +bit 286 O 1 GPIO[32] 165 0 Z +bit 285 O 1 GPIO[33] 164 0 Z +bit 284 O 1 GPIO[34] 163 0 Z +bit 283 O 1 GPIO[35] 162 0 Z +bit 282 O 1 GPIO[36] 161 0 Z +bit 281 O 1 GPIO[37] 160 0 Z +bit 280 O 1 GPIO[38] 159 0 Z +bit 279 O 1 GPIO[39] 158 0 Z +bit 278 O 1 GPIO[40] 157 0 Z +bit 277 O 1 GPIO[41] 156 0 Z +bit 276 O 1 GPIO[42] 155 0 Z +bit 275 O 1 GPIO[43] 154 0 Z +bit 274 O 1 GPIO[44] 153 0 Z +bit 273 O 1 GPIO[45] 152 0 Z +bit 272 O 1 GPIO[46] 151 0 Z +bit 271 O 1 GPIO[47] 150 0 Z +bit 270 O 1 GPIO[48] 149 0 Z +bit 269 O 1 GPIO[49] 148 0 Z +bit 268 O 1 GPIO[50] 147 0 Z +bit 267 O 1 GPIO[51] 146 0 Z +bit 266 O 1 GPIO[52] 145 0 Z +bit 265 O 1 GPIO[53] 144 0 Z +bit 264 O 1 GPIO[54] 143 0 Z +bit 263 O 1 GPIO[55] 142 0 Z +bit 262 O 1 GPIO[56] 141 0 Z +bit 261 O 1 GPIO[57] 140 0 Z +bit 260 O 1 GPIO[58] 139 0 Z +bit 259 O 1 GPIO[59] 138 0 Z +bit 258 O 1 GPIO[60] 137 0 Z +bit 257 O 1 GPIO[61] 136 0 Z +bit 256 O 1 GPIO[62] 135 0 Z +bit 255 O 1 GPIO[63] 134 0 Z +bit 254 O 1 GPIO[64] 133 0 Z +bit 253 O 1 GPIO[65] 132 0 Z +bit 252 O 1 GPIO[66] 131 0 Z +bit 251 O 1 GPIO[67] 130 0 Z +bit 250 O 1 GPIO[68] 129 0 Z +bit 249 O 1 GPIO[69] 128 0 Z +bit 248 O 1 GPIO[70] 127 0 Z +bit 247 O 1 GPIO[71] 126 0 Z +bit 246 O 1 GPIO[72] 125 0 Z +bit 245 O 1 GPIO[73] 124 0 Z +bit 244 O 1 GPIO[74] 123 0 Z +bit 243 O 1 GPIO[75] 122 0 Z +bit 242 O 1 GPIO[76] 121 0 Z +bit 241 O 1 GPIO[77] 120 0 Z +bit 240 O 1 GPIO[78] 119 0 Z +bit 239 O 1 GPIO[79] 118 0 Z +bit 238 O 1 GPIO[80] 117 0 Z +bit 237 O 1 GPIO[81] 116 0 Z +bit 236 O 1 GPIO[82] 115 0 Z +bit 235 O 1 GPIO[83] 114 0 Z +bit 234 O 1 GPIO[84] 113 0 Z +bit 233 O 1 GPIO[85] 112 0 Z +bit 232 O 1 GPIO[86] 111 0 Z +bit 231 O 1 GPIO[87] 110 0 Z +bit 230 O 1 GPIO[88] 109 0 Z +bit 229 O 1 GPIO[89] 108 0 Z +bit 228 O 1 GPIO[90] 107 0 Z +bit 227 O 1 GPIO[91] 106 0 Z +bit 226 O 1 GPIO[92] 105 0 Z +bit 225 O 1 GPIO[93] 104 0 Z +bit 224 O 1 GPIO[94] 103 0 Z +bit 223 O 1 GPIO[95] 102 0 Z +bit 222 O 1 GPIO[96] 101 0 Z +bit 221 O 1 GPIO[97] 100 0 Z +bit 220 O 1 GPIO[98] 99 0 Z +bit 219 O 1 GPIO[99] 98 0 Z +bit 218 O 1 GPIO[100] 97 0 Z +bit 217 O 1 GPIO[101] 96 0 Z +bit 216 O 1 GPIO[102] 95 0 Z +bit 215 O 1 GPIO[103] 94 0 Z +bit 214 O 1 GPIO[104] 93 0 Z +bit 213 O 1 GPIO[105] 92 0 Z +bit 212 O 1 GPIO[106] 91 0 Z +bit 211 O 1 GPIO[107] 90 0 Z +bit 210 O 1 GPIO[108] 89 0 Z +bit 209 O 1 GPIO[109] 88 0 Z +bit 208 O 1 GPIO[110] 87 0 Z +bit 207 O 1 GPIO[111] 86 0 Z +bit 206 O 1 GPIO[112] 85 0 Z +bit 205 O 1 GPIO[113] 84 0 Z +bit 204 O 1 GPIO[114] 83 0 Z +bit 203 O 1 GPIO[115] 82 0 Z +bit 202 O 1 GPIO[116] 81 0 Z +bit 201 O 1 GPIO[117] 80 0 Z +bit 200 O 1 GPIO[118] 79 0 Z +bit 199 O 0 * +bit 198 O 0 * +bit 197 C 0 * +bit 196 C 0 * +bit 195 C 0 * +bit 194 C 0 * +bit 193 C 0 * +bit 192 C 0 * +bit 191 C 0 * +bit 190 C 0 * +bit 189 C 0 * +bit 188 C 0 * +bit 187 C 0 * +bit 186 C 0 * +bit 185 C 0 * +bit 184 C 0 * +bit 183 C 0 * +bit 182 C 0 * +bit 181 C 0 * +bit 180 C 0 * +bit 179 C 0 * +bit 178 C 0 * +bit 177 C 0 * +bit 176 C 0 * +bit 175 C 0 * +bit 174 C 0 * +bit 173 C 0 * +bit 172 C 0 * +bit 171 C 0 * +bit 170 C 0 * +bit 169 C 0 * +bit 168 C 0 * +bit 167 C 0 * +bit 166 C 0 * +bit 165 C 0 * +bit 164 C 0 * +bit 163 C 0 * +bit 162 C 0 * +bit 161 C 0 * +bit 160 C 0 * +bit 159 C 0 * +bit 158 C 0 * +bit 157 C 0 * +bit 156 C 0 * +bit 155 C 0 * +bit 154 C 0 * +bit 153 C 0 * +bit 152 C 0 * +bit 151 C 0 * +bit 150 C 0 * +bit 149 C 0 * +bit 148 C 0 * +bit 147 C 0 * +bit 146 C 0 * +bit 145 C 0 * +bit 144 C 0 * +bit 143 C 0 * +bit 142 C 0 * +bit 141 C 0 * +bit 140 C 0 * +bit 139 C 0 * +bit 138 C 0 * +bit 137 C 0 * +bit 136 C 0 * +bit 135 C 0 * +bit 134 C 0 * +bit 133 C 0 * +bit 132 C 0 * +bit 131 C 0 * +bit 130 C 0 * +bit 129 C 0 * +bit 128 C 0 * +bit 127 C 0 * +bit 126 C 0 * +bit 125 C 0 * +bit 124 C 0 * +bit 123 C 0 * +bit 122 C 0 * +bit 121 C 0 * +bit 120 C 0 * +bit 119 C 0 * +bit 118 C 0 * +bit 117 C 0 * +bit 116 C 0 * +bit 115 C 0 * +bit 114 C 0 * +bit 113 C 0 * +bit 112 C 0 * +bit 111 C 0 * +bit 110 C 0 * +bit 109 C 0 * +bit 108 C 0 * +bit 107 C 0 * +bit 106 C 0 * +bit 105 C 0 * +bit 104 C 0 * +bit 103 C 0 * +bit 102 C 0 * +bit 101 C 0 * +bit 100 C 0 * +bit 99 C 0 * +bit 98 C 0 * +bit 97 C 0 * +bit 96 C 0 * +bit 95 C 0 * +bit 94 C 0 * +bit 93 C 0 * +bit 92 C 0 * +bit 91 C 0 * +bit 90 C 0 * +bit 89 C 0 * +bit 88 C 0 * +bit 87 C 0 * +bit 86 C 0 * +bit 85 C 0 * +bit 84 C 0 * +bit 83 C 0 * +bit 82 C 0 * +bit 81 C 0 * +bit 80 C 0 * +bit 79 C 0 * +bit 78 O 0 * +bit 77 O 0 * +bit 76 O 1 CLK_REQ 325 0 Z +bit 75 C 0 * +bit 74 C 0 * +bit 73 C 0 * +bit 72 C 0 * +bit 71 C 0 * +bit 70 C 0 * +bit 69 C 0 * +bit 68 O 1 RDnWR 69 0 Z +bit 67 O 1 DQM[0] 69 0 Z +bit 66 O 1 DQM[1] 69 0 Z +bit 65 O 1 DQM[2] 70 0 Z +bit 64 O 1 DQM[3] 70 0 Z +bit 63 O 0 * +bit 62 O 1 SDCLK[0] 75 0 Z +bit 61 O 1 nCS[0] 74 0 Z +bit 60 O 1 nOE 74 0 Z +bit 59 O 1 nWE 73 0 Z +bit 58 O 1 nSDCAS 69 0 Z +bit 57 O 1 MD[0] 71 0 Z +bit 56 O 1 MD[1] 71 0 Z +bit 55 O 1 MD[2] 71 0 Z +bit 54 O 1 MD[3] 71 0 Z +bit 53 O 1 MD[4] 71 0 Z +bit 52 O 1 MD[5] 71 0 Z +bit 51 O 1 MD[6] 71 0 Z +bit 50 O 1 MD[7] 71 0 Z +bit 49 O 1 MD[8] 71 0 Z +bit 48 O 1 MD[9] 71 0 Z +bit 47 O 1 MD[10] 71 0 Z +bit 46 O 1 MD[11] 71 0 Z +bit 45 O 1 MD[12] 71 0 Z +bit 44 O 1 MD[13] 71 0 Z +bit 43 O 1 MD[14] 71 0 Z +bit 42 O 1 MD[15] 71 0 Z +bit 41 O 1 MD[16] 72 0 Z +bit 40 O 1 MD[17] 72 0 Z +bit 39 O 1 MD[18] 72 0 Z +bit 38 O 1 MD[19] 72 0 Z +bit 37 O 1 MD[20] 72 0 Z +bit 36 O 1 MD[21] 72 0 Z +bit 35 O 1 MD[22] 72 0 Z +bit 34 O 1 MD[23] 72 0 Z +bit 33 O 1 MD[24] 72 0 Z +bit 32 O 1 MD[25] 72 0 Z +bit 31 O 1 MD[26] 72 0 Z +bit 30 O 1 MD[27] 72 0 Z +bit 29 O 1 MD[28] 72 0 Z +bit 28 O 1 MD[29] 72 0 Z +bit 27 O 1 MD[30] 72 0 Z +bit 26 O 1 MD[31] 72 0 Z +bit 25 O 1 MA[0] 69 0 Z +bit 24 O 1 MA[1] 69 0 Z +bit 23 O 1 MA[2] 69 0 Z +bit 22 O 1 MA[3] 69 0 Z +bit 21 O 1 MA[4] 69 0 Z +bit 20 O 1 MA[5] 69 0 Z +bit 19 O 1 MA[6] 69 0 Z +bit 18 O 1 MA[7] 69 0 Z +bit 17 O 1 MA[8] 69 0 Z +bit 16 O 1 MA[9] 69 0 Z +bit 15 O 1 MA[10] 69 0 Z +bit 14 O 1 MA[11] 69 0 Z +bit 13 O 1 MA[12] 69 0 Z +bit 12 O 1 MA[13] 69 0 Z +bit 11 O 1 MA[14] 69 0 Z +bit 10 O 1 MA[15] 69 0 Z +bit 9 O 1 MA[16] 69 0 Z +bit 8 O 1 MA[17] 69 0 Z +bit 7 O 1 MA[18] 69 0 Z +bit 6 O 1 MA[19] 69 0 Z +bit 5 O 1 MA[20] 69 0 Z +bit 4 O 1 MA[21] 69 0 Z +bit 3 O 1 MA[22] 69 0 Z +bit 2 O 1 MA[23] 69 0 Z +bit 1 O 1 MA[24] 69 0 Z +bit 0 O 1 MA[25] 69 0 Z + +initbus pxa27x + diff --git a/sie_fs/usr/local/share/urjtag/intel/sa1110/STEPPINGS b/sie_fs/usr/local/share/urjtag/intel/sa1110/STEPPINGS new file mode 100644 index 0000000..79dae7b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/sa1110/STEPPINGS @@ -0,0 +1,35 @@ +# +# $Id: STEPPINGS 111 2002-08-26 20:08:09Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Intel Corporation, "Intel StrongARM SA-1110 Microprocessor +# Developer's Manual", October 2001, Order Number: 278240-004 +# + +# bits 31-28 of the Device Identification Register +# see 16.6.2 in [1] +0000 sa1110 A0 +0100 sa1110 B0 +0101 sa1110 B1 +0110 sa1110 B2 +1000 sa1110 B4 +1001 sa1110 B5 diff --git a/sie_fs/usr/local/share/urjtag/intel/sa1110/sa1110 b/sie_fs/usr/local/share/urjtag/intel/sa1110/sa1110 new file mode 100644 index 0000000..05abdcc --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/intel/sa1110/sa1110 @@ -0,0 +1,516 @@ +# +# $Id: sa1110 558 2003-09-05 21:09:14Z telka $ +# +# JTAG declarations for SA-1110 +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Intel Corporation, "Intel StrongARM SA-1110 Microprocessor +# Developer's Manual", October 2001, Order Number: 278240-004 +# [2] Intel Corporation, "Intel StrongARM SA-1110 Microprocessor +# Specification Update", December 2001, Order Number: 278259-023 +# + +# see Table 14-2 in [1] +signal A0 D12 +signal A1 C12 +signal A2 B12 +signal A3 A13 +signal A4 C13 +signal A5 B13 +signal A6 A14 +signal A7 A15 +signal A8 A16 +signal A9 B15 +signal A10 B14 +signal A11 C14 +signal A12 B16 +signal A13 D13 +signal A14 E13 +signal A15 C16 +signal A16 D15 +signal A17 E14 +signal A18 D16 +signal A19 E15 +signal A20 F14 +signal A21 E16 +signal A22 F15 +signal A23 F13 +signal A24 G13 +signal A25 F16 +signal BATT_FAULT A4 +signal nCAS0 J14 +signal nCAS1 J15 +signal nCAS2 K15 +signal nCAS3 K13 +signal nCS0 G14 +signal nCS1 G15 +signal nCS2 G16 +signal nCS3 H14 +signal nCS4 H15 +signal nCS5 H16 +signal D0 E4 +signal D1 F4 +signal D2 F2 +signal D3 G2 +signal D4 H1 +signal D5 J4 +signal D6 K1 +signal D7 L1 +signal D8 D2 +signal D9 E2 +signal D10 F1 +signal D11 H6 +signal D12 J6 +signal D13 J1 +signal D14 K4 +signal D15 L4 +signal D16 D1 +signal D17 E1 +signal D18 G4 +signal D19 G1 +signal D20 J2 +signal D21 K2 +signal D22 L3 +signal D23 M2 +signal D24 E3 +signal D25 F3 +signal D26 G3 +signal D27 H4 +signal D28 J3 +signal D29 K3 +signal D30 L2 +signal D31 M1 +signal GP0 T10 +signal GP1 P10 +signal GP2 R10 +signal GP3 N10 +signal GP4 T9 +signal GP5 P9 +signal GP6 R8 +signal GP7 N8 +signal GP8 P8 +signal GP9 T7 +signal GP10 P7 +signal GP11 T6 +signal GP12 R7 +signal GP13 R6 +signal GP14 P6 +signal GP15 N6 +signal GP16 T5 +signal GP17 R5 +signal GP18 P5 +signal GP19 T4 +signal GP20 R4 +signal GP21 T3 +signal GP22 R3 +signal GP23 T2 +signal GP24 P4 +signal GP25 R2 +signal GP26 T1 +signal GP27 R1 +signal nIOIS16 N13 +signal L_BIAS R11 +signal L_FCLK T14 +signal L_LCLK R14 +signal L_PCLK P11 +signal LDD0 N12 +signal LDD1 T11 +signal LDD2 R12 +signal LDD3 P12 +signal LDD4 T12 +signal LDD5 R13 +signal LDD6 T13 +signal LDD7 P13 +signal nOE M15 +signal nPCE1 M16 +signal nPCE2 N15 +signal PEXTAL A8 +signal nPIOR T16 +signal nPIOW R16 +signal nPOE R15 +signal nPREG N14 +signal PSKTSEL P16 +signal nPWAIT N16 +signal nPWE T16 +signal PWR_EN A3 +signal PXTAL B8 +signal nRAS0 K16 +signal nRAS1 L13 +signal nRAS2 L14 +signal nRAS3 L15 +signal RD_nWR J13 +signal RDY H13 +signal nRESET B7 +signal nRESET_OUT C7 +signal ROM_SEL D6 # typo in Table 14-2 in [1] ('ROMSEL' is bad pin name) +signal RXD_1 B11 +signal RXD_2 B10 +signal RXD_3 C10 +signal RXD_C B1 +signal SCLK_C A2 +signal nSDCAS L16 +signal SDCKE0 N1 +signal SDCKE1 N2 +signal SDCLK0 P1 +signal SDCLK1 N3 +signal SDCLK2 M3 +signal nSDRAS M14 +signal SFRM_C B3 +signal SMROM_EN M4 +signal TCK C5 +signal TCK_BYP A6 +signal TDI A5 +signal TDO B5 +signal TESTCLK B6 +signal TEXTAL C9 +signal TMS C6 +signal nTRST B4 +signal TXTAL B9 +signal TXD_1 A11 +signal TXD_2 D10 +signal TXD_3 A10 +signal TXD_C C2 +signal UDC- A12 +signal UDC+ C11 +signal VDD A7 C1 C15 H3 J16 P3 P15 T8 +signal VDD_FAULT C4 +signal VDDP C8 +signal VDDX1 D5 D9 D11 E6 E7 E8 E9 E10 E11 K10 K11 L10 L11 M6 M7 M8 M9 M10 M11 N7 N9 N11 +signal VDDX2 E12 F5 F12 G5 G12 H5 H12 J5 J12 K5 K12 L5 L12 M5 M12 N4 N5 +signal VDDX3 D7 +signal VSS A8 D3 D8 D14 H2 K14 P2 P14 R9 +signal VSSX A1 B2 C3 D4 E5 F6 F7 F8 F9 F10 F11 G6 G7 G8 G9 G10 G11 H7 H8 H9 H10 H11 J7 J8 J9 J10 J11 K6 K7 K8 K9 L6 L7 L8 L9 +signal nWE M13 + +# mandatory data registers +register BSR 292 # Boundary Scan Register (see Table 16-2 in [1]) +register BR 1 # Bypass Register +# optional data registers +register DIR 32 # Device Identification Register + +# see 16.5 in [1] +instruction length 5 + +# mandatory instructions +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 00001 BSR +instruction BYPASS 11111 BR + +# optional instructions +instruction CLAMP 00100 BR +instruction HIGHZ 00101 BR +instruction IDCODE 00110 DIR + +# see Table 16-2 in [1] +bit 291 I ? BATT_FAULT +bit 290 I ? VDD_FAULT +bit 289 O 1 PWR_EN +bit 288 C 0 SFRM_C +bit 287 O ? SFRM_C 288 0 Z +bit 286 I ? SFRM_C +bit 285 C 0 SCLK_C +bit 284 O ? SCLK_C 285 0 Z +bit 283 I ? SCLK_C +bit 282 C 0 RXD_C +bit 281 O ? RXD_C 282 0 Z +bit 280 I ? RXD_C +bit 279 C 0 TXD_C +bit 278 O ? TXD_C 279 0 Z +bit 277 I ? TXD_C +bit 276 O ? D0 212 1 Z +bit 275 I ? D0 +bit 274 O ? D8 212 1 Z +bit 273 I ? D8 +bit 272 O ? D16 212 1 Z +bit 271 I ? D16 +bit 270 O ? D24 212 1 Z +bit 269 I ? D24 +bit 268 O ? D1 212 1 Z +bit 267 I ? D1 +bit 266 O ? D9 212 1 Z +bit 265 I ? D9 +bit 264 O ? D17 212 1 Z +bit 263 I ? D17 +bit 262 O ? D25 212 1 Z +bit 261 I ? D25 +bit 260 O ? D2 212 1 Z +bit 259 I ? D2 +bit 258 O ? D10 212 1 Z +bit 257 I ? D10 +bit 256 O ? D18 212 1 Z +bit 255 I ? D18 +bit 254 O ? D26 212 1 Z +bit 253 I ? D26 +bit 252 O ? D3 212 1 Z +bit 251 I ? D3 +bit 250 O ? D11 212 1 Z +bit 249 I ? D11 +bit 248 O ? D19 212 1 Z +bit 247 I ? D19 +bit 246 O ? D27 212 1 Z +bit 245 I ? D27 +bit 244 O ? D4 212 1 Z +bit 243 I ? D4 +bit 242 O ? D12 212 1 Z +bit 241 I ? D12 +bit 240 O ? D20 212 1 Z +bit 239 I ? D20 +bit 238 O ? D28 212 1 Z +bit 237 I ? D28 +bit 236 O ? D5 212 1 Z +bit 235 I ? D5 +bit 234 O ? D13 212 1 Z +bit 233 I ? D13 +bit 232 O ? D21 212 1 Z +bit 231 I ? D21 +bit 230 O ? D29 212 1 Z +bit 229 I ? D29 +bit 228 O ? D6 212 1 Z +bit 227 I ? D6 +bit 226 O ? D14 212 1 Z +bit 225 I ? D14 +bit 224 O ? D22 212 1 Z +bit 223 I ? D22 +bit 222 O ? D30 212 1 Z +bit 221 I ? D30 +bit 220 O ? D7 212 1 Z +bit 219 I ? D7 +bit 218 O ? D15 212 1 Z +bit 217 I ? D15 +bit 216 O ? D23 212 1 Z +bit 215 I ? D23 +bit 214 O ? D31 212 1 Z +bit 213 I ? D31 +bit 212 C 1 D[31:0] +bit 211 O 0 SDCLK2 +bit 210 O 1 SDCKE1 +bit 209 C 1 SDCLK1 +bit 208 O ? SDCLK1 209 1 Z # error (bad name) in Table 16-2 in [1] +bit 207 O 0 SDCLK0 +bit 206 O 0 SDCKE0 +bit 205 I ? SMROM_EN +bit 204 C 0 GP27 +bit 203 O ? GP27 204 0 Z +bit 202 I ? GP27 +bit 201 C 0 GP26 +bit 200 O ? GP26 201 0 Z +bit 199 I ? GP26 +bit 198 C 0 GP25 +bit 197 O ? GP25 198 0 Z +bit 196 I ? GP25 +bit 195 C 0 GP24 +bit 194 O ? GP24 195 0 Z +bit 193 I ? GP24 +bit 192 C 0 GP23 +bit 191 O ? GP23 192 0 Z +bit 190 I ? GP23 +bit 189 C 0 GP22 +bit 188 O ? GP22 189 0 Z +bit 187 I ? GP22 +bit 186 C 0 GP21 +bit 185 O ? GP21 186 0 Z +bit 184 I ? GP21 +bit 183 C 0 GP20 +bit 182 O ? GP20 183 0 Z +bit 181 I ? GP20 +bit 180 C 0 GP19 +bit 179 O ? GP19 180 0 Z +bit 178 I ? GP19 +bit 177 C 0 GP18 +bit 176 O ? GP18 177 0 Z +bit 175 I ? GP18 +bit 174 C 0 GP17 +bit 173 O ? GP17 174 0 Z +bit 172 I ? GP17 +bit 171 C 0 GP16 +bit 170 O ? GP16 171 0 Z +bit 169 I ? GP16 +bit 168 C 0 GP15 +bit 167 O ? GP15 168 0 Z +bit 166 I ? GP15 +bit 165 C 0 GP14 +bit 164 O ? GP14 165 0 Z +bit 163 I ? GP14 +bit 162 C 0 GP13 +bit 161 O ? GP13 162 0 Z +bit 160 I ? GP13 +bit 159 C 0 GP12 +bit 158 O ? GP12 159 0 Z +bit 157 I ? GP12 +bit 156 C 0 GP11 +bit 155 O ? GP11 156 0 Z +bit 154 I ? GP11 +bit 153 C 0 GP10 +bit 152 O ? GP10 153 0 Z +bit 151 I ? GP10 +bit 150 C 0 GP9 +bit 149 O ? GP9 150 0 Z +bit 148 I ? GP9 +bit 147 C 0 GP8 +bit 146 O ? GP8 147 0 Z +bit 145 I ? GP8 +bit 144 C 0 GP7 +bit 143 O ? GP7 144 0 Z +bit 142 I ? GP7 +bit 141 C 0 GP6 +bit 140 O ? GP6 141 0 Z +bit 139 I ? GP6 +bit 138 C 0 GP5 +bit 137 O ? GP5 138 0 Z +bit 136 I ? GP5 +bit 135 C 0 GP4 +bit 134 O ? GP4 135 0 Z +bit 133 I ? GP4 +bit 132 C 0 GP3 +bit 131 O ? GP3 132 0 Z +bit 130 I ? GP3 +bit 129 C 0 GP2 +bit 128 O ? GP2 129 0 Z +bit 127 I ? GP2 +bit 126 C 0 GP1 +bit 125 O ? GP1 126 0 Z +bit 124 I ? GP1 +bit 123 C 0 GP0 +bit 122 O ? GP0 123 0 Z +bit 121 I ? GP0 +bit 120 C 0 L_BIAS +bit 119 O ? L_BIAS 120 0 Z +bit 118 I ? L_BIAS +bit 117 C 0 L_PCLK +bit 116 O ? L_PCLK 117 0 Z +bit 115 I ? L_PCLK +bit 114 C 0 LDD0 +bit 113 O ? LDD0 114 0 Z +bit 112 I ? LDD0 +bit 111 C 0 LDD1 +bit 110 O ? LDD1 111 0 Z +bit 109 I ? LDD1 +bit 108 C 0 LDD2 +bit 107 O ? LDD2 108 0 Z +bit 106 I ? LDD2 +bit 105 C 0 LDD3 +bit 104 O ? LDD3 105 0 Z +bit 103 I ? LDD3 +bit 102 C 0 LDD4 +bit 101 O ? LDD4 102 0 Z +bit 100 I ? LDD4 +bit 99 C 0 LDD5 +bit 98 O ? LDD5 99 0 Z +bit 97 I ? LDD5 +bit 96 C 0 LDD6 +bit 95 O ? LDD6 96 0 Z +bit 94 I ? LDD6 +bit 93 C 0 LDD7 +bit 92 O ? LDD7 93 0 Z +bit 91 I ? LDD7 +bit 90 C 0 L_LCLK +bit 89 O ? L_LCLK 90 0 Z +bit 88 I ? L_LCLK +bit 87 C 0 L_FCLK +bit 86 O ? L_FCLK 87 0 Z +bit 85 I ? L_FCLK +bit 84 O 0 nPOE +bit 83 O 0 nPWE +bit 82 O 0 nPIOR +bit 81 O 0 nPIOW +bit 80 O 0 PSKTSEL +bit 79 I ? nIOIS16 +bit 78 I ? nPWAIT +bit 77 O 0 nPREG +bit 76 O 1 nPCE2 +bit 75 O 1 nPCE1 +bit 74 O 1 . +bit 73 O 1 nWE 74 1 Z +bit 72 O 0 nOE 74 1 Z +bit 71 O 0 nSDRAS 74 1 Z +bit 70 O 0 nSDCAS 74 1 Z +bit 69 O 0 nRAS3 +bit 68 O 0 nRAS2 +bit 67 O 0 nRAS1 +bit 66 O 1 nRAS0 74 1 Z +bit 65 O 1 nCAS3 74 1 Z +bit 64 O 1 nCAS2 74 1 Z +bit 63 O 1 nCAS1 74 1 Z +bit 62 O 1 nCAS0 74 1 Z +bit 61 O 0 RD_nWR +bit 60 I ? RDY +bit 59 O 1 nCS5 +bit 58 O 1 nCS4 +bit 57 O 1 nCS3 +bit 56 O 1 nCS2 +bit 55 O 1 nCS1 +bit 54 O 1 nCS0 +bit 53 O 0 A25 74 1 Z +bit 52 O 0 A24 74 1 Z +bit 51 O 0 A23 74 1 Z +bit 50 O 0 A22 74 1 Z +bit 49 O 0 A21 74 1 Z +bit 48 O 0 A20 74 1 Z +bit 47 O 0 A19 74 1 Z +bit 46 O 0 A18 74 1 Z +bit 45 O 0 A17 74 1 Z +bit 44 O 0 A16 74 1 Z +bit 43 O 0 A15 74 1 Z +bit 42 O 0 A14 74 1 Z +bit 41 O 0 A13 74 1 Z +bit 40 O 0 A12 74 1 Z +bit 39 O 0 A11 74 1 Z +bit 38 O 0 A10 74 1 Z +bit 37 O 0 A9 74 1 Z +bit 36 O 0 A8 74 1 Z +bit 35 O 0 A7 74 1 Z +bit 34 O 0 A6 74 1 Z +bit 33 O 0 A5 74 1 Z +bit 32 O 0 A4 74 1 Z +bit 31 O 0 A3 74 1 Z +bit 30 O 0 A2 74 1 Z +bit 29 O 0 A1 74 1 Z +bit 28 O 0 A0 74 1 Z +bit 27 C 1 UDC- +bit 26 O ? UDC- 27 1 Z +bit 25 I ? UDC- +bit 24 X ? UDC-/UDC+ +bit 23 C 1 UDC+ +bit 22 O ? UDC+ 23 1 Z +bit 21 I ? UDC+ +bit 20 C 0 RXD_1 +bit 19 O ? RXD_1 20 0 Z +bit 18 I ? RXD_1 +bit 17 C 0 TXD_1 +bit 16 O ? TXD_1 17 0 Z +bit 15 I ? TXD_1 +bit 14 C 0 RXD_2 +bit 13 O ? RXD_2 14 0 Z +bit 12 I ? RXD_2 +bit 11 C 0 TXD_2 +bit 10 O ? TXD_2 11 0 Z +bit 9 I ? TXD_2 +bit 8 C 0 RXD_3 +bit 7 O ? RXD_3 8 0 Z +bit 6 I ? RXD_3 +bit 5 C 0 TXD_3 +bit 4 O ? TXD_3 5 0 Z +bit 3 I ? TXD_3 +bit 2 I ? nRESET +bit 1 O 1 nRESET_OUT +bit 0 I ? ROM_SEL + +initbus sa1110 diff --git a/sie_fs/usr/local/share/urjtag/lattice/PARTS b/sie_fs/usr/local/share/urjtag/lattice/PARTS new file mode 100644 index 0000000..1df95e5 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/PARTS @@ -0,0 +1,28 @@ +# +# $Id: PARTS 752 2007-11-10 17:17:09Z kawk $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 27-12 of the Device Identification Register +0111010010000110 m4a3-64.32-tqfp48 M4A3-64/32-TQFP48 +0001100000000101 lc4032v-tqfp48 LC4032V-TQFP48 +0000011000001111 m4a3-256.192-fpbga256 M4A3-256/192-fpBGA256 +0001100000010000 lc4128c-tqfp100 LC4128C-TQFP100 diff --git a/sie_fs/usr/local/share/urjtag/lattice/lc4032v-tqfp48/STEPPINGS b/sie_fs/usr/local/share/urjtag/lattice/lc4032v-tqfp48/STEPPINGS new file mode 100644 index 0000000..6dc7577 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/lc4032v-tqfp48/STEPPINGS @@ -0,0 +1,27 @@ +# +# $Id: STEPPINGS 619 2004-11-16 21:51:27Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register + +0000 lc4032v-tqfp48 0 +0001 lc4032v-tqfp48 1 diff --git a/sie_fs/usr/local/share/urjtag/lattice/lc4032v-tqfp48/lc4032v-tqfp48 b/sie_fs/usr/local/share/urjtag/lattice/lc4032v-tqfp48/lc4032v-tqfp48 new file mode 100644 index 0000000..0fbc2cf --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/lc4032v-tqfp48/lc4032v-tqfp48 @@ -0,0 +1,179 @@ +# +# $Id: lc4032v-tqfp48 619 2004-11-16 21:51:27Z telka $ +# +# JTAG declarations for Lattice LC4032V (48-pin TQFP) +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +signal IOA0 44 +signal IOA1 45 +signal IOA2 46 +signal IOA3 47 +signal IOA4 48 +signal IOA5 2 +signal IOA6 3 +signal IOA7 4 +signal IOA8 7 +signal IOA9 8 +signal IOA10 9 +signal IOA11 10 +signal IOA12 14 +signal IOA13 15 +signal IOA14 16 +signal IOA15 17 +signal IOB0 20 +signal IOB1 21 +signal IOB2 22 +signal IOB3 23 +signal IOB4 24 +signal IOB5 26 +signal IOB6 27 +signal IOB7 28 +signal IOB8 31 +signal IOB9 32 +signal IOB10 33 +signal IOB11 34 +signal IOB12 38 +signal IOB13 39 +signal IOB14 40 +signal IOB15 41 +signal CLK0 43 +signal CLK1 18 +signal CLK2 19 +signal CLK3 42 +signal TDI 1 +signal TCK 11 +signal TMS 25 +signal TDO 35 +signal GND0 5 +signal GND1 29 +signal GND 13 37 +signal VCCO0 6 +signal VCCO1 30 +signal VCC 12 36 + + +# mandatory data registers +register BSR 68 # Boundary Scan Register +register BR 1 # Bypass Register + +# optional data registers +register DIR 32 # Device Identification Register + +instruction length 8 + +# mandatory instructions +instruction EXTEST 00000000 BSR +instruction SAMPLE/PRELOAD 00011100 BSR +instruction BYPASS 11111111 BR + +# optional instructions +instruction IDCODE 00010110 DIR +instruction USERCODE 00010111 DIR +instruction HIGHZ 00011000 BR +instruction CLAMP 00100000 BR + +# ISC instructions +#instruction ISC_ENABLE 00010101 +#instruction ISC_DISABLE 00011110 +#instruction ISC_NOOP 00110000 +#instruction ISC_ADDRESS_SHIFT 00000001 +#instruction ISC_DATA_SHIFT 00000010 +#instruction ISC_ERASE 00000011 +#instruction ISC_DISCHARGE 00010100 +#instruction ISC_PROGRAM_INCR 00100111 +#instruction ISC_READ_INCR 00101010 +#instruction ISC_PROGRAM_SECURITY 00001001 +#instruction ISC_PROGRAM_DONE 00101111 +#instruction ISC_ERASE_DONE 00100100 +#instruction ISC_PROGRAM_USERCODE 00011010 +#instruction LSC_ADDRESS_INIT 00100001 + + +# Boundary Scan Register bit definition + +bit 67 I ? CLK0 +bit 66 B ? IOA0 65 0 Z +bit 65 C 0 IOA0 +bit 64 B ? IOA1 63 0 Z +bit 63 C 0 IOA1 +bit 62 B ? IOA2 61 0 Z +bit 61 C 0 IOA2 +bit 60 B ? IOA3 59 0 Z +bit 59 C 0 IOA3 +bit 58 B ? IOA4 57 0 Z +bit 57 C 0 IOA4 +bit 56 B ? IOA5 55 0 Z +bit 55 C 0 IOA5 +bit 54 B ? IOA6 53 0 Z +bit 53 C 0 IOA6 +bit 52 B ? IOA7 51 0 Z +bit 51 C 0 IOA7 +bit 50 B ? IOA8 49 0 Z +bit 49 C 0 IOA8 +bit 48 B ? IOA9 47 0 Z +bit 47 C 0 IOA9 +bit 46 B ? IOA10 45 0 Z +bit 45 C 0 IOA10 +bit 44 B ? IOA11 43 0 Z +bit 43 C 0 IOA11 +bit 42 B ? IOA12 41 0 Z +bit 41 C 0 IOA12 +bit 40 B ? IOA13 39 0 Z +bit 39 C 0 IOA13 +bit 38 B ? IOA14 37 0 Z +bit 37 C 0 IOA14 +bit 36 B ? IOA15 35 0 Z +bit 35 C 0 IOA15 +bit 34 I ? CLK1 +bit 33 I ? CLK2 +bit 32 B ? IOB0 31 0 Z +bit 31 C 0 IOB0 +bit 30 B ? IOB1 29 0 Z +bit 29 C 0 IOB1 +bit 28 B ? IOB2 27 0 Z +bit 27 C 0 IOB2 +bit 26 B ? IOB3 25 0 Z +bit 25 C 0 IOB3 +bit 24 B ? IOB4 23 0 Z +bit 23 C 0 IOB4 +bit 22 B ? IOB5 21 0 Z +bit 21 C 0 IOB5 +bit 20 B ? IOB6 19 0 Z +bit 19 C 0 IOB6 +bit 18 B ? IOB7 17 0 Z +bit 17 C 0 IOB7 +bit 16 B ? IOB8 15 0 Z +bit 15 C 0 IOB8 +bit 14 B ? IOB9 13 0 Z +bit 13 C 0 IOB9 +bit 12 B ? IOB10 11 0 Z +bit 11 C 0 IOB10 +bit 10 B ? IOB11 9 0 Z +bit 9 C 0 IOB11 +bit 8 B ? IOB12 7 0 Z +bit 7 C 0 IOB12 +bit 6 B ? IOB13 5 0 Z +bit 5 C 0 IOB13 +bit 4 B ? IOB14 3 0 Z +bit 3 C 0 IOB14 +bit 2 B ? IOB15 1 0 Z +bit 1 C 0 IOB15 +bit 0 I ? CLK3 diff --git a/sie_fs/usr/local/share/urjtag/lattice/lc4128c-tqfp100/STEPPINGS b/sie_fs/usr/local/share/urjtag/lattice/lc4128c-tqfp100/STEPPINGS new file mode 100644 index 0000000..0909849 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/lc4128c-tqfp100/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id$ +# +# Copyright (C) 2004 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Ian Campbell , 2004 +# + +# bits 31-28 of the Device Identification Register +0000 lc4128c-tqfp100 0 diff --git a/sie_fs/usr/local/share/urjtag/lattice/lc4128c-tqfp100/lc4128c-tqfp100 b/sie_fs/usr/local/share/urjtag/lattice/lc4128c-tqfp100/lc4128c-tqfp100 new file mode 100644 index 0000000..e91d4c3 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/lc4128c-tqfp100/lc4128c-tqfp100 @@ -0,0 +1,340 @@ +# +# $Id$ +# +# JTAG declarations for Lattice LC4128C (100-pin TQFP) +# Copyright (C) 2004 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Generated from lc4128ct100_isc.bsm using bsdl2jtag by +# Ian Campbell , 2004. +# +# lc4128ct100_isc.bsm had the following copyright: +# Copyright 2000 - 2003 Lattice Semiconductor Corporation +# 5555 NE Moore Ct., Hillsboro, OR 97124 +# All rights reserved. No part of this program or publication +# may be reproduced, transmitted, transcribed, stored in a +# retrieval system, or translated into any language or +# computer language, in any form or by any means without this +# notice appearing within. + +signal GND0 1 +signal GND1 2 +signal GND2 3 +signal GND3 4 +signal TDI 5 +signal IOB0 6 +signal IOB2 7 +signal IOB4 8 +signal IOB6 9 +signal GNDO00 10 +signal GNDO01 11 +signal GNDO02 12 +signal GNDO03 13 +signal IOB8 14 +signal IOB10 15 +signal IOB12 16 +signal IOB13 17 +signal I0 18 +signal I1 19 +signal I2 20 +signal I3 21 +signal I4 22 +signal I5 23 +signal VCCO00 24 +signal VCCO01 25 +signal VCCO02 26 +signal IOC14 27 +signal IOC12 28 +signal IOC10 29 +signal IOC8 30 +signal IOC6 31 +signal IOC5 32 +signal IOC4 33 +signal IOC2 34 +signal TCK 35 +signal VCC0 36 +signal VCC1 37 +signal VCC2 38 +signal VCC3 39 +signal IOD13 40 +signal IOD12 41 +signal IOD10 42 +signal IOD8 43 +signal IOD6 44 +signal IOD4 45 +signal IOD2 46 +signal IOD0 47 +signal CLK1 48 +signal CLK2 49 +signal IOE0 50 +signal IOE2 51 +signal IOE4 52 +signal IOE6 53 +signal VCCO10 54 +signal VCCO11 55 +signal VCCO12 56 +signal GNDO10 57 +signal GNDO11 58 +signal GNDO12 59 +signal GNDO13 60 +signal IOE8 61 +signal IOE10 62 +signal IOE12 63 +signal IOE14 64 +signal TMS 65 +signal IOF0 66 +signal IOF2 67 +signal IOF4 68 +signal IOF6 69 +signal IOF8 70 +signal IOF10 71 +signal IOF12 72 +signal IOF13 73 +signal IOG14 74 +signal IOG12 75 +signal IOG10 76 +signal IOG8 77 +signal IOG6 78 +signal IOG5 79 +signal IOG4 80 +signal IOG2 81 +signal TDO 82 +signal IOH13 83 +signal IOH12 84 +signal IOH10 85 +signal IOH8 86 +signal IOH6 87 +signal IOH4 88 +signal IOH2 89 +signal IOH0 90 +signal CLK3 91 +signal CLK0 92 +signal IOA0 93 +signal IOA2 94 +signal IOA4 95 +signal IOA6 96 +signal IOA8 97 +signal IOA10 98 +signal IOA12 99 + +register BSR 196 +register BR 1 +register DIR 32 + +instruction length 8 + +instruction BYPASS 11111111 BR +instruction SAMPLE/PRELOAD 00011100 BSR +instruction EXTEST 00000000 BSR +instruction IDCODE 00010110 DIR + +bit 195 I 1 CLK0 +bit 194 O 1 IOA0 193 0 Z +bit 193 C 0 * +bit 192 O 0 * +bit 191 O 0 * +bit 190 O 1 IOA2 189 0 Z +bit 189 C 0 * +bit 188 O 1 IOA4 187 0 Z +bit 187 C 0 * +bit 186 O 0 * +bit 185 O 0 * +bit 184 O 1 IOA6 183 0 Z +bit 183 C 0 * +bit 182 O 1 IOA8 181 0 Z +bit 181 C 0 * +bit 180 O 0 * +bit 179 O 0 * +bit 178 O 1 IOA10 177 0 Z +bit 177 C 0 * +bit 176 O 1 IOA12 175 0 Z +bit 175 C 0 * +bit 174 O 0 * +bit 173 O 0 * +bit 172 O 1 IOA14 171 0 Z +bit 171 C 0 * +bit 170 O 1 IOB0 169 0 Z +bit 169 C 0 * +bit 168 O 0 * +bit 167 O 0 * +bit 166 O 1 IOB2 165 0 Z +bit 165 C 0 * +bit 164 O 1 IOB4 163 0 Z +bit 163 C 0 * +bit 162 O 0 * +bit 161 O 0 * +bit 160 O 1 IOB6 159 0 Z +bit 159 C 0 * +bit 158 O 1 IOB8 157 0 Z +bit 157 C 0 * +bit 156 O 0 * +bit 155 O 0 * +bit 154 O 1 IOB10 153 0 Z +bit 153 C 0 * +bit 152 O 1 IOB12 151 0 Z +bit 151 C 0 * +bit 150 O 1 IOB13 149 0 Z +bit 149 C 0 * +bit 148 O 1 I0 147 0 Z +bit 147 C 0 * +bit 146 O 1 IOD0 145 0 Z +bit 145 C 0 * +bit 144 O 0 * +bit 143 O 0 * +bit 142 O 1 IOD2 141 0 Z +bit 141 C 0 * +bit 140 O 1 IOD4 139 0 Z +bit 139 C 0 * +bit 138 O 0 * +bit 137 O 0 * +bit 136 O 1 IOD6 135 0 Z +bit 135 C 0 * +bit 134 O 1 IOD8 133 0 Z +bit 133 C 0 * +bit 132 O 0 * +bit 131 O 0 * +bit 130 O 1 IOD10 129 0 Z +bit 129 C 0 * +bit 128 O 1 IOD12 127 0 Z +bit 127 C 0 * +bit 126 O 1 IOD13 125 0 Z +bit 125 C 0 * +bit 124 O 1 I2 123 0 Z +bit 123 C 0 * +bit 122 O 1 I1 121 0 Z +bit 121 C 0 * +bit 120 O 0 * +bit 119 O 0 * +bit 118 O 1 IOC2 117 0 Z +bit 117 C 0 * +bit 116 O 1 IOC4 115 0 Z +bit 115 C 0 * +bit 114 O 1 IOC5 113 0 Z +bit 113 C 0 * +bit 112 O 1 IOC6 111 0 Z +bit 111 C 0 * +bit 110 O 1 IOC8 109 0 Z +bit 109 C 0 * +bit 108 O 0 * +bit 107 O 0 * +bit 106 O 1 IOC10 105 0 Z +bit 105 C 0 * +bit 104 O 1 IOC12 103 0 Z +bit 103 C 0 * +bit 102 O 0 * +bit 101 O 0 * +bit 100 O 1 IOC14 99 0 Z +bit 99 C 0 * +bit 98 I 1 CLK1 +bit 97 I 1 CLK2 +bit 96 O 1 IOE0 95 0 Z +bit 95 C 0 * +bit 94 O 0 * +bit 93 O 0 * +bit 92 O 1 IOE2 91 0 Z +bit 91 C 0 * +bit 90 O 1 IOE4 89 0 Z +bit 89 C 0 * +bit 88 O 0 * +bit 87 O 0 * +bit 86 O 1 IOE6 85 0 Z +bit 85 C 0 * +bit 84 O 1 IOE8 83 0 Z +bit 83 C 0 * +bit 82 O 0 * +bit 81 O 0 * +bit 80 O 1 IOE10 79 0 Z +bit 79 C 0 * +bit 78 O 1 IOE12 77 0 Z +bit 77 C 0 * +bit 76 O 0 * +bit 75 O 0 * +bit 74 O 1 IOE14 73 0 Z +bit 73 C 0 * +bit 72 O 1 IOF0 71 0 Z +bit 71 C 0 * +bit 70 O 0 * +bit 69 O 0 * +bit 68 O 1 IOF2 67 0 Z +bit 67 C 0 * +bit 66 O 1 IOF4 65 0 Z +bit 65 C 0 * +bit 64 O 0 * +bit 63 O 0 * +bit 62 O 1 IOF6 61 0 Z +bit 61 C 0 * +bit 60 O 1 IOF8 59 0 Z +bit 59 C 0 * +bit 58 O 0 * +bit 57 O 0 * +bit 56 O 1 IOF10 55 0 Z +bit 55 C 0 * +bit 54 O 1 IOF12 53 0 Z +bit 53 C 0 * +bit 52 O 1 IOF13 51 0 Z +bit 51 C 0 * +bit 50 O 1 I3 49 0 Z +bit 49 C 0 * +bit 48 O 1 IOH0 47 0 Z +bit 47 C 0 * +bit 46 O 0 * +bit 45 O 0 * +bit 44 O 1 IOH2 43 0 Z +bit 43 C 0 * +bit 42 O 1 IOH4 41 0 Z +bit 41 C 0 * +bit 40 O 0 * +bit 39 O 0 * +bit 38 O 1 IOH6 37 0 Z +bit 37 C 0 * +bit 36 O 1 IOH8 35 0 Z +bit 35 C 0 * +bit 34 O 0 * +bit 33 O 0 * +bit 32 O 1 IOH10 31 0 Z +bit 31 C 0 * +bit 30 O 1 IOH12 29 0 Z +bit 29 C 0 * +bit 28 O 1 IOH13 27 0 Z +bit 27 C 0 * +bit 26 O 1 I5 25 0 Z +bit 25 C 0 * +bit 24 O 1 I4 23 0 Z +bit 23 C 0 * +bit 22 O 0 * +bit 21 O 0 * +bit 20 O 1 IOG2 19 0 Z +bit 19 C 0 * +bit 18 O 1 IOG4 17 0 Z +bit 17 C 0 * +bit 16 O 1 IOG5 15 0 Z +bit 15 C 0 * +bit 14 O 1 IOG6 13 0 Z +bit 13 C 0 * +bit 12 O 1 IOG8 11 0 Z +bit 11 C 0 * +bit 10 O 0 * +bit 9 O 0 * +bit 8 O 1 IOG10 7 0 Z +bit 7 C 0 * +bit 6 O 1 IOG12 5 0 Z +bit 5 C 0 * +bit 4 O 0 * +bit 3 O 0 * +bit 2 O 1 IOG14 1 0 Z +bit 1 C 0 * +bit 0 I 1 CLK3 diff --git a/sie_fs/usr/local/share/urjtag/lattice/m4a3-256.192-fpbga256/STEPPINGS b/sie_fs/usr/local/share/urjtag/lattice/m4a3-256.192-fpbga256/STEPPINGS new file mode 100644 index 0000000..5ff84c3 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/m4a3-256.192-fpbga256/STEPPINGS @@ -0,0 +1,26 @@ +# +# $Id: STEPPINGS 619 2004-11-16 21:51:27Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register + +0000 m4a3-256.192-fpbga256 0 diff --git a/sie_fs/usr/local/share/urjtag/lattice/m4a3-256.192-fpbga256/m4a3-256.192-fpbga256 b/sie_fs/usr/local/share/urjtag/lattice/m4a3-256.192-fpbga256/m4a3-256.192-fpbga256 new file mode 100644 index 0000000..11fabda --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/m4a3-256.192-fpbga256/m4a3-256.192-fpbga256 @@ -0,0 +1,1326 @@ +# +# $Id: m4a3-256.192-fpbga256 619 2004-11-16 21:51:27Z telka $ +# +# JTAG declarations for Lattice M4A3-256/192 (256-pin fpBGA) +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + + +signal CLK0 A6 +signal CLK1 T8 +signal CLK2 T11 +signal CLK3 E9 +signal TDI C2 +signal TMS P3 +signal TCK N3 +signal TDO D14 +signal NC B1 E5 C14 E15 +signal VCC E13 G13 K13 N13 D11 N11 G10 K10 D9 H9 J9 N9 H8 J8 G7 K7 D6 N6 D4 F4 H4 K4 M4 +signal GND D13 F13 H13 J13 L13 M13 D12 N12 D10 H10 J10 N10 G9 K9 D8 G8 K8 N8 D7 H7 J7 N7 D5 N5 E4 G4 J4 L4 N4 +signal IO_A0 B8 +signal IO_A2 A8 +signal IO_A4 E7 +signal IO_A6 A7 +signal IO_A8 F7 +signal IO_A10 B7 +signal IO_A12 C7 +signal IO_A14 B6 +signal IO_B0 E6 +signal IO_B1 A5 +signal IO_B2 B5 +signal IO_B3 F6 +signal IO_B4 C6 +signal IO_B5 A4 +signal IO_B6 C5 +signal IO_B7 A3 +signal IO_B8 B4 +signal IO_B9 D3 +signal IO_B10 A2 +signal IO_B11 B3 +signal IO_B12 A1 +signal IO_B13 B2 +signal IO_B14 C3 +signal IO_B15 C4 +signal IO_C0 H5 +signal IO_C1 G2 +signal IO_C2 G3 +signal IO_C3 H6 +signal IO_C4 G5 +signal IO_C5 F1 +signal IO_C6 F2 +signal IO_C7 E1 +signal IO_C8 F3 +signal IO_C9 G6 +signal IO_C10 F5 +signal IO_C11 E2 +signal IO_C12 E3 +signal IO_C13 D1 +signal IO_C14 D2 +signal IO_C15 C1 +signal IO_D0 K5 +signal IO_D2 K6 +signal IO_D4 H1 +signal IO_D6 H2 +signal IO_D8 H3 +signal IO_D10 J5 +signal IO_D12 J6 +signal IO_D14 G1 +signal IO_E0 J2 +signal IO_E2 J3 +signal IO_E4 J1 +signal IO_E6 L5 +signal IO_E8 L6 +signal IO_E10 M5 +signal IO_E12 K2 +signal IO_E14 K3 +signal IO_F0 K1 +signal IO_F1 L1 +signal IO_F2 M1 +signal IO_F3 L3 +signal IO_F4 L2 +signal IO_F5 N1 +signal IO_F6 P1 +signal IO_F7 M2 +signal IO_F8 N2 +signal IO_F9 P2 +signal IO_F10 R1 +signal IO_F11 R2 +signal IO_F12 M3 +signal IO_F13 T1 +signal IO_F14 T2 +signal IO_F15 R3 +signal IO_G0 R7 +signal IO_G1 T6 +signal IO_G2 M8 +signal IO_G3 L8 +signal IO_G4 R6 +signal IO_G5 L7 +signal IO_G6 T5 +signal IO_G7 P6 +signal IO_G8 M7 +signal IO_G9 R5 +signal IO_G10 T4 +signal IO_G11 M6 +signal IO_G12 P5 +signal IO_G13 R4 +signal IO_G14 T3 +signal IO_G15 P4 +signal IO_H0 T9 +signal IO_H2 P9 +signal IO_H4 M9 +signal IO_H6 L9 +signal IO_H8 R8 +signal IO_H10 P8 +signal IO_H12 P7 +signal IO_H14 T7 +signal IO_I0 R9 +signal IO_I2 T10 +signal IO_I4 L10 +signal IO_I6 R10 +signal IO_I8 M10 +signal IO_I10 P10 +signal IO_I12 R11 +signal IO_I14 T12 +signal IO_J0 R12 +signal IO_J1 M11 +signal IO_J2 P11 +signal IO_J3 M12 +signal IO_J4 P12 +signal IO_J5 T13 +signal IO_J6 T14 +signal IO_J7 R13 +signal IO_J8 R14 +signal IO_J9 T15 +signal IO_J10 T16 +signal IO_J11 R15 +signal IO_J12 R16 +signal IO_J13 P15 +signal IO_J14 P14 +signal IO_J15 P13 +signal IO_K0 K15 +signal IO_K1 K14 +signal IO_K2 K11 +signal IO_K3 K12 +signal IO_K4 L16 +signal IO_K5 L15 +signal IO_K6 L11 +signal IO_K7 L14 +signal IO_K8 M16 +signal IO_K9 M15 +signal IO_K10 L12 +signal IO_K11 M14 +signal IO_K12 N16 +signal IO_K13 N15 +signal IO_K14 P16 +signal IO_K15 N14 +signal IO_L0 H12 +signal IO_L2 H11 +signal IO_L4 J16 +signal IO_L6 J15 +signal IO_L8 J14 +signal IO_L10 J11 +signal IO_L12 J12 +signal IO_L14 K16 +signal IO_M0 H16 +signal IO_M2 H14 +signal IO_M4 H15 +signal IO_M6 G16 +signal IO_M8 G12 +signal IO_M10 G14 +signal IO_M12 G15 +signal IO_M14 G11 +signal IO_N0 F16 +signal IO_N1 F11 +signal IO_N2 F12 +signal IO_N3 F14 +signal IO_N4 E16 +signal IO_N5 F15 +signal IO_N6 D16 +signal IO_N7 D15 +signal IO_N8 E12 +signal IO_N9 E11 +signal IO_N10 E14 +signal IO_N11 C16 +signal IO_N12 C15 +signal IO_N13 B16 +signal IO_N14 B15 +signal IO_N15 A16 +signal IO_O0 B10 +signal IO_O1 F9 +signal IO_O2 C11 +signal IO_O3 C10 +signal IO_O4 A11 +signal IO_O5 B11 +signal IO_O6 A12 +signal IO_O7 B12 +signal IO_O8 F10 +signal IO_O9 A13 +signal IO_O10 C12 +signal IO_O11 B13 +signal IO_O12 A14 +signal IO_O13 A15 +signal IO_O14 B14 +signal IO_O15 C13 +signal IO_P0 C8 +signal IO_P2 F8 +signal IO_P4 A9 +signal IO_P6 B9 +signal IO_P8 E8 +signal IO_P10 C9 +signal IO_P12 E10 +signal IO_P14 A10 + + +# mandatory data registers +register BSR 772 # Boundary Scan Register +register BR 1 # Bypass Register + +# optional data registers +register DIR 32 # Device Identification Register + +instruction length 6 + +# mandatory instructions +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000010 BSR +instruction BYPASS 111111 BR + +# optional instructions +instruction IDCODE 000001 DIR +instruction USERCODE 010000 DIR +instruction HIGHZ 010001 BR + + +# Boundary Scan Register bit definition + + +# 1. The order of the I/O cell is INPUT - OUTPUT - OE +# 2. The output is disabled when a 0 is shifted into the +# OE cell. +# 3. The pictoral representation of the Boundary scan +# register is found in the JEDEC specification for M4A3-256/192 160. +# +# -------------------------------------- CLK0 ------------------------------------ +bit 771 I ? CLK0 + +# ----------------------------- A0,A2,A4,A8,A10,A12,A14 -------------------------- + +bit 770 I ? IO_A0 # A0 +bit 769 O ? IO_A0 768 0 Z +bit 768 C 0 IO_A0 + +bit 767 O 1 * # A1 +bit 766 O 1 * +bit 765 O 1 * + +bit 764 I ? IO_A1 # A2 +bit 763 O ? IO_A1 762 0 Z +bit 762 C 0 IO_A1 + +bit 761 O 1 * # A3 +bit 760 O 1 * +bit 759 O 1 * + +bit 758 I ? IO_A2 # A4 +bit 757 O ? IO_A2 756 0 Z +bit 756 C 0 IO_A2 + +bit 755 O 1 * # A5 +bit 754 O 1 * +bit 753 O 1 * + +bit 752 I ? IO_A3 # A6 +bit 751 O ? IO_A3 750 0 Z +bit 750 C 0 IO_A3 + +bit 749 O 1 * # A7 +bit 748 O 1 * +bit 747 O 1 * + +bit 746 I ? IO_A4 # A8 +bit 745 O ? IO_A4 744 0 Z +bit 744 C 0 IO_A4 + +bit 743 O 1 * # A9 +bit 742 O 1 * +bit 741 O 1 * + +bit 740 I ? IO_A5 # A10 +bit 739 O ? IO_A5 738 0 Z +bit 738 C 0 IO_A5 + +bit 737 O 1 * # A11 +bit 736 O 1 * +bit 735 O 1 * + +bit 734 I ? IO_A6 # A12 +bit 733 O ? IO_A6 732 0 Z +bit 732 C 0 IO_A6 + +bit 731 O 1 * # A13 +bit 730 O 1 * +bit 729 O 1 * + +bit 728 I ? IO_A7 # A14 +bit 727 O ? IO_A7 726 0 Z +bit 726 C 0 IO_A7 + +bit 725 O 1 * # A15 +bit 724 O 1 * +bit 723 O 1 * + +# ------------------------------------ B0 - B15 ---------------------------------- + +bit 722 I ? IO_B0 # B0 +bit 721 O ? IO_B0 720 0 Z +bit 720 C 0 IO_B0 + +bit 719 I ? IO_B1 # B1 +bit 718 O ? IO_B1 717 0 Z +bit 717 C 0 IO_B1 + +bit 716 I ? IO_B2 # B2 +bit 715 O ? IO_B2 714 0 Z +bit 714 C 0 IO_B2 + +bit 713 I ? IO_B3 # B3 +bit 712 O ? IO_B3 711 0 Z +bit 711 C 0 IO_B3 + +bit 710 I ? IO_B4 # B4 +bit 709 O ? IO_B4 708 0 Z +bit 708 C 0 IO_B4 + +bit 707 I ? IO_B5 # B5 +bit 706 O ? IO_B5 705 0 Z +bit 705 C 0 IO_B5 + +bit 704 I ? IO_B6 # B6 +bit 703 O ? IO_B6 702 0 Z +bit 702 C 0 IO_B6 + +bit 701 I ? IO_B7 # B7 +bit 700 O ? IO_B7 699 0 Z +bit 699 C 0 IO_B7 + +bit 698 I ? IO_B8 # B8 +bit 697 O ? IO_B8 696 0 Z +bit 696 C 0 IO_B8 + +bit 695 I ? IO_B9 # B9 +bit 694 O ? IO_B9 693 0 Z +bit 693 C 0 IO_B9 + +bit 692 I ? IO_B10 # B10 +bit 691 O ? IO_B10 690 0 Z +bit 690 C 0 IO_B10 + +bit 689 I ? IO_B11 # B11 +bit 688 O ? IO_B11 687 0 Z +bit 687 C 0 IO_B11 + +bit 686 I ? IO_B12 # B12 +bit 685 O ? IO_B12 684 0 Z +bit 684 C 0 IO_B12 + +bit 683 I ? IO_B13 # B13 +bit 682 O ? IO_B13 681 0 Z +bit 681 C 0 IO_B13 + +bit 680 I ? IO_B14 # B14 +bit 679 O ? IO_B14 678 0 Z +bit 678 C 0 IO_B14 + +bit 677 I ? IO_B15 # B15 +bit 676 O ? IO_B15 675 0 Z +bit 675 C 0 IO_B15 + +# ----------------------------- D0D2D4D8D10D12D14 -------------------------- + +bit 674 I ? IO_D0 # D0 +bit 673 O ? IO_D0 672 0 Z +bit 672 C 0 IO_D0 + +bit 671 O 1 * # D1 +bit 670 O 1 * +bit 669 O 1 * + +bit 668 I ? IO_D1 # D2 +bit 667 O ? IO_D1 666 0 Z +bit 666 C 0 IO_D1 + +bit 665 O 1 * # D3 +bit 664 O 1 * +bit 663 O 1 * + +bit 662 I ? IO_D2 # D4 +bit 661 O ? IO_D2 660 0 Z +bit 660 C 0 IO_D2 + +bit 659 O 1 * # D5 +bit 658 O 1 * +bit 657 O 1 * + +bit 656 I ? IO_D3 # D6 +bit 655 O ? IO_D3 654 0 Z +bit 654 C 0 IO_D3 + +bit 653 O 1 * # D7 +bit 652 O 1 * +bit 651 O 1 * + +bit 650 I ? IO_D4 # D8 +bit 649 O ? IO_D4 648 0 Z +bit 648 C 0 IO_D4 + +bit 647 O 1 * # D9 +bit 646 O 1 * +bit 645 O 1 * + +bit 644 I ? IO_D5 # D10 +bit 643 O ? IO_D5 642 0 Z +bit 642 C 0 IO_D5 + +bit 641 O 1 * # D11 +bit 640 O 1 * +bit 639 O 1 * + +bit 638 I ? IO_D6 # D12 +bit 637 O ? IO_D6 636 0 Z +bit 636 C 0 IO_D6 + +bit 635 O 1 * # D13 +bit 634 O 1 * +bit 633 O 1 * + +bit 632 I ? IO_D7 # D14 +bit 631 O ? IO_D7 630 0 Z +bit 630 C 0 IO_D7 + +bit 629 O 1 * # D15 +bit 628 O 1 * +bit 627 O 1 * + +# ------------------------------------ C0 - C15 ---------------------------------- + +bit 626 I ? IO_C0 # C0 +bit 625 O ? IO_C0 624 0 Z +bit 624 C 0 IO_C0 + +bit 623 I ? IO_C1 # C1 +bit 622 O ? IO_C1 621 0 Z +bit 621 C 0 IO_C1 + +bit 620 I ? IO_C2 # C2 +bit 619 O ? IO_C2 618 0 Z +bit 618 C 0 IO_C2 + +bit 617 I ? IO_C3 # C3 +bit 616 O ? IO_C3 615 0 Z +bit 615 C 0 IO_C3 + +bit 614 I ? IO_C4 # C4 +bit 613 O ? IO_C4 612 0 Z +bit 612 C 0 IO_C4 + +bit 611 I ? IO_C5 # C5 +bit 610 O ? IO_C5 609 0 Z +bit 609 C 0 IO_C5 + +bit 608 I ? IO_C6 # C6 +bit 607 O ? IO_C6 606 0 Z +bit 606 C 0 IO_C6 + +bit 605 I ? IO_C7 # C7 +bit 604 O ? IO_C7 603 0 Z +bit 603 C 0 IO_C7 + +bit 602 I ? IO_C8 # C8 +bit 601 O ? IO_C8 600 0 Z +bit 600 C 0 IO_C8 + +bit 599 I ? IO_C9 # C9 +bit 598 O ? IO_C9 597 0 Z +bit 597 C 0 IO_C9 + +bit 596 I ? IO_C10 # C10 +bit 595 O ? IO_C10 594 0 Z +bit 594 C 0 IO_C10 + +bit 593 I ? IO_C11 # C11 +bit 592 O ? IO_C11 591 0 Z +bit 591 C 0 IO_C11 + +bit 590 I ? IO_C12 # C12 +bit 589 O ? IO_C12 588 0 Z +bit 588 C 0 IO_C12 + +bit 587 I ? IO_C13 # C13 +bit 586 O ? IO_C13 585 0 Z +bit 585 C 0 IO_C13 + +bit 584 I ? IO_C14 # C14 +bit 583 O ? IO_C14 582 0 Z +bit 582 C 0 IO_C14 + +bit 581 I ? IO_C15 # C15 +bit 580 O ? IO_C15 579 0 Z +bit 579 C 0 IO_C15 + +# ----------------------------- E0E2E4E8E10E12E14 -------------------------- + +bit 578 I ? IO_E0 # E0 +bit 577 O ? IO_E0 576 0 Z +bit 576 C 0 IO_E0 + +bit 575 O 1 * # E1 +bit 574 O 1 * +bit 573 O 1 * + +bit 572 I ? IO_E1 # E2 +bit 571 O ? IO_E1 570 0 Z +bit 570 C 0 IO_E1 + +bit 569 O 1 * # E3 +bit 568 O 1 * +bit 567 O 1 * + +bit 566 I ? IO_E2 # E4 +bit 565 O ? IO_E2 564 0 Z +bit 564 C 0 IO_E2 + +bit 563 O 1 * # E5 +bit 562 O 1 * +bit 561 O 1 * + +bit 560 I ? IO_E3 # E6 +bit 559 O ? IO_E3 558 0 Z +bit 558 C 0 IO_E3 + +bit 557 O 1 * # E7 +bit 556 O 1 * +bit 555 O 1 * + +bit 554 I ? IO_E4 # E8 +bit 553 O ? IO_E4 552 0 Z +bit 552 C 0 IO_E4 + +bit 551 O 1 * # E9 +bit 550 O 1 * +bit 549 O 1 * + +bit 548 I ? IO_E5 # E10 +bit 547 O ? IO_E5 546 0 Z +bit 546 C 0 IO_E5 + +bit 545 O 1 * # E11 +bit 544 O 1 * +bit 543 O 1 * + +bit 542 I ? IO_E6 # E12 +bit 541 O ? IO_E6 540 0 Z +bit 540 C 0 IO_E6 + +bit 539 O 1 * # E13 +bit 538 O 1 * +bit 537 O 1 * + +bit 536 I ? IO_E7 # E14 +bit 535 O ? IO_E7 534 0 Z +bit 534 C 0 IO_E7 + +bit 533 O 1 * # E15 +bit 532 O 1 * +bit 531 O 1 * + +# ------------------------------------ F0 - F15 ---------------------------------- + +bit 530 I ? IO_F0 # F0 +bit 529 O ? IO_F0 528 0 Z +bit 528 C 0 IO_F0 + +bit 527 I ? IO_F1 # F1 +bit 526 O ? IO_F1 525 0 Z +bit 525 C 0 IO_F1 + +bit 524 I ? IO_F2 # F2 +bit 523 O ? IO_F2 522 0 Z +bit 522 C 0 IO_F2 + +bit 521 I ? IO_F3 # F3 +bit 520 O ? IO_F3 519 0 Z +bit 519 C 0 IO_F3 + +bit 518 I ? IO_F4 # F4 +bit 517 O ? IO_F4 516 0 Z +bit 516 C 0 IO_F4 + +bit 515 I ? IO_F5 # F5 +bit 514 O ? IO_F5 513 0 Z +bit 513 C 0 IO_F5 + +bit 512 I ? IO_F6 # F6 +bit 511 O ? IO_F6 510 0 Z +bit 510 C 0 IO_F6 + +bit 509 I ? IO_F7 # F7 +bit 508 O ? IO_F7 507 0 Z +bit 507 C 0 IO_F7 + +bit 506 I ? IO_F8 # F8 +bit 505 O ? IO_F8 504 0 Z +bit 504 C 0 IO_F8 + +bit 503 I ? IO_F9 # F9 +bit 502 O ? IO_F9 501 0 Z +bit 501 C 0 IO_F9 + +bit 500 I ? IO_F10 # F10 +bit 499 O ? IO_F10 498 0 Z +bit 498 C 0 IO_F10 + +bit 497 I ? IO_F11 # F11 +bit 496 O ? IO_F11 495 0 Z +bit 495 C 0 IO_F11 + +bit 494 I ? IO_F12 # F12 +bit 493 O ? IO_F12 492 0 Z +bit 492 C 0 IO_F12 + +bit 491 I ? IO_F13 # F13 +bit 490 O ? IO_F13 489 0 Z +bit 489 C 0 IO_F13 + +bit 488 I ? IO_F14 # F14 +bit 487 O ? IO_F14 486 0 Z +bit 486 C 0 IO_F14 + +bit 485 I ? IO_F15 # F15 +bit 484 O ? IO_F15 483 0 Z +bit 483 C 0 IO_F15 + +# ----------------------------- H0H2H4H8H10H12H14 -------------------------- + +bit 482 I ? IO_H0 # H0 +bit 481 O ? IO_H0 480 0 Z +bit 480 C 0 IO_H0 + +bit 479 O 1 * # H1 +bit 478 O 1 * +bit 477 O 1 * + +bit 476 I ? IO_H1 # H2 +bit 475 O ? IO_H1 474 0 Z +bit 474 C 0 IO_H1 + +bit 473 O 1 * # H3 +bit 472 O 1 * +bit 471 O 1 * + +bit 470 I ? IO_H2 # H4 +bit 469 O ? IO_H2 468 0 Z +bit 468 C 0 IO_H2 + +bit 467 O 1 * # H5 +bit 466 O 1 * +bit 465 O 1 * + +bit 464 I ? IO_H3 # H6 +bit 463 O ? IO_H3 462 0 Z +bit 462 C 0 IO_H3 + +bit 461 O 1 * # H7 +bit 460 O 1 * +bit 459 O 1 * + +bit 458 I ? IO_H4 # H8 +bit 457 O ? IO_H4 456 0 Z +bit 456 C 0 IO_H4 + +bit 455 O 1 * # H9 +bit 454 O 1 * +bit 453 O 1 * + +bit 452 I ? IO_H5 # H10 +bit 451 O ? IO_H5 450 0 Z +bit 450 C 0 IO_H5 + +bit 449 O 1 * # H11 +bit 448 O 1 * +bit 447 O 1 * + +bit 446 I ? IO_H6 # H12 +bit 445 O ? IO_H6 444 0 Z +bit 444 C 0 IO_H6 + +bit 443 O 1 * # H13 +bit 442 O 1 * +bit 441 O 1 * + +bit 440 I ? IO_H7 # H14 +bit 439 O ? IO_H7 438 0 Z +bit 438 C 0 IO_H7 + +bit 437 O 1 * # H15 +bit 436 O 1 * +bit 435 O 1 * + +# ------------------------------------ G0 - G15 ---------------------------------- + +bit 434 I ? IO_G0 # G0 +bit 433 O ? IO_G0 432 0 Z +bit 432 C 0 IO_G0 + +bit 431 I ? IO_G1 # G1 +bit 430 O ? IO_G1 429 0 Z +bit 429 C 0 IO_G1 + +bit 428 I ? IO_G2 # G2 +bit 427 O ? IO_G2 426 0 Z +bit 426 C 0 IO_G2 + +bit 425 I ? IO_G3 # G3 +bit 424 O ? IO_G3 423 0 Z +bit 423 C 0 IO_G3 + +bit 422 I ? IO_G4 # G4 +bit 421 O ? IO_G4 420 0 Z +bit 420 C 0 IO_G4 + +bit 419 I ? IO_G5 # G5 +bit 418 O ? IO_G5 417 0 Z +bit 417 C 0 IO_G5 + +bit 416 I ? IO_G6 # G6 +bit 415 O ? IO_G6 414 0 Z +bit 414 C 0 IO_G6 + +bit 413 I ? IO_G7 # G7 +bit 412 O ? IO_G7 411 0 Z +bit 411 C 0 IO_G7 + +bit 410 I ? IO_G8 # G8 +bit 409 O ? IO_G8 408 0 Z +bit 408 C 0 IO_G8 + +bit 407 I ? IO_G9 # G9 +bit 406 O ? IO_G9 405 0 Z +bit 405 C 0 IO_G9 + +bit 404 I ? IO_G10 # G10 +bit 403 O ? IO_G10 402 0 Z +bit 402 C 0 IO_G10 + +bit 401 I ? IO_G11 # G11 +bit 400 O ? IO_G11 399 0 Z +bit 399 C 0 IO_G11 + +bit 398 I ? IO_G12 # G12 +bit 397 O ? IO_G12 396 0 Z +bit 396 C 0 IO_G12 + +bit 395 I ? IO_G13 # G13 +bit 394 O ? IO_G13 393 0 Z +bit 393 C 0 IO_G13 + +bit 392 I ? IO_G14 # G14 +bit 391 O ? IO_G14 390 0 Z +bit 390 C 0 IO_G14 + +bit 389 I ? IO_G15 # G15 +bit 388 O ? IO_G15 387 0 Z +bit 387 C 0 IO_G15 + +# ---------------------------------- CLK1 - CLK2 -------------------------------- + +bit 386 I ? CLK1 +bit 385 I ? CLK2 + +# ----------------------------- I0I2I4I8I10I12I14 -------------------------- + +bit 384 I ? IO_I0 # I0 +bit 383 O ? IO_I0 382 0 Z +bit 382 C 0 IO_I0 + +bit 381 O 1 * # I1 +bit 380 O 1 * +bit 379 O 1 * + +bit 378 I ? IO_I1 # I2 +bit 377 O ? IO_I1 376 0 Z +bit 376 C 0 IO_I1 + +bit 375 O 1 * # I3 +bit 374 O 1 * +bit 373 O 1 * + +bit 372 I ? IO_I2 # I4 +bit 371 O ? IO_I2 370 0 Z +bit 370 C 0 IO_I2 + +bit 369 O 1 * # I5 +bit 368 O 1 * +bit 367 O 1 * + +bit 366 I ? IO_I3 # I6 +bit 365 O ? IO_I3 364 0 Z +bit 364 C 0 IO_I3 + +bit 363 O 1 * # I7 +bit 362 O 1 * +bit 361 O 1 * + +bit 360 I ? IO_I4 # I8 +bit 359 O ? IO_I4 358 0 Z +bit 358 C 0 IO_I4 + +bit 357 O 1 * # I9 +bit 356 O 1 * +bit 355 O 1 * + +bit 354 I ? IO_I5 # I10 +bit 353 O ? IO_I5 352 0 Z +bit 352 C 0 IO_I5 + +bit 351 O 1 * # I11 +bit 350 O 1 * +bit 349 O 1 * + +bit 348 I ? IO_I6 # I12 +bit 347 O ? IO_I6 346 0 Z +bit 346 C 0 IO_I6 + +bit 345 O 1 * # I13 +bit 344 O 1 * +bit 343 O 1 * + +bit 342 I ? IO_I7 # I14 +bit 341 O ? IO_I7 340 0 Z +bit 340 C 0 IO_I7 + +bit 339 O 1 * # I15 +bit 338 O 1 * +bit 337 O 1 * + +# ---------------------------------- J0 - J15 -------------------------------- + +bit 336 I ? IO_J0 # J0 +bit 335 O ? IO_J0 334 0 Z +bit 334 C 0 IO_J0 + +bit 333 I ? IO_J1 # J1 +bit 332 O ? IO_J1 331 0 Z +bit 331 C 0 IO_J1 + +bit 330 I ? IO_J2 # J2 +bit 329 O ? IO_J2 328 0 Z +bit 328 C 0 IO_J2 + +bit 327 I ? IO_J3 # J3 +bit 326 O ? IO_J3 325 0 Z +bit 325 C 0 IO_J3 + +bit 324 I ? IO_J4 # J4 +bit 323 O ? IO_J4 322 0 Z +bit 322 C 0 IO_J4 + +bit 321 I ? IO_J5 # J5 +bit 320 O ? IO_J5 319 0 Z +bit 319 C 0 IO_J5 + +bit 318 I ? IO_J6 # J6 +bit 317 O ? IO_J6 316 0 Z +bit 316 C 0 IO_J6 + +bit 315 I ? IO_J7 # J7 +bit 314 O ? IO_J7 313 0 Z +bit 313 C 0 IO_J7 + +bit 312 I ? IO_J8 # J8 +bit 311 O ? IO_J8 310 0 Z +bit 310 C 0 IO_J8 + +bit 309 I ? IO_J9 # J9 +bit 308 O ? IO_J9 307 0 Z +bit 307 C 0 IO_J9 + +bit 306 I ? IO_J10 # J10 +bit 305 O ? IO_J10 304 0 Z +bit 304 C 0 IO_J10 + +bit 303 I ? IO_J11 # J11 +bit 302 O ? IO_J11 301 0 Z +bit 301 C 0 IO_J11 + +bit 300 I ? IO_J12 # J12 +bit 299 O ? IO_J12 298 0 Z +bit 298 C 0 IO_J12 + +bit 297 I ? IO_J13 # J13 +bit 296 O ? IO_J13 295 0 Z +bit 295 C 0 IO_J13 + +bit 294 I ? IO_J14 # J14 +bit 293 O ? IO_J14 292 0 Z +bit 292 C 0 IO_J14 + +bit 291 I ? IO_J15 # J15 +bit 290 O ? IO_J15 289 0 Z +bit 289 C 0 IO_J15 + +# ----------------------------- L0L2L4L8L10L12L14 -------------------------- + +bit 288 I ? IO_L0 # L0 +bit 287 O ? IO_L0 286 0 Z +bit 286 C 0 IO_L0 + +bit 285 O 1 * # L1 +bit 284 O 1 * +bit 283 O 1 * + +bit 282 I ? IO_L1 # L2 +bit 281 O ? IO_L1 280 0 Z +bit 280 C 0 IO_L1 + +bit 279 O 1 * # L3 +bit 278 O 1 * +bit 277 O 1 * + +bit 276 I ? IO_L2 # L4 +bit 275 O ? IO_L2 274 0 Z +bit 274 C 0 IO_L2 + +bit 273 O 1 * # L5 +bit 272 O 1 * +bit 271 O 1 * + +bit 270 I ? IO_L3 # L6 +bit 269 O ? IO_L3 268 0 Z +bit 268 C 0 IO_L3 + +bit 267 O 1 * # L7 +bit 266 O 1 * +bit 265 O 1 * + +bit 264 I ? IO_L4 # L8 +bit 263 O ? IO_L4 262 0 Z +bit 262 C 0 IO_L4 + +bit 261 O 1 * # L9 +bit 260 O 1 * +bit 259 O 1 * + +bit 258 I ? IO_L5 # L10 +bit 257 O ? IO_L5 256 0 Z +bit 256 C 0 IO_L5 + +bit 255 O 1 * # L11 +bit 254 O 1 * +bit 253 O 1 * + +bit 252 I ? IO_L6 # L12 +bit 251 O ? IO_L6 250 0 Z +bit 250 C 0 IO_L6 + +bit 249 O 1 * # L13 +bit 248 O 1 * +bit 247 O 1 * + +bit 246 I ? IO_L7 # L14 +bit 245 O ? IO_L7 244 0 Z +bit 244 C 0 IO_L7 + +bit 243 O 1 * # L15 +bit 242 O 1 * +bit 241 O 1 * + +# ----------------------------------- K0 - K15 --------------------------------- + +bit 240 I ? IO_K0 # K0 +bit 239 O ? IO_K0 238 0 Z +bit 238 C 0 IO_K0 + +bit 237 I ? IO_K1 # K1 +bit 236 O ? IO_K1 235 0 Z +bit 235 C 0 IO_K1 + +bit 234 I ? IO_K2 # K2 +bit 233 O ? IO_K2 232 0 Z +bit 232 C 0 IO_K2 + +bit 231 I ? IO_K3 # K3 +bit 230 O ? IO_K3 229 0 Z +bit 229 C 0 IO_K3 + +bit 228 I ? IO_K4 # K4 +bit 227 O ? IO_K4 226 0 Z +bit 226 C 0 IO_K4 + +bit 225 I ? IO_K5 # K5 +bit 224 O ? IO_K5 223 0 Z +bit 223 C 0 IO_K5 + +bit 222 I ? IO_K6 # K6 +bit 221 O ? IO_K6 220 0 Z +bit 220 C 0 IO_K6 + +bit 219 I ? IO_K7 # K7 +bit 218 O ? IO_K7 217 0 Z +bit 217 C 0 IO_K7 + +bit 216 I ? IO_K8 # K8 +bit 215 O ? IO_K8 214 0 Z +bit 214 C 0 IO_K8 + +bit 213 I ? IO_K9 # K9 +bit 212 O ? IO_K9 211 0 Z +bit 211 C 0 IO_K9 + +bit 210 I ? IO_K10 # K10 +bit 209 O ? IO_K10 208 0 Z +bit 208 C 0 IO_K10 + +bit 207 I ? IO_K11 # K11 +bit 206 O ? IO_K11 205 0 Z +bit 205 C 0 IO_K11 + +bit 204 I ? IO_K12 # K12 +bit 203 O ? IO_K12 202 0 Z +bit 202 C 0 IO_K12 + +bit 201 I ? IO_K13 # K13 +bit 200 O ? IO_K13 199 0 Z +bit 199 C 0 IO_K13 + +bit 198 I ? IO_K14 # K14 +bit 197 O ? IO_K14 196 0 Z +bit 196 C 0 IO_K14 + +bit 195 I ? IO_K15 # K15 +bit 194 O ? IO_K15 193 0 Z +bit 193 C 0 IO_K15 + +# ----------------------------- M0M2M4M8M10M12M14 -------------------------- + +bit 192 I ? IO_M0 # M0 +bit 191 O ? IO_M0 190 0 Z +bit 190 C 0 IO_M0 + +bit 189 O 1 * # M1 +bit 188 O 1 * +bit 187 O 1 * + +bit 186 I ? IO_M1 # M2 +bit 185 O ? IO_M1 184 0 Z +bit 184 C 0 IO_M1 + +bit 183 O 1 * # M3 +bit 182 O 1 * +bit 181 O 1 * + +bit 180 I ? IO_M2 # M4 +bit 179 O ? IO_M2 178 0 Z +bit 178 C 0 IO_M2 + +bit 177 O 1 * # M5 +bit 176 O 1 * +bit 175 O 1 * + +bit 174 I ? IO_M3 # M6 +bit 173 O ? IO_M3 172 0 Z +bit 172 C 0 IO_M3 + +bit 171 O 1 * # M7 +bit 170 O 1 * +bit 169 O 1 * + +bit 168 I ? IO_M4 # M8 +bit 167 O ? IO_M4 166 0 Z +bit 166 C 0 IO_M4 + +bit 165 O 1 * # M9 +bit 164 O 1 * +bit 163 O 1 * + +bit 162 I ? IO_M5 # M10 +bit 161 O ? IO_M5 160 0 Z +bit 160 C 0 IO_M5 + +bit 159 O 1 * # M11 +bit 158 O 1 * +bit 157 O 1 * + +bit 156 I ? IO_M6 # M12 +bit 155 O ? IO_M6 154 0 Z +bit 154 C 0 IO_M6 + +bit 153 O 1 * # M13 +bit 152 O 1 * +bit 151 O 1 * + +bit 150 I ? IO_M7 # M14 +bit 149 O ? IO_M7 148 0 Z +bit 148 C 0 IO_M7 + +bit 147 O 1 * # M15 +bit 146 O 1 * +bit 145 O 1 * + +# ------------------------------------ N0 - N15 ---------------------------------- + +bit 144 I ? IO_N0 # N0 +bit 143 O ? IO_N0 142 0 Z +bit 142 C 0 IO_N0 + +bit 141 I ? IO_N1 # N1 +bit 140 O ? IO_N1 139 0 Z +bit 139 C 0 IO_N1 + +bit 138 I ? IO_N2 # N2 +bit 137 O ? IO_N2 136 0 Z +bit 136 C 0 IO_N2 + +bit 135 I ? IO_N3 # N3 +bit 134 O ? IO_N3 133 0 Z +bit 133 C 0 IO_N3 + +bit 132 I ? IO_N4 # N4 +bit 131 O ? IO_N4 130 0 Z +bit 130 C 0 IO_N4 + +bit 129 I ? IO_N5 # N5 +bit 128 O ? IO_N5 127 0 Z +bit 127 C 0 IO_N5 + +bit 126 I ? IO_N6 # N6 +bit 125 O ? IO_N6 124 0 Z +bit 124 C 0 IO_N6 + +bit 123 I ? IO_N7 # N7 +bit 122 O ? IO_N7 121 0 Z +bit 121 C 0 IO_N7 + +bit 120 I ? IO_N8 # N8 +bit 119 O ? IO_N8 118 0 Z +bit 118 C 0 IO_N8 + +bit 117 I ? IO_N9 # N9 +bit 116 O ? IO_N9 115 0 Z +bit 115 C 0 IO_N9 + +bit 114 I ? IO_N10 # N10 +bit 113 O ? IO_N10 112 0 Z +bit 112 C 0 IO_N10 + +bit 111 I ? IO_N11 # N11 +bit 110 O ? IO_N11 109 0 Z +bit 109 C 0 IO_N11 + +bit 108 I ? IO_N12 # N12 +bit 107 O ? IO_N12 106 0 Z +bit 106 C 0 IO_N12 + +bit 105 I ? IO_N13 # N13 +bit 104 O ? IO_N13 103 0 Z +bit 103 C 0 IO_N13 + +bit 102 I ? IO_N14 # N14 +bit 101 O ? IO_N14 100 0 Z +bit 100 C 0 IO_N14 + +bit 99 I ? IO_N15 # N15 +bit 98 O ? IO_N15 97 0 Z +bit 97 C 0 IO_N15 + +# ----------------------------- P0P2P4P8P10P12P14 -------------------------- + +bit 96 I ? IO_P0 # P0 +bit 95 O ? IO_P0 94 0 Z +bit 94 C 0 IO_P0 + +bit 93 O 1 * # P1 +bit 92 O 1 * +bit 91 O 1 * + +bit 90 I ? IO_P1 # P2 +bit 89 O ? IO_P1 88 0 Z +bit 88 C 0 IO_P1 + +bit 87 O 1 * # P3 +bit 86 O 1 * +bit 85 O 1 * + +bit 84 I ? IO_P2 # P4 +bit 83 O ? IO_P2 82 0 Z +bit 82 C 0 IO_P2 + +bit 81 O 1 * # P5 +bit 80 O 1 * +bit 79 O 1 * + +bit 78 I ? IO_P3 # P6 +bit 77 O ? IO_P3 76 0 Z +bit 76 C 0 IO_P3 + +bit 75 O 1 * # P7 +bit 74 O 1 * +bit 73 O 1 * + +bit 72 I ? IO_P4 # P8 +bit 71 O ? IO_P4 70 0 Z +bit 70 C 0 IO_P4 + +bit 69 O 1 * # P9 +bit 68 O 1 * +bit 67 O 1 * + +bit 66 I ? IO_P5 # P10 +bit 65 O ? IO_P5 64 0 Z +bit 64 C 0 IO_P5 + +bit 63 O 1 * # P11 +bit 62 O 1 * +bit 61 O 1 * + +bit 60 I ? IO_P6 # P12 +bit 59 O ? IO_P6 58 0 Z +bit 58 C 0 IO_P6 + +bit 57 O 1 * # P13 +bit 56 O 1 * +bit 55 O 1 * + +bit 54 I ? IO_P7 # P14 +bit 53 O ? IO_P7 52 0 Z +bit 52 C 0 IO_P7 + +bit 51 O 1 * # P15 +bit 50 O 1 * +bit 49 O 1 * + +# ------------------------------------ O0 - O15 ---------------------------------- + +bit 48 I ? IO_O0 # O0 +bit 47 O ? IO_O0 46 0 Z +bit 46 C 0 IO_O0 + +bit 45 I ? IO_O1 # O1 +bit 44 O ? IO_O1 43 0 Z +bit 43 C 0 IO_O1 + +bit 42 I ? IO_O2 # O2 +bit 41 O ? IO_O2 40 0 Z +bit 40 C 0 IO_O2 + +bit 39 I ? IO_O3 # O3 +bit 38 O ? IO_O3 37 0 Z +bit 37 C 0 IO_O3 + +bit 36 I ? IO_O4 # O4 +bit 35 O ? IO_O4 34 0 Z +bit 34 C 0 IO_O4 + +bit 33 I ? IO_O5 # O5 +bit 32 O ? IO_O5 31 0 Z +bit 31 C 0 IO_O5 + +bit 30 I ? IO_O6 # O6 +bit 29 O ? IO_O6 28 0 Z +bit 28 C 0 IO_O6 + +bit 27 I ? IO_O7 # O7 +bit 26 O ? IO_O7 25 0 Z +bit 25 C 0 IO_O7 + +bit 24 I ? IO_O8 # O8 +bit 23 O ? IO_O8 22 0 Z +bit 22 C 0 IO_O8 + +bit 21 I ? IO_O9 # O9 +bit 20 O ? IO_O9 19 0 Z +bit 19 C 0 IO_O9 + +bit 18 I ? IO_O10 # O10 +bit 17 O ? IO_O10 16 0 Z +bit 16 C 0 IO_O10 + +bit 15 I ? IO_O11 # O11 +bit 14 O ? IO_O11 13 0 Z +bit 13 C 0 IO_O11 + +bit 12 I ? IO_O12 # O12 +bit 11 O ? IO_O12 10 0 Z +bit 10 C 0 IO_O12 + +bit 9 I ? IO_O13 # O13 +bit 8 O ? IO_O13 7 0 Z +bit 7 C 0 IO_O13 + +bit 6 I ? IO_O14 # O14 +bit 5 O ? IO_O14 4 0 Z +bit 4 C 0 IO_O14 + +bit 3 I ? IO_O15 # O15 +bit 2 O ? IO_O15 1 0 Z +bit 1 C 0 IO_O15 + +# -------------------------------------- CLK3 ------------------------------------ + +bit 0 I ? CLK3 diff --git a/sie_fs/usr/local/share/urjtag/lattice/m4a3-64.32-tqfp48/STEPPINGS b/sie_fs/usr/local/share/urjtag/lattice/m4a3-64.32-tqfp48/STEPPINGS new file mode 100644 index 0000000..dc5528c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/m4a3-64.32-tqfp48/STEPPINGS @@ -0,0 +1,26 @@ +# +# $Id: STEPPINGS 619 2004-11-16 21:51:27Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register + +0001 m4a3-64.32-tqfp48 1 diff --git a/sie_fs/usr/local/share/urjtag/lattice/m4a3-64.32-tqfp48/m4a3-64.32-tqfp48 b/sie_fs/usr/local/share/urjtag/lattice/m4a3-64.32-tqfp48/m4a3-64.32-tqfp48 new file mode 100644 index 0000000..a7d1fed --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lattice/m4a3-64.32-tqfp48/m4a3-64.32-tqfp48 @@ -0,0 +1,187 @@ +# +# $Id: m4a3-64.32-tqfp48 619 2004-11-16 21:51:27Z telka $ +# +# JTAG declarations for Lattice M4A3-64/32 (48-pin TQFP) +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +signal IOA0 44 +signal IOA1 45 +signal IOA2 46 +signal IOA3 47 +signal IOA4 48 +signal IOA5 1 +signal IOA6 2 +signal IOA7 3 +signal IOB0 9 +signal IOB1 10 +signal IOB2 11 +signal IOB3 12 +signal IOB4 13 +signal IOB5 14 +signal IOB6 15 +signal IOB7 16 +signal IOC0 20 +signal IOC1 21 +signal IOC2 22 +signal IOC3 23 +signal IOC4 24 +signal IOC5 25 +signal IOC6 26 +signal IOC7 27 +signal IOD0 33 +signal IOD1 34 +signal IOD2 35 +signal IOD3 36 +signal IOD4 37 +signal IOD5 38 +signal IOD6 39 +signal IOD7 40 +signal CLK0 5 +signal CLK1 29 +signal TDI 4 +signal TCK 8 +signal TMS 28 +signal TDO 32 +signal GND 7 19 31 43 +signal NC 6 18 30 42 +signal VCC 17 41 + +# mandatory data registers +register BSR 98 # Boundary Scan Register +register BR 1 # Bypass Register + +# optional data registers +register DIR 32 # Device Identification Register + +instruction length 6 + +# mandatory instructions +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000100 BSR +instruction BYPASS 111111 BR + +# optional instructions +instruction IDCODE 000001 DIR +instruction USERCODE 010000 DIR +instruction HIGHZ 010001 BR +instruction CLAMP 100000 BR + + +# Boundary Scan Register bit definition + +bit 97 I ? IOA0 +bit 96 O ? IOA0 95 0 Z +bit 95 C 0 IOA0 +bit 94 I ? IOA1 +bit 93 O ? IOA1 92 0 Z +bit 92 C 0 IOA1 +bit 91 I ? IOA2 +bit 90 O ? IOA2 89 0 Z +bit 89 C 0 IOA2 +bit 88 I ? IOA3 +bit 87 O ? IOA3 86 0 Z +bit 86 C 0 IOA3 +bit 85 I ? IOA4 +bit 84 O ? IOA4 83 0 Z +bit 83 C 0 IOA4 +bit 82 I ? IOA5 +bit 81 O ? IOA5 80 0 Z +bit 80 C 0 IOA5 +bit 79 I ? IOA6 +bit 78 O ? IOA6 77 0 Z +bit 77 C 0 IOA6 +bit 76 I ? IOA7 +bit 75 O ? IOA7 74 0 Z +bit 74 C 0 IOA7 +bit 73 I ? CLK0 +bit 72 I ? IOB0 +bit 71 O ? IOB0 70 0 Z +bit 70 C 0 IOB0 +bit 69 I ? IOB1 +bit 68 O ? IOB1 67 0 Z +bit 67 C 0 IOB1 +bit 66 I ? IOB2 +bit 65 O ? IOB2 64 0 Z +bit 64 C 0 IOB2 +bit 63 I ? IOB3 +bit 62 O ? IOB3 61 0 Z +bit 61 C 0 IOB3 +bit 60 I ? IOB4 +bit 59 O ? IOB4 58 0 Z +bit 58 C 0 IOB4 +bit 57 I ? IOB5 +bit 56 O ? IOB5 55 0 Z +bit 55 C 0 IOB5 +bit 54 I ? IOB6 +bit 53 O ? IOB6 52 0 Z +bit 52 C 0 IOB6 +bit 51 I ? IOB7 +bit 50 O ? IOB7 49 0 Z +bit 49 C 0 IOB7 +bit 48 I ? IOC0 +bit 47 O ? IOC0 46 0 Z +bit 46 C 0 IOC0 +bit 45 I ? IOC1 +bit 44 O ? IOC1 43 0 Z +bit 43 C 0 IOC1 +bit 42 I ? IOC2 +bit 41 O ? IOC2 40 0 Z +bit 40 C 0 IOC2 +bit 39 I ? IOC3 +bit 38 O ? IOC3 37 0 Z +bit 37 C 0 IOC3 +bit 36 I ? IOC4 +bit 35 O ? IOC4 34 0 Z +bit 34 C 0 IOC4 +bit 33 I ? IOC5 +bit 32 O ? IOC5 31 0 Z +bit 31 C 0 IOC5 +bit 30 I ? IOC6 +bit 29 O ? IOC6 28 0 Z +bit 28 C 0 IOC6 +bit 27 I ? IOC7 +bit 26 O ? IOC7 25 0 Z +bit 25 C 0 IOC7 +bit 24 I ? CLK1 +bit 23 I ? IOD0 +bit 22 O ? IOD0 21 0 Z +bit 21 C 0 IOD0 +bit 20 I ? IOD1 +bit 19 O ? IOD1 18 0 Z +bit 18 C 0 IOD1 +bit 17 I ? IOD2 +bit 16 O ? IOD2 15 0 Z +bit 15 C 0 IOD2 +bit 14 I ? IOD3 +bit 13 O ? IOD3 12 0 Z +bit 12 C 0 IOD3 +bit 11 I ? IOD4 +bit 10 O ? IOD4 9 0 Z +bit 9 C 0 IOD4 +bit 8 I ? IOD5 +bit 7 O ? IOD5 6 0 Z +bit 6 C 0 IOD5 +bit 5 I ? IOD6 +bit 4 O ? IOD6 3 0 Z +bit 3 C 0 IOD6 +bit 2 I ? IOD7 +bit 1 O ? IOD7 0 0 Z +bit 0 C 0 IOD7 diff --git a/sie_fs/usr/local/share/urjtag/lexra/PARTS b/sie_fs/usr/local/share/urjtag/lexra/PARTS new file mode 100644 index 0000000..2ab3e9e --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lexra/PARTS @@ -0,0 +1,25 @@ +# +# $Id$ +# +# Copyright (C) 2005 Marek Michalkiewicz +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marek Michalkiewicz , 2005. +# + +# bits 27-12 of the Device Identification Register +0101001010000000 lx5280 LX5280 diff --git a/sie_fs/usr/local/share/urjtag/lexra/lx5280/STEPPINGS b/sie_fs/usr/local/share/urjtag/lexra/lx5280/STEPPINGS new file mode 100644 index 0000000..71fbbd1 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lexra/lx5280/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id$ +# +# Copyright (C) 2005 Marek Michalkiewicz +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marek Michalkiewicz , 2005. +# + +# bits 31-28 of the Device Identification Register +0001 lx5280 1 diff --git a/sie_fs/usr/local/share/urjtag/lexra/lx5280/lx5280 b/sie_fs/usr/local/share/urjtag/lexra/lx5280/lx5280 new file mode 100644 index 0000000..c011190 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/lexra/lx5280/lx5280 @@ -0,0 +1,44 @@ +# +# $Id$ +# +# JTAG declarations for Lexra LX5280 (Realtek RTL8181, RTL8186) +# Copyright (C) 2005 Marek Michalkiewicz +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marek Michalkiewicz , 2005. +# + +register BR 1 +register DIR 32 +register EJIMPCODE 32 +register EJADDRESS 32 +register EJDATA 32 +register EJCONTROL 32 +register EJALL 96 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction IDCODE 00001 DIR +instruction EJTAG_IMPCODE 00011 EJIMPCODE +instruction EJTAG_ADDRESS 01000 EJADDRESS +instruction EJTAG_DATA 01001 EJDATA +instruction EJTAG_CONTROL 01010 EJCONTROL +instruction EJTAG_ALL 01011 EJALL + +initbus ejtag +endian big diff --git a/sie_fs/usr/local/share/urjtag/motorola/mpc8245/1.2 b/sie_fs/usr/local/share/urjtag/motorola/mpc8245/1.2 new file mode 100644 index 0000000..0c2b414 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/motorola/mpc8245/1.2 @@ -0,0 +1,830 @@ +# +# $Id: 1.2 585 2003-11-02 23:13:02Z telka $ +# +# JTAG declarations for Motorola MPC8245 rev. 1.2 (or higher) +# Copyright (C) 2003 Marcel Telka +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Documentation: +# [1] Motorola, Inc., "MPC8245 Integrated Processor Hardware Specifications", +# MPC8245EC/D, Rev. 3, 7/2003 +# [2] Motorola, Inc., "Motorola PowerPC MPC8245 (TM) 603/PCI Bridge/Memory +# Controller Boundary Scan Description Language - MPC8245 Revision +# 1.2 (or higher)", Oct 29, 2002 +# + +# signal list (see Table 17 in [1]) + +# PCI Interface Signals +signal nC/BE3 P25 +signal nC/BE2 K23 +signal nC/BE1 F23 +signal nC/BE0 A25 +signal nDEVSEL H26 +signal nFRAME J24 +signal nIRDY K25 +signal nLOCK J26 +signal AD31 V25 +signal AD30 U25 +signal AD29 U26 +signal AD28 U24 +signal AD27 U23 +signal AD26 T25 +signal AD25 T26 +signal AD24 R25 +signal AD23 R26 +signal AD22 N26 +signal AD21 N25 +signal AD20 N23 +signal AD19 M26 +signal AD18 M25 +signal AD17 L25 +signal AD16 L26 +signal AD15 F24 +signal AD14 E26 +signal AD13 E25 +signal AD12 E23 +signal AD11 D26 +signal AD10 D25 +signal AD9 C26 +signal AD8 A26 +signal AD7 B26 +signal AD6 A24 +signal AD5 B24 +signal AD4 D19 +signal AD3 B23 +signal AD2 B22 +signal AD1 D22 +signal AD0 C22 +signal PAR G25 +signal nGNT3 W25 +signal nGNT2 W24 +signal nGNT1 W23 +signal nGNT0 V26 +signal nGNT4 W26 +signal nREQ3 Y25 +signal nREQ2 AA26 +signal nREQ1 AA25 +signal nREQ0 AB26 +signal nREQ4 Y26 +signal nPERR G26 +signal nSERR F26 +signal nSTOP H25 +signal nTRDY K26 +signal nINTA AC26 +signal IDSEL P26 + +# Memory Interface Signals +signal MDL0 AD17 +signal MDL1 AE17 +signal MDL2 AE15 +signal MDL3 AF15 +signal MDL4 AC14 +signal MDL5 AE13 +signal MDL6 AF13 +signal MDL7 AF12 +signal MDL8 AF11 +signal MDL9 AF10 +signal MDL10 AF9 +signal MDL11 AD8 +signal MDL12 AF8 +signal MDL13 AF7 +signal MDL14 AF6 +signal MDL15 AE5 +signal MDL16 B1 +signal MDL17 A1 +signal MDL18 A3 +signal MDL19 A4 +signal MDL20 A5 +signal MDL21 A6 +signal MDL22 A7 +signal MDL23 D7 +signal MDL24 A8 +signal MDL25 B8 +signal MDL26 A10 +signal MDL27 D10 +signal MDL28 A12 +signal MDL29 B11 +signal MDL30 B12 +signal MDL31 A14 +signal MDH0 AC17 +signal MDH1 AF16 +signal MDH2 AE16 +signal MDH3 AE14 +signal MDH4 AF14 +signal MDH5 AC13 +signal MDH6 AE12 +signal MDH7 AE11 +signal MDH8 AE10 +signal MDH9 AE9 +signal MDH10 AE8 +signal MDH11 AC7 +signal MDH12 AE7 +signal MDH13 AE6 +signal MDH14 AF5 +signal MDH15 AC5 +signal MDH16 E4 +signal MDH17 A2 +signal MDH18 B3 +signal MDH19 D4 +signal MDH20 B4 +signal MDH21 B5 +signal MDH22 D6 +signal MDH23 C6 +signal MDH24 B7 +signal MDH25 C9 +signal MDH26 A9 +signal MDH27 B10 +signal MDH28 A11 +signal MDH29 A13 +signal MDH30 B13 +signal MDH31 A15 +signal DQM0 AB1 +signal DQM1 AB2 +signal DQM2 K3 +signal DQM3 K2 +signal DQM4 AC1 +signal DQM5 AC2 +signal DQM6 K1 +signal DQM7 J1 +signal nCS0 Y4 +signal nCS1 AA3 +signal nCS2 AA4 +signal nCS3 AC4 +signal nCS4 M2 +signal nCS5 L2 +signal nCS6 M1 +signal nCS7 L1 +signal nFOE H1 +signal nRCS0 N4 +signal nRCS1 N2 +signal nRCS2 AF20 +signal nRCS3 AC18 +signal SDMA1 W1 +signal SDMA0 W2 +signal SDMA11 N1 +signal SDMA10 R1 +signal SDMA9 R2 +signal SDMA8 T1 +signal SDMA7 T2 +signal SDMA6 U4 +signal SDMA5 U2 +signal SDMA4 U1 +signal SDMA3 V1 +signal SDMA2 V3 +signal nDRDY B20 +signal SDMA12 B16 +signal SDMA13 B14 +signal SDMA14 D14 +signal SDBA1 P1 +signal SDBA0 P2 +signal PAR0 AF3 +signal PAR1 AE3 +signal PAR2 G4 +signal PAR3 E2 +signal PAR4 AE4 +signal PAR5 AF4 +signal PAR6 D2 +signal PAR7 C2 +signal nSDRAS AD1 +signal nSDCAS AD2 +signal CKE H2 +signal nWE AA1 +signal nAS Y1 + +# PIC Control Signals +signal IRQ0 C19 +signal IRQ1 B21 +signal IRQ2 AC22 +signal IRQ3 AE24 +signal IRQ4 A23 + +# I2C Control Signals +signal SDA AE20 +signal SCL AF21 + +# DUART Control Signals +signal SOUT1 AC25 +signal SIN1 AB25 +signal SOUT2 AE26 +signal SIN2 AF25 + +# Clock-Out Signals +salias PCI_CLK0 SOUT1 +salias PCI_CLK1 SIN1 +salias PCI_CLK2 SOUT2 +salias PCI_CLK3 SIN2 +signal PCI_CLK4 AF26 +signal PCI_SYNC_OUT AD25 +signal PCI_SYNC_IN AB23 +signal SDRAM_CLK0 D1 +signal SDRAM_CLK1 G1 +signal SDRAM_CLK2 G2 +signal SDRAM_CLK3 E1 +signal SDRAM_SYNC_OUT C1 +signal SDRAM_SYNC_IN H3 +signal CKO B15 +signal OSC_IN AD21 + +# Miscellaneous Signals +signal nHRST_CTRL A20 +signal nHRST_CPU A19 +signal nMCP A17 +signal NMI D16 +signal nSMI A18 +salias nSRESET SDMA12 +salias TBEN SDMA13 +signal nQACK F2 +salias nCHKSTOP_IN SDMA14 +salias TRIG_IN nRCS2 +salias TRIG_OUT nRCS3 +signal MAA0 AF2 +signal MAA1 AF1 +signal MAA2 AE1 +signal nMIV A16 +signal PMAA0 AD18 +signal PMAA1 AF18 +signal PMAA2 AE19 + +# Test/Configuration Signals +signal PLL_CFG0 A22 +signal PLL_CFG1 B19 +signal PLL_CFG2 A21 +signal PLL_CFG3 B18 +signal PLL_CFG4 B17 +signal nTEST0 AD22 +# nDRDY +signal RTC Y2 +signal TCK AF22 +signal TDI AF23 +signal TDO AC21 +signal TMS AE22 +signal nTRST AE23 + +# Power and Ground Signals +signal GND AA2 AA23 AC12 AC15 AC24 AC3 AC6 AC9 AD11 AD14 AD16 AD19 AD23 AD4 AE18 AE2 AE21 AE25 B2 B25 B6 B9 C11 C13 C16 C23 C4 C8 D12 D15 D18 D21 D24 D3 F25 F4 H24 J25 J4 L24 L3 M23 M4 N24 P3 R23 R4 T24 T3 V2 V23 W3 +signal LVDD AC20 AC23 D20 D23 G23 P23 Y23 +signal GVDD AB3 AB4 AC10 AC11 AC8 AD10 AD13 AD15 AD3 AD5 AD7 C10 C12 C3 C5 C7 D13 D5 D9 E3 G3 H4 K4 L4 N3 P4 R3 U3 V4 Y3 +signal OVDD AB24 AD20 AD24 C14 C20 C24 E24 G24 J23 K24 M24 P24 T23 Y24 +signal VDD AA24 AC16 AC19 AD12 AD6 AD9 C15 C18 C21 D11 D8 F3 H23 J3 L23 M3 R24 T4 V24 W4 +signal NC D17 +signal AVDD C17 +signal AVDD2 AF24 + +# Debug/Manufacturing Pins +salias DA0 nQACK +salias DA1 CKO +signal DA2 C25 +salias DA3 PCI_CLK4 +salias DA4 nREQ4 +salias DA5 nGNT4 +salias DA10 PLL_CFG0 +salias DA9 PLL_CFG1 +salias DA8 PLL_CFG2 +salias DA7 PLL_CFG3 +salias DA6 PLL_CFG4 +signal DA11 AD26 +signal DA12 AF17 +signal DA13 AF19 +signal DA14 F1 +signal DA15 J2 + +# see [2] +# mandatory data registers +register BSR 507 +register BR 1 + +# see [2] +instruction length 8 +# mandatory instructions +instruction EXTEST 00000000 BSR +instruction SAMPLE/PRELOAD 11000000 BSR +instruction BYPASS 11111111 BR +# optional instructions +instruction HIGHZ 11110000 BR +instruction CLAMP 11110001 BR + +# see [2] +bit 506 B 0 nC/BE0 298 0 Z +bit 505 B 0 nIRQ1 504 0 Z +bit 504 C 0 . +bit 503 I ? nIRQ0 +bit 502 B 0 nIRQ4 501 0 Z +bit 501 C 0 . +bit 500 I ? nDRDY +bit 499 B 0 PLL_CFG0 132 0 Z +bit 498 B 0 PLL_CFG1 132 0 Z +bit 497 B 0 PLL_CFG2 132 0 Z +bit 496 B 0 PLL_CFG3 132 0 Z +bit 495 B 0 PLL_CFG4 132 0 Z +bit 494 I ? nHRST_CTRL +bit 493 I ? nHRST_CPU +bit 492 O 0 SDRAM_SYNC_OUT 133 0 Z +bit 491 I ? SDRAM_SYNC_IN +bit 490 B 0 nMCP 251 0 Z +bit 489 X ? . +bit 488 I ? NMI +bit 487 O 0 nMIV 222 0 Z +bit 486 B 0 PAR0 188 0 Z +bit 485 B 0 PAR1 188 0 Z +bit 484 B 0 PAR2 188 0 Z +bit 483 B 0 PAR3 188 0 Z +bit 482 B 0 PAR4 189 0 Z +bit 481 B 0 PAR5 189 0 Z +bit 480 B 0 PAR6 189 0 Z +bit 479 B 0 PAR7 189 0 Z +bit 478 X ? . +bit 477 X ? . +bit 476 X ? . +bit 475 X ? . +bit 474 X ? . +bit 473 X ? . +bit 472 X ? . +bit 471 X ? . +bit 470 X ? . +bit 469 X ? . +bit 468 X ? . +bit 467 X ? . +bit 466 X ? . +bit 465 X ? . +bit 464 X ? . +bit 463 X ? . +bit 462 X ? . +bit 461 X ? . +bit 460 X ? . +bit 459 X ? . +bit 458 X ? . +bit 457 X ? . +bit 456 X ? . +bit 455 X ? . +bit 454 X ? . +bit 453 X ? . +bit 452 X ? . +bit 451 X ? . +bit 450 X ? . +bit 449 X ? . +bit 448 X ? . +bit 447 X ? . +bit 446 X ? . +bit 445 X ? . +bit 444 X ? . +bit 443 X ? . +bit 442 X ? . +bit 441 X ? . +bit 440 X ? . +bit 439 X ? . +bit 438 X ? . +bit 437 X ? . +bit 436 C 0 . +bit 435 X ? . +bit 434 B 0 MDH0 436 0 Z +bit 433 B 0 MDH1 436 0 Z +bit 432 B 0 MDH2 436 0 Z +bit 431 B 0 MDH3 436 0 Z +bit 430 B 0 MDH4 436 0 Z +bit 429 B 0 MDH5 436 0 Z +bit 428 B 0 MDH6 436 0 Z +bit 427 B 0 MDH7 436 0 Z +bit 426 B 0 MDH8 436 0 Z +bit 425 B 0 MDH9 436 0 Z +bit 424 B 0 MDH10 436 0 Z +bit 423 B 0 MDH11 436 0 Z +bit 422 B 0 MDH12 436 0 Z +bit 421 B 0 MDH13 436 0 Z +bit 420 B 0 MDH14 436 0 Z +bit 419 B 0 MDH15 436 0 Z +bit 418 B 0 MDH16 436 0 Z +bit 417 B 0 MDH17 436 0 Z +bit 416 B 0 MDH18 436 0 Z +bit 415 B 0 MDH19 436 0 Z +bit 414 B 0 MDH20 436 0 Z +bit 413 B 0 MDH21 436 0 Z +bit 412 B 0 MDH22 436 0 Z +bit 411 B 0 MDH23 436 0 Z +bit 410 B 0 MDH24 436 0 Z +bit 409 B 0 MDH25 436 0 Z +bit 408 B 0 MDH26 436 0 Z +bit 407 B 0 MDH27 436 0 Z +bit 406 B 0 MDH28 436 0 Z +bit 405 B 0 MDH29 436 0 Z +bit 404 B 0 MDH30 436 0 Z +bit 403 B 0 MDH31 436 0 Z +bit 402 B 0 nFOE 223 0 Z +bit 401 C 0 . +bit 400 O 0 nRCS1 224 0 Z +bit 399 B 0 nRCS0 223 0 Z +bit 398 O 0 SDRAM_CLK0 397 0 Z +bit 397 C 0 . +bit 396 O 0 SDRAM_CLK2 395 0 Z +bit 395 C 0 . +bit 394 O 0 SDRAM_CLK3 393 0 Z +bit 393 C 0 . +bit 392 B 0 CKE 401 0 Z +bit 391 O 0 SDBA0 224 0 Z +bit 390 X ? . +bit 389 X ? . +bit 388 X ? . +bit 387 X ? . +bit 386 X ? . +bit 385 X ? . +bit 384 X ? . +bit 383 X ? . +bit 382 X ? . +bit 381 X ? . +bit 380 X ? . +bit 379 X ? . +bit 378 X ? . +bit 377 X ? . +bit 376 X ? . +bit 375 X ? . +bit 374 X ? . +bit 373 X ? . +bit 372 X ? . +bit 371 X ? . +bit 370 X ? . +bit 369 X ? . +bit 368 X ? . +bit 367 X ? . +bit 366 X ? . +bit 365 X ? . +bit 364 X ? . +bit 363 X ? . +bit 362 X ? . +bit 361 X ? . +bit 360 X ? . +bit 359 X ? . +bit 358 C 0 . +bit 357 B 0 MDL0 358 0 Z +bit 356 B 0 MDL1 358 0 Z +bit 355 B 0 MDL2 358 0 Z +bit 354 B 0 MDL3 358 0 Z +bit 353 B 0 MDL4 358 0 Z +bit 352 B 0 MDL5 358 0 Z +bit 351 B 0 MDL6 358 0 Z +bit 350 B 0 MDL7 358 0 Z +bit 349 B 0 MDL8 358 0 Z +bit 348 B 0 MDL9 358 0 Z +bit 347 B 0 MDL10 358 0 Z +bit 346 B 0 MDL11 358 0 Z +bit 345 B 0 MDL12 358 0 Z +bit 344 B 0 MDL13 358 0 Z +bit 343 B 0 MDL14 358 0 Z +bit 342 B 0 MDL15 358 0 Z +bit 341 B 0 MDL16 358 0 Z +bit 340 B 0 MDL17 358 0 Z +bit 339 B 0 MDL18 358 0 Z +bit 338 B 0 MDL19 358 0 Z +bit 337 B 0 MDL20 358 0 Z +bit 336 B 0 MDL21 358 0 Z +bit 335 B 0 MDL22 358 0 Z +bit 334 B 0 MDL23 358 0 Z +bit 333 B 0 MDL24 358 0 Z +bit 332 B 0 MDL25 358 0 Z +bit 331 B 0 MDL26 358 0 Z +bit 330 B 0 MDL27 358 0 Z +bit 329 B 0 MDL28 358 0 Z +bit 328 B 0 MDL29 358 0 Z +bit 327 B 0 MDL30 358 0 Z +bit 326 B 0 MDL31 358 0 Z +bit 325 B 0 SDMA0 223 0 Z +bit 324 B 0 SDMA1 223 0 Z +bit 323 O 0 SDMA2 224 0 Z +bit 322 O 0 SDMA3 224 0 Z +bit 321 O 0 SDMA4 224 0 Z +bit 320 O 0 SDMA5 224 0 Z +bit 319 O 0 SDMA6 224 0 Z +bit 318 O 0 SDMA7 224 0 Z +bit 317 O 0 SDMA8 224 0 Z +bit 316 O 0 SDMA9 224 0 Z +bit 315 O 0 SDMA10 224 0 Z +bit 314 O 0 SDMA11 224 0 Z +bit 313 X ? . +bit 312 X ? . +bit 311 X ? . +bit 310 O 0 SDBA1 224 0 Z +bit 309 B 0 PAR 299 0 Z +bit 308 C 0 . +bit 307 O 0 SDRAM_CLK1 308 0 Z +bit 306 X ? . +bit 305 X ? . +bit 304 O 0 DQM2 224 0 Z +bit 303 O 0 DQM5 224 0 Z +bit 302 O 0 DQM4 224 0 Z +bit 301 O 0 DQM3 224 0 Z +bit 300 X ? . +bit 299 C 0 . +bit 298 C 0 . +bit 297 C 0 . +bit 296 C 0 . +bit 295 X ? . +bit 294 X ? . +bit 293 X ? . +bit 292 X ? . +bit 291 B 0 nTRDY 296 0 Z +bit 290 X ? . +bit 289 B 0 nGNT4 223 0 Z +bit 288 O 0 nGNT2 223 0 Z +bit 287 X ? . +bit 286 X ? . +bit 285 X ? . +bit 284 X ? . +bit 283 X ? . +bit 282 O 0 nCS2 224 0 Z +bit 281 O 0 nCS0 224 0 Z +bit 280 O 0 nCS1 224 0 Z +bit 279 X ? . +bit 278 X ? . +bit 277 X ? . +bit 276 C 0 . +bit 275 B 0 nINTA 276 0 Z +bit 274 X ? . +bit 273 B 0 nSRESET 131 0 Z +bit 272 B 0 nQACK 223 0 Z +bit 271 X ? . +bit 270 X ? . +bit 269 X ? . +bit 268 X ? . +bit 267 X ? . +bit 266 X ? . +bit 265 X ? . +bit 264 X ? . +bit 263 X ? . +bit 262 X ? . +bit 261 X ? . +bit 260 X ? . +bit 259 X ? . +bit 258 X ? . +bit 257 X ? . +bit 256 X ? . +bit 255 X ? . +bit 254 X ? . +bit 253 X ? . +bit 252 X ? . +bit 251 C 0 . +bit 250 X ? . +bit 249 C 0 . +bit 248 X ? . +bit 247 X ? . +bit 246 X ? . +bit 245 X ? . +bit 244 X ? . +bit 243 X ? . +bit 242 X ? . +bit 241 X ? . +bit 240 X ? . +bit 239 X ? . +bit 238 X ? . +bit 237 X ? . +bit 236 X ? . +bit 235 O 0 nCS4 224 0 Z +bit 234 O 0 nCS5 224 0 Z +bit 233 O 0 DQM0 224 0 Z +bit 232 O 0 DQM6 224 0 Z +bit 231 O 0 DQM1 224 0 Z +bit 230 O 0 DQM7 224 0 Z +bit 229 X ? . +bit 228 X ? . +bit 227 X ? . +bit 226 X ? . +bit 225 X ? . +bit 224 C 0 . +bit 223 C 0 . +bit 222 C 0 . +bit 221 O 0 nGNT1 223 0 Z +bit 220 X ? . +bit 219 C 0 . +bit 218 O 0 nCS6 224 0 Z +bit 217 O 0 nCS7 224 0 Z +bit 216 O 0 nSDRAS 224 0 Z +bit 215 O 0 nCS3 224 0 Z +bit 214 O 0 nAS 223 0 Z +bit 213 X ? . +bit 212 I ? RTC +bit 211 O 0 TRIG_OUT 219 0 Z +bit 210 B 0 TBEN 130 0 Z +bit 209 X ? . +bit 208 X ? . +bit 207 O 0 nGNT3 223 0 Z +bit 206 O 0 nGNT0 223 0 Z +bit 205 O 0 CKO 249 0 Z +bit 204 O 0 nWE 224 0 Z +bit 203 C 0 . +bit 202 C 0 . +bit 201 C 0 . +bit 200 C 0 . +bit 199 C 0 . +bit 198 C 0 . +bit 197 O 0 PCI_CLK4 203 0 Z +bit 196 O 0 PCI_SYNC_OUT 202 0 Z +bit 195 B 0 PCI_CLK3 200 0 Z +bit 194 O 0 PCI_CLK2 201 0 Z +bit 193 B 0 PCI_CLK1 198 0 Z +bit 192 O 0 PCI_CLK0 199 0 Z +bit 191 C 0 . +bit 190 X ? . +bit 189 C 0 . +bit 188 C 0 . +bit 187 C 0 . +bit 186 C 0 . +bit 185 B 0 TRIG_IN 130 0 Z +bit 184 B 0 nCHKSTOP_IN 131 0 Z +bit 183 X ? . +bit 182 X ? . +bit 181 X ? . +bit 180 X ? . +bit 179 X ? . +bit 178 X ? . +bit 177 X ? . +bit 176 X ? . +bit 175 X ? . +bit 174 X ? . +bit 173 X ? . +bit 172 X ? . +bit 171 X ? . +bit 170 X ? . +bit 169 X ? . +bit 168 O 0 nSDCAS 224 0 Z +bit 167 X ? . +bit 166 X ? . +bit 165 X ? . +bit 164 X ? . +bit 163 X ? . +bit 162 B 0 MAA2 223 0 Z +bit 161 X ? . +bit 160 X ? . +bit 159 X ? . +bit 158 X ? . +bit 157 X ? . +bit 156 X ? . +bit 155 O 0 DA15 223 0 Z +bit 154 X ? . +bit 153 O 0 DA14 223 0 Z +bit 152 O 0 DA11 223 0 Z +bit 151 O 0 DA13 223 0 Z +bit 150 O 0 DA2 223 0 Z +bit 149 O 0 DA12 223 0 Z +bit 148 X ? . +bit 147 X ? . +bit 146 X ? . +bit 145 X ? . +bit 144 X ? . +bit 143 X ? . +bit 142 X ? . +bit 141 X ? . +bit 140 X ? . +bit 139 X ? . +bit 138 X ? . +bit 137 X ? . +bit 136 X ? . +bit 135 X ? . +bit 134 X ? . +bit 133 C 0 . +bit 132 C 0 . +bit 131 C 0 . +bit 130 C 0 . +bit 129 X ? . +bit 128 X ? . +bit 127 X ? . +bit 126 X ? . +bit 125 X ? . +bit 124 X ? . +bit 123 X ? . +bit 122 X ? . +bit 121 X ? . +bit 120 X ? . +bit 119 X ? . +bit 118 X ? . +bit 117 X ? . +bit 116 X ? . +bit 115 X ? . +bit 114 X ? . +bit 113 X ? . +bit 112 X ? . +bit 111 X ? . +bit 110 B 0 AD11 21 0 Z +bit 109 B 0 AD10 21 0 Z +bit 108 B 0 AD9 21 0 Z +bit 107 B 0 AD8 21 0 Z +bit 106 B 0 AD7 21 0 Z +bit 105 B 0 AD6 21 0 Z +bit 104 B 0 AD5 21 0 Z +bit 103 B 0 AD4 21 0 Z +bit 102 B 0 AD3 21 0 Z +bit 101 B 0 AD2 21 0 Z +bit 100 B 0 AD1 21 0 Z +bit 99 B 0 AD0 21 0 Z +bit 98 X ? . +bit 97 X ? . +bit 96 X ? . +bit 95 X ? . +bit 94 X ? . +bit 93 X ? . +bit 92 X ? . +bit 91 X ? . +bit 90 X ? . +bit 89 X ? . +bit 88 X ? . +bit 87 X ? . +bit 86 X ? . +bit 85 X ? . +bit 84 X ? . +bit 83 X ? . +bit 82 X ? . +bit 81 X ? . +bit 80 X ? . +bit 79 X ? . +bit 78 X ? . +bit 77 X ? . +bit 76 X ? . +bit 75 X ? . +bit 74 X ? . +bit 73 X ? . +bit 72 X ? . +bit 71 X ? . +bit 70 X ? . +bit 69 X ? . +bit 68 X ? . +bit 67 X ? . +bit 66 X ? . +bit 65 B 0 nFRAME 297 0 Z +bit 64 B 0 nC/BE3 298 0 Z +bit 63 B 0 nC/BE2 298 0 Z +bit 62 B 0 nC/BE1 298 0 Z +bit 61 B 0 nDEVSEL 186 0 Z +bit 60 B 0 nPERR 187 0 Z +bit 59 B 0 nSTOP 54 0 Z +bit 58 I ? nLOCK +bit 57 X ? . +bit 56 C 0 . +bit 55 B 0 nIRDY 56 0 Z +bit 54 C 0 . +bit 53 X ? . +bit 52 X ? . +bit 51 B 0 MAA1 223 0 Z +bit 50 B 0 PMAA0 223 0 Z +bit 49 B 0 PMAA1 223 0 Z +bit 48 B 0 PMAA2 223 0 Z +bit 47 B 0 MAA0 223 0 Z +bit 46 X ? . +bit 45 I ? OSC_IN +bit 44 C 0 . +bit 43 C 0 . +bit 42 B 0 SCL 44 0 Z +bit 41 B 0 SDA 43 0 Z +bit 40 B 0 IRQ2 39 0 Z +bit 39 C 0 . +bit 38 C 0 . +bit 37 B 0 IRQ3 38 0 Z +bit 36 I ? PCI_SYNC_IN +bit 35 X ? . +bit 34 X ? . +bit 33 X ? . +bit 32 I ? nSMI +bit 31 X ? . +bit 30 X ? . +bit 29 X ? . +bit 28 I ? IDSEL +bit 27 I ? nREQ0 +bit 26 I ? nREQ1 +bit 25 I ? nREQ2 +bit 24 I ? nREQ3 +bit 23 B 0 nREQ4 132 0 Z +bit 22 B 0 nSERR 191 0 Z +bit 21 C 0 . +bit 20 X ? . +bit 19 B 0 AD16 21 0 Z +bit 18 B 0 AD17 21 0 Z +bit 17 B 0 AD18 21 0 Z +bit 16 B 0 AD19 21 0 Z +bit 15 B 0 AD20 21 0 Z +bit 14 B 0 AD21 21 0 Z +bit 13 B 0 AD22 21 0 Z +bit 12 B 0 AD23 21 0 Z +bit 11 B 0 AD24 21 0 Z +bit 10 B 0 AD25 21 0 Z +bit 9 B 0 AD26 21 0 Z +bit 8 B 0 AD27 21 0 Z +bit 7 B 0 AD28 21 0 Z +bit 6 B 0 AD29 21 0 Z +bit 5 B 0 AD30 21 0 Z +bit 4 B 0 AD31 21 0 Z +bit 3 B 0 AD15 21 0 Z +bit 2 B 0 AD14 21 0 Z +bit 1 B 0 AD13 21 0 Z +bit 0 B 0 AD12 21 0 Z + +initbus mpc824x diff --git a/sie_fs/usr/local/share/urjtag/philips/PARTS b/sie_fs/usr/local/share/urjtag/philips/PARTS new file mode 100644 index 0000000..de18d71 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/philips/PARTS @@ -0,0 +1,26 @@ +# +# $Id: PARTS 606 2004-08-17 22:01:59Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 27-12 of the Device Identification Register +0100100010001001 xcr3128xl-vq100 XCR3128XL-VQ100 +0100100010001100 xcr3128xl-cs144 XCR3128XL-CS144 diff --git a/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-cs144/STEPPINGS b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-cs144/STEPPINGS new file mode 100644 index 0000000..82b358e --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-cs144/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 511 2003-08-14 11:33:02Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register +0000 xcr3128xl-cs144 0 diff --git a/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-cs144/xcr3128xl-cs144 b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-cs144/xcr3128xl-cs144 new file mode 100644 index 0000000..dcb95cc --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-cs144/xcr3128xl-cs144 @@ -0,0 +1,25 @@ +# +# $Id: xcr3128xl-cs144 511 2003-08-14 11:33:02Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2003. +# + +# This part is same as Xilinx XCR3128XL-CS144 +include xilinx/xcr3128xl-cs144/xcr3128xl-cs144 diff --git a/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-vq100/STEPPINGS b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-vq100/STEPPINGS new file mode 100644 index 0000000..257b7e9 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-vq100/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 606 2004-08-17 22:01:59Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register +0000 xcr3128xl-vq100 0 diff --git a/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-vq100/xcr3128xl-vq100 b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-vq100/xcr3128xl-vq100 new file mode 100644 index 0000000..147e52b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/philips/xcr3128xl-vq100/xcr3128xl-vq100 @@ -0,0 +1,25 @@ +# +# $Id: xcr3128xl-vq100 606 2004-08-17 22:01:59Z telka $ +# +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2003. +# + +# This part is same as Xilinx XCR3128XL-VQ100 +include xilinx/xcr3128xl-vq100/xcr3128xl-vq100 diff --git a/sie_fs/usr/local/share/urjtag/samsung/s3c4510b/s3c4510b b/sie_fs/usr/local/share/urjtag/samsung/s3c4510b/s3c4510b new file mode 100644 index 0000000..c49fa2c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/samsung/s3c4510b/s3c4510b @@ -0,0 +1,457 @@ +# +# $Id: s3c4510b 558 2003-09-05 21:09:14Z telka $ +# +# JTAG declarations for Samsung S3C4510B +# Copyright (C) 2003 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Jiun-Shian Ho , 2003. +# +# Documentation: +# [1] Samsung Electronics Co., Ltd., "S3C4510B 32-Bit RISC Microcontroller +# User's Manual", Revision 1, August 2000, +# Order Number: 21-S3-C4510B-082000 +# + +# see Table A-2 or BSDL file (Page: A-13 ~ A-15) in [1] +signal nUADTR1 3 +signal UATXD1 4 +signal nUADSR1 5 +signal nDTRA 6 +signal RXDA 7 +signal nRTSA 8 +signal TXDA 9 +signal nCTSA 10 +signal nDCDA 13 +signal RXCA 14 +signal nSYNCA 15 +signal TXCA 16 +signal nDTRB 17 +signal RXDB 18 +signal nRTSB 19 +signal TXDB 20 +signal nCTSB 23 +signal nDCDB 24 +signal RXCB 25 +signal nSYNCB 26 +signal TXCB 27 +signal CRS_CRS_10M 28 +signal RX_DV_LINK10 29 +signal RXD0_RXD_10M 30 +signal RXD1 33 +signal RXD2 34 +signal RXD3 35 +signal RX_ERR 36 +signal RX_CLK_RXCLK_10M 37 +signal COL_COL_10M 38 +signal TXD0_TXD_10M 39 +signal TXD1_LOOP10 40 +signal TXD2 43 +signal TXD3 44 +signal TX_ERR_PCOMP_10M 45 +signal TX_CLK_TXCLK_10M 46 +signal TX_EN_TXEN_10M 47 +signal MDIO 48 +signal LITTLE 49 +signal MDC 50 +signal TCK 58 +signal TMS 59 +signal TDI 60 +signal TDO 61 +signal nTRST 62 +signal TMODE 63 +signal UCLK 64 +signal nECS0 67 +signal nECS1 68 +signal nECS2 69 +signal nECS3 70 +signal nEWAIT 71 +signal nOE 72 +signal B0SIZE0 73 +signal B0SIZE1 74 +signal CLKOEN 76 +signal MCLK 80 +signal nRESET 82 +signal CLKSEL 83 # PCLKSEL vs. CLKSEL ? +signal nRCS0 75 +signal nRCS1 84 +signal nRCS2 85 +signal nRCS3 86 +signal nRCS4 87 +signal nRCS5 88 +signal nRAS0 89 +signal nRAS1 90 +signal nRAS2 91 +signal nRAS3 94 +signal nCAS0 95 +signal nCAS1 96 +signal nCAS2 97 +signal nCAS3 98 +signal nDWE 99 +signal nWBE0 100 +signal nWBE1 101 +signal nWBE2 102 +signal nWBE3 107 +signal ExtMREQ 108 +signal ExtMACK 109 +signal ADDR0 110 +signal ADDR1 111 +signal ADDR2 112 +signal ADDR3 113 +signal ADDR4 114 +signal ADDR5 115 +signal ADDR6 116 +signal ADDR7 117 +signal ADDR8 120 +signal ADDR9 121 +signal ADDR10 122 +signal ADDR11 123 +signal ADDR12 124 +signal ADDR13 125 +signal ADDR14 126 +signal ADDR15 127 +signal ADDR16 128 +signal ADDR17 129 +signal ADDR18 132 +signal ADDR19 133 +signal ADDR20 134 +signal ADDR21 135 +signal XDATA0 136 +signal XDATA1 137 +signal XDATA2 138 +signal XDATA3 139 +signal XDATA4 140 +signal XDATA5 141 +signal XDATA6 144 +signal XDATA7 145 +signal XDATA8 146 +signal XDATA9 147 +signal XDATA10 148 +signal XDATA11 149 +signal XDATA12 150 +signal XDATA13 151 +signal XDATA14 152 +signal XDATA15 153 +signal XDATA16 154 +signal XDATA17 159 +signal XDATA18 160 +signal XDATA19 161 +signal XDATA20 162 +signal XDATA21 163 +signal XDATA22 164 +signal XDATA23 165 +signal XDATA24 166 +signal XDATA25 169 +signal XDATA26 170 +signal XDATA27 171 +signal XDATA28 172 +signal XDATA29 173 +signal XDATA30 174 +signal XDATA31 175 +signal P0 176 +signal P1 179 +signal P2 180 +signal P3 181 +signal P4 182 +signal P5 183 +signal P6 184 +signal P7 185 +signal P8 186 +signal P9 189 +signal P10 190 +signal P11 191 +signal P12 192 +signal P13 193 +signal P14 194 +signal P15 195 +signal P16 196 +signal P17 199 +signal SCL 200 +signal SDA 201 +signal UARXD0 202 +signal nUADTR0 203 +signal UATXD0 204 +signal nUADSR0 205 +signal UARXD1 206 +signal VDDP 1 21 41 53 78 103 118 142 157 177 197 +signal VDDI 11 31 51 65 92 105 130 155 167 187 207 +signal VSSP 2 22 42 54 79 93 106 131 156 168 188 208 +signal VSSI 12 32 52 66 81 104 119 143 158 178 198 + +# mandatory data registers +register BSR 233 # Boundary Scan Register (see Appendix-A (Page A-16) in [1]) +register BR 1 # Bypass Register +# optional data registers +register DIR 32 # Device Identification Register + +register SCAN 4 # Select scan channel for ARM + +# Appendix-A (Page A-16) in [1] +instruction length 4 + +# see Table A-6 in [1] +# mandatory instructions +instruction EXTEST 0000 BSR +instruction SAMPLE/PRELOAD 0011 BSR +instruction BYPASS 1111 BR + +# optional instructions +instruction HIGHZ 0111 BR +instruction IDCODE 1110 DIR +instruction INTEST 1100 BSR +instruction CLAMP 0101 BR + +instruction CLAMPZ 1001 BR +instruction RESTART 0100 BR +instruction SCAN_N 0010 SCAN + +# see Table A-2 or BSDL file (Page: A-16 ~ A-23) in [1] +bit 232 I ? nUADTR1 +bit 231 O ? UATXD1 +bit 230 O ? nUADSR1 +bit 229 O ? nDTRA +bit 228 I ? RXDA +bit 227 O ? nRTSA +bit 226 O ? TXDA +bit 225 I ? nCTSA +bit 224 I ? nDCDA +bit 223 I ? RXCA +bit 222 O ? nSYNCA +bit 221 I ? TXCA +bit 220 O ? TXCA 219 1 Z +bit 219 C 1 TXCA +bit 218 O ? nDTRB +bit 217 I ? RXDB +bit 216 O ? nRTSB +bit 215 O ? TXDB +bit 214 I ? nCTSB +bit 213 I ? nDCDB +bit 212 I ? RXCB +bit 211 O ? nSYNCB +bit 210 I ? TXCB +bit 209 O ? TXCB 208 1 Z +bit 208 C 1 TXCB +bit 207 I ? CRS_CRS_10M +bit 206 I ? RX_DV_LINK10 +bit 205 I ? RXD0_RXD_10M +bit 204 I ? RXD1 +bit 203 I ? RXD2 +bit 202 I ? RXD3 +bit 201 I ? RX_ERR +bit 200 I ? RX_CLK_RXCLK_10M +bit 199 I ? COL_COL_10M +bit 198 O ? TXD0_TXD_10M +bit 197 O ? TXD1_LOOP10 +bit 196 O ? TXD2 +bit 195 O ? TXD3 +bit 194 O ? TX_ERR_PCOMP_10M +bit 193 I ? TX_CLK_TXCLK_10M +bit 192 O ? TX_EN_TXEN_10M +bit 191 I ? MDIO +bit 190 O ? MDIO 189 1 Z +bit 189 C 1 MDIO +bit 188 I ? LITTLE +bit 187 O ? MDC +bit 186 I ? TMODE +bit 185 I ? UCLK +bit 184 C 1 . +bit 183 O ? nECS0 184 1 Z +bit 182 O ? nECS1 184 1 Z +bit 181 O ? nECS2 184 1 Z +bit 180 O ? nECS3 184 1 Z +bit 179 I ? nEWAIT +bit 178 O ? nOE 184 1 Z +bit 177 I ? B0SIZE0 +bit 176 I ? B0SIZE1 +bit 175 O ? nRCS0 184 1 Z +bit 174 I ? CLKOEN +bit 173 O ? MCLKO +bit 172 I ? MCLK +bit 171 I ? nRESET +bit 170 I ? CLKSEL +bit 169 O ? nRCS1 184 1 Z +bit 168 O ? nRCS2 184 1 Z +bit 167 O ? nRCS3 184 1 Z +bit 166 O ? nRCS4 184 1 Z +bit 165 O ? nRCS5 184 1 Z +bit 164 O ? nRAS0 184 1 Z +bit 163 O ? nRAS1 184 1 Z +bit 162 O ? nRAS2 184 1 Z +bit 161 O ? nRAS3 184 1 Z +bit 160 O ? nCAS0 184 1 Z +bit 159 O ? nCAS1 184 1 Z +bit 158 O ? nCAS2 184 1 Z +bit 157 O ? nCAS3 184 1 Z +bit 156 O ? nDWE 184 1 Z +bit 155 O ? nWBE0 184 1 Z +bit 154 O ? nWBE1 184 1 Z +bit 153 O ? nWBE2 184 1 Z +bit 152 O ? nWBE3 184 1 Z +bit 151 I ? ExtMREQ +bit 150 O ? ExtMACK +bit 149 O ? ADDR0 184 1 Z +bit 148 O ? ADDR1 184 1 Z +bit 147 O ? ADDR2 184 1 Z +bit 146 O ? ADDR3 184 1 Z +bit 145 O ? ADDR4 184 1 Z +bit 144 O ? ADDR5 184 1 Z +bit 143 O ? ADDR6 184 1 Z +bit 142 O ? ADDR7 184 1 Z +bit 141 O ? ADDR8 184 1 Z +bit 140 O ? ADDR9 184 1 Z +bit 139 O ? ADDR10 184 1 Z +bit 138 O ? ADDR11 184 1 Z +bit 137 O ? ADDR12 184 1 Z +bit 136 O ? ADDR13 184 1 Z +bit 135 O ? ADDR14 184 1 Z +bit 134 O ? ADDR15 184 1 Z +bit 133 O ? ADDR16 184 1 Z +bit 132 O ? ADDR17 184 1 Z +bit 131 O ? ADDR18 184 1 Z +bit 130 O ? ADDR19 184 1 Z +bit 129 O ? ADDR20 184 1 Z +bit 128 O ? ADDR21 184 1 Z +bit 127 C 1 . +bit 126 I ? XDATA0 +bit 125 O ? XDATA0 127 1 Z +bit 124 I ? XDATA1 +bit 123 O ? XDATA1 127 1 Z +bit 122 I ? XDATA2 +bit 121 O ? XDATA2 127 1 Z +bit 120 I ? XDATA3 +bit 119 O ? XDATA3 127 1 Z +bit 118 I ? XDATA4 +bit 117 O ? XDATA4 127 1 Z +bit 116 I ? XDATA5 +bit 115 O ? XDATA5 127 1 Z +bit 114 I ? XDATA6 +bit 113 O ? XDATA6 127 1 Z +bit 112 I ? XDATA7 +bit 111 O ? XDATA7 127 1 Z +bit 110 I ? XDATA8 +bit 109 O ? XDATA8 127 1 Z +bit 108 I ? XDATA9 +bit 107 O ? XDATA9 127 1 Z +bit 106 I ? XDATA10 +bit 105 O ? XDATA10 127 1 Z +bit 104 I ? XDATA11 +bit 103 O ? XDATA11 127 1 Z +bit 102 I ? XDATA12 +bit 101 O ? XDATA12 127 1 Z +bit 100 I ? XDATA13 +bit 99 O ? XDATA13 127 1 Z +bit 98 I ? XDATA14 +bit 97 O ? XDATA14 127 1 Z +bit 96 I ? XDATA15 +bit 95 O ? XDATA15 127 1 Z +bit 94 I ? XDATA16 +bit 93 O ? XDATA16 127 1 Z +bit 92 I ? XDATA17 +bit 91 O ? XDATA17 127 1 Z +bit 90 I ? XDATA18 +bit 89 O ? XDATA18 127 1 Z +bit 88 I ? XDATA19 +bit 87 O ? XDATA19 127 1 Z +bit 86 I ? XDATA20 +bit 85 O ? XDATA20 127 1 Z +bit 84 I ? XDATA21 +bit 83 O ? XDATA21 127 1 Z +bit 82 I ? XDATA22 +bit 81 O ? XDATA22 127 1 Z +bit 80 I ? XDATA23 +bit 79 O ? XDATA23 127 1 Z +bit 78 I ? XDATA24 +bit 77 O ? XDATA24 127 1 Z +bit 76 I ? XDATA25 +bit 75 O ? XDATA25 127 1 Z +bit 74 I ? XDATA26 +bit 73 O ? XDATA26 127 1 Z +bit 72 I ? XDATA27 +bit 71 O ? XDATA27 127 1 Z +bit 70 I ? XDATA28 +bit 69 O ? XDATA28 127 1 Z +bit 68 I ? XDATA29 +bit 67 O ? XDATA29 127 1 Z +bit 66 I ? XDATA30 +bit 65 O ? XDATA30 127 1 Z +bit 64 I ? XDATA31 +bit 63 O ? XDATA31 127 1 Z +bit 62 I ? P0 +bit 61 O ? P0 60 1 Z +bit 60 C 1 P0 +bit 59 I ? P1 +bit 58 O ? P1 57 1 Z +bit 57 C 1 P1 +bit 56 I ? P2 +bit 55 O ? P2 54 1 Z +bit 54 C 1 P2 +bit 53 I ? P3 +bit 52 O ? P3 51 1 Z +bit 51 C 1 P3 +bit 50 I ? P4 +bit 49 O ? P4 48 1 Z +bit 48 C 1 P4 +bit 47 I ? P5 +bit 46 O ? P5 45 1 Z +bit 45 C 1 P5 +bit 44 I ? P6 +bit 43 O ? P6 42 1 Z +bit 42 C 1 P6 +bit 41 I ? P7 +bit 40 O ? P7 39 1 Z +bit 39 C 1 P7 +bit 38 I ? P8 +bit 37 O ? P8 36 1 Z +bit 36 C 1 P8 +bit 35 I ? P9 +bit 34 O ? P9 33 1 Z +bit 33 C 1 P9 +bit 32 I ? P10 +bit 31 O ? P10 30 1 Z +bit 30 C 1 P10 +bit 29 I ? P11 +bit 28 O ? P11 27 1 Z +bit 27 C 1 P11 +bit 26 I ? P12 +bit 25 O ? P12 24 1 Z +bit 24 C 1 P12 +bit 23 I ? P13 +bit 22 O ? P13 21 1 Z +bit 21 C 1 P13 +bit 20 I ? P14 +bit 19 O ? P14 18 1 Z +bit 18 C 1 P14 +bit 17 I ? P15 +bit 16 O ? P15 15 1 Z +bit 15 C 1 P15 +bit 14 I ? P16 +bit 13 O ? P16 12 1 Z +bit 12 C 1 P16 +bit 11 I ? P17 +bit 10 O ? P17 9 1 Z +bit 9 C 1 P17 +bit 8 I 0 SCL +bit 7 O 1 SCL 7 1 Z +bit 6 I ? SDA +bit 5 O 1 SDA 5 1 Z +bit 4 I ? UARXD0 +bit 3 I ? nUADTR0 +bit 2 O ? UATXD0 +bit 1 O ? nUADSR0 +bit 0 I ? UARXD1 + +initbus s3c4510x diff --git a/sie_fs/usr/local/share/urjtag/sharp/PARTS b/sie_fs/usr/local/share/urjtag/sharp/PARTS new file mode 100644 index 0000000..cf3999a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/sharp/PARTS @@ -0,0 +1,24 @@ +# +# $Id: PARTS 616 2004-10-22 04:34:48Z telka $ +# +# Copyright (C) 2004 IDK Chemnitz GmbH +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marko Roessler , 2004. +# +# bits 27-12 of the Device Identification Register +0000100100100010 lh7a400 LH7A400 diff --git a/sie_fs/usr/local/share/urjtag/sharp/lh7a400/STEPPINGS b/sie_fs/usr/local/share/urjtag/sharp/lh7a400/STEPPINGS new file mode 100644 index 0000000..f324e00 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/sharp/lh7a400/STEPPINGS @@ -0,0 +1,24 @@ +# +# $Id: STEPPINGS 616 2004-10-22 04:34:48Z telka $ +# +# Copyright (C) 2004 IDK Chemnitz GmbH +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marko Roessler , 2004. +# +# bits 31-28 of the Device Identification Register +0000 lh7a400 A diff --git a/sie_fs/usr/local/share/urjtag/sharp/lh7a400/lh7a400 b/sie_fs/usr/local/share/urjtag/sharp/lh7a400/lh7a400 new file mode 100644 index 0000000..70f65fc --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/sharp/lh7a400/lh7a400 @@ -0,0 +1,767 @@ +# +# $Id: lh7a400 698 2007-11-06 19:23:57Z kawk $ +# +# Copyright (C) 2004 IDK Chemnitz GmbH +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marko Roessler , 2004. +# + +# mandatory data registers +register BSR 507 +register BR 1 +register IR 4 +register DIR 32 + +# see page 250 in [1] +instruction length 4 +# mandatory instructions +instruction EXTEST 0000 BSR # +instruction SCAN_N 0010 BSR # choose chain +instruction INTEST 1100 BSR # +instruction IDCODE 1110 DIR # +instruction BYPASS 1111 BR # +instruction CLAMP 0101 BSR # +instruction HIGHZ 0111 BSR # +instruction CLAMPZ 1001 BSR # +instruction SAMPLE/PRELOAD 0011 BSR # + + + +signal CS6 B10 +signal CS7 C10 +signal nCS3 M12 +signal nCS2 P13 +signal nCS1 K10 +signal nCS0 K11 +signal nWE3 D10 +signal OSCEN P14 +signal PA7 L3 +signal PA6 L2 +signal PA5 L1 +signal PA4 K5 +signal PA3 K3 +signal PA2 K2 +signal PA1 K1 +signal PA0 J5 +signal PB7 N3 +signal PB6 N2 +signal PB5 N1 +signal PB4 M4 +signal PB3 M2 +signal PB2 L7 +signal PB1 L5 +signal PB0 L4 +signal PC7 R2 +signal PC6 T2 +signal PC5 T1 +signal PC4 L8 +signal PC3 K6 +signal PC2 R1 +signal PC1 P2 +signal PC0 P1 +signal PD7 R10 +signal PD6 P10 +signal PD5 T9 +signal PD4 R9 +signal PD3 N11 +signal PD2 K8 +signal PD1 L11 +signal PD0 M11 +signal PE3 M10 +signal PE2 M9 +signal PE1 N10 +signal PE0 L10 +signal PF7 C5 +signal PF6 E6 +signal PF5 D6 +signal PF4 B5 +signal PF3 H8 +signal PF2 C6 +signal PF1 B6 +signal PF0 A6 +signal PG7 N4 +signal PG6 M8 +signal PG5 M7 +signal PG4 N6 +signal PG3 M6 +signal PG2 L6 +signal PG1 T3 +signal PG0 R3 +signal PH7 T5 +signal PH6 R5 +signal PH5 P5 +signal PH4 P8 +signal PH3 N7 +signal PH2 T4 +signal PH1 R4 +signal PH0 P4 +signal IRTX2 F2 +signal IRRX2 F3 +signal UARTTXD2 F4 +signal UARTRXD2 J7 +signal UARTCTS2 E2 +signal UARTDCD2 E3 +signal UARTDSR2 E5 +signal XTAL32OUT P15 +signal XTAL32IN P16 +signal AC97IN A4 +signal AC97CLK C4 +signal AC97OUT D5 +signal AC97SYNC B4 +signal SSPRX J1 +signal SSPFRM J3 +signal SSPTX J2 +signal SSPCLK H4 +signal PGMCLK J6 +signal PWM1 B7 +signal PWM0 C7 +signal PWMEN1 D7 +signal PWMEN0 E7 +signal COL7 H2 +signal COL6 H1 +signal COL5 G5 +signal COL4 G4 +signal COL3 G2 +signal COL2 G1 +signal COL1 F5 +signal COL0 F6 +signal BUZ H3 +signal D31 D11 +signal D30 B11 +signal D29 B12 +signal D28 G10 +signal D27 E12 +signal D26 C13 +signal D25 B13 +signal D24 A14 +signal D23 A16 +signal D22 F10 +signal D21 D14 +signal D20 H10 +signal D19 D16 +signal D18 E14 +signal D17 F12 +signal D16 F15 +signal D15 G12 +signal D14 G11 +signal D13 G15 +signal D12 H13 +signal D11 H15 +signal D10 J10 +signal D9 J13 +signal D8 J15 +signal D7 K12 +signal D6 H11 +signal D5 L14 +signal D4 L15 +signal D3 L16 +signal D2 N13 +signal D1 M15 +signal D0 L12 +signal A27 A8 +signal A26 F8 +signal A25 G8 +signal A24 A13 +signal A23 A15 +signal A22 B16 +signal A21 C16 +signal A20 D15 +signal A19 F11 +signal A18 E13 +signal A17 E16 +signal A16 F14 +signal A15 F16 +signal A14 G13 +signal A13 G14 +signal A12 G16 +signal A11 H14 +signal A10 H16 +signal A9 J9 +signal A8 J14 +signal A7 J16 +signal A6 J8 +signal A5 K14 +signal A4 K15 +signal A3 K16 +signal A2 M13 +signal A1 N14 +signal A0 M16 +signal nBATCHG D2 +signal nEXTPWR C2 +signal BATOK D1 +signal nPOR D3 +signal MEDCHG C3 +signal XTALIN R13 +signal XTALOUT T13 +signal nURESET H6 +signal WAKEUP D4 +signal nPWRFL E4 +signal LCDLP R8 +signal LCDDCLK N9 +signal LCDFP R6 +signal LCDM P9 +signal LCDVD3 N8 +signal LCDVD2 T7 +signal LCDVD1 R7 +signal LCDVD0 P7 +signal nRAS F9 +signal nCAS C11 +signal nSWE C12 +signal nSCS3 A12 +signal nSCS2 E11 +signal nSCS1 D13 +signal nSCS0 C14 +signal SCLK A10 +signal SCKEN3 G9 +signal DQM0 A9 +signal DQM1 B9 +signal DQM2 D9 +signal DQM3 E9 +signal nWE0 C8 +signal nOE D8 +signal MMCCLK A3 +signal MMCCMD B3 +signal MMCDATA A2 +signal nTEST1 R15 +signal nTEST0 T12 +signal WIDTH1 R12 +signal WIDTH0 P11 +signal USBDP T15 +signal USBDN T16 +signal TDI A1 +signal TDO B2 +signal TMST C1 +signal TCLK B1 +signal VDD G17 F1 K7 M1 M5 T6 R14 M14 J11 J12 F13 E10 B8 +signal VSS H7 G3 K4 N5 P6 T14 R16 N16 K13 H9 C15 A11 E8 A5 F7 +signal VDDC E1 J4 P3 T8 K9 L13 E15 D12 A7 +signal VSSC C9 M3 L9 T10 N15 H12 B15 G6 +signal VDDA1 R11 +signal VSSA1 T11 +signal VDDA2 N12 +signal VSSA2 P12 + + + +bit 506 I 1 nEXTPWR +bit 505 I 1 MEDCHG +bit 504 I 1 BATOK +bit 503 I 1 nBATCHG +bit 502 I 1 WAKEUP +bit 501 I 1 UARTCTS2 +bit 500 C 0 UARTCTS2 +bit 499 O 1 UARTCTS2 500 0 Z +bit 498 I 1 UARTDCD2 +bit 497 C 0 UARTDCD2 +bit 496 O 1 UARTDCD2 497 0 Z +bit 495 I 1 nPWRFL +bit 494 I 1 UARTDSR2 +bit 493 C 0 UARTDSR2 +bit 492 O 1 UARTDSR2 493 0 Z +bit 491 I 1 IRTX2 +bit 490 C 0 IRTX2 +bit 489 O 1 IRTX2 490 0 Z +bit 488 I 1 IRRX2 +bit 487 C 0 IRRX2 +bit 486 O 1 IRRX2 487 0 Z +bit 485 I 1 UARTTXD2 +bit 484 C 0 UARTTXD2 +bit 483 O 1 UARTTXD2 484 0 Z +bit 482 I 1 UARTRXD2 +bit 481 C 0 UARTRXD2 +bit 480 O 1 UARTRXD2 481 0 Z +bit 479 I 1 COL0 +bit 478 C 0 COL0 +bit 477 O 1 COL0 478 0 Z +bit 476 I 1 COL1 +bit 475 C 0 COL1 +bit 474 O 1 COL1 475 0 Z +bit 473 I 1 COL2 +bit 472 C 0 COL2 +bit 471 O 1 COL2 472 0 Z +bit 470 I 1 COL3 +bit 469 C 0 COL3 +bit 468 O 1 COL3 469 0 Z +bit 467 I 1 COL4 +bit 466 C 0 COL4 +bit 465 O 1 COL4 466 0 Z +bit 464 I 1 COL5 +bit 463 C 0 COL5 +bit 462 O 1 COL5 463 0 Z +bit 461 I 1 COL6 +bit 460 C 0 COL6 +bit 459 O 1 COL6 460 0 Z +bit 458 I 1 COL7 +bit 457 C 0 COL7 +bit 456 O 1 COL7 457 0 Z +bit 455 I 1 BUZ +bit 454 C 0 BUZ +bit 453 O 1 BUZ 454 0 Z +bit 452 C 0 SSPCLK +bit 451 O 1 SSPCLK 452 0 Z +bit 450 C 0 PGMCLK +bit 449 O 1 PGMCLK 450 0 Z +bit 448 I 1 SSPRX +bit 447 C 0 SSPRX +bit 446 O 1 SSPRX 447 0 Z +bit 445 C 0 SSPTX +bit 444 O 1 SSPTX 445 0 Z +bit 443 C 0 SSPFRM +bit 442 O 1 SSPFRM 443 0 Z +bit 441 I 1 PA0 +bit 440 C 0 PA0 +bit 439 O 1 PA0 440 0 Z +bit 438 I 1 PA1 +bit 437 C 0 PA1 +bit 436 O 1 PA1 437 0 Z +bit 435 I 1 PA2 +bit 434 C 0 PA2 +bit 433 O 1 PA2 434 0 Z +bit 432 I 1 PA3 +bit 431 C 0 PA3 +bit 430 O 1 PA3 431 0 Z +bit 429 I 1 PA4 +bit 428 C 0 PA4 +bit 427 O 1 PA4 428 0 Z +bit 426 I 1 PA5 +bit 425 C 0 PA5 +bit 424 O 1 PA5 425 0 Z +bit 423 I 1 PA6 +bit 422 C 0 PA6 +bit 421 O 1 PA6 422 0 Z +bit 420 I 1 PA7 +bit 419 C 0 PA7 +bit 418 O 1 PA7 419 0 Z +bit 417 I 1 PB0 +bit 416 C 0 PB0 +bit 415 O 1 PB0 416 0 Z +bit 414 I 1 PB1 +bit 413 C 0 PB1 +bit 412 O 1 PB1 413 0 Z +bit 411 I 1 PB2 +bit 410 C 0 PB2 +bit 409 O 1 PB2 410 0 Z +bit 408 I 1 PB3 +bit 407 C 0 PB3 +bit 406 O 1 PB3 407 0 Z +bit 405 I 1 PB4 +bit 404 C 0 PB4 +bit 403 O 1 PB4 404 0 Z +bit 402 I 1 PB5 +bit 401 C 0 PB5 +bit 400 O 1 PB5 401 0 Z +bit 399 I 1 PB6 +bit 398 C 0 PB6 +bit 397 O 1 PB6 398 0 Z +bit 396 I 1 PB7 +bit 395 C 0 PB7 +bit 394 O 1 PB7 395 0 Z +bit 393 I 1 PC0 +bit 392 C 0 PC0 +bit 391 O 1 PC0 392 0 Z +bit 390 I 1 PC1 +bit 389 C 0 PC1 +bit 388 O 1 PC1 389 0 Z +bit 387 I 1 PC2 +bit 386 C 0 PC2 +bit 385 O 1 PC2 386 0 Z +bit 384 I 1 PC3 +bit 383 C 0 PC3 +bit 382 O 1 PC3 383 0 Z +bit 381 I 1 PC4 +bit 380 C 0 PC4 +bit 379 O 1 PC4 380 0 Z +bit 378 I 1 PC5 +bit 377 C 0 PC5 +bit 376 O 1 PC5 377 0 Z +bit 375 I 1 PC6 +bit 374 C 0 PC6 +bit 373 O 1 PC6 374 0 Z +bit 372 I 1 PC7 +bit 371 C 0 PC7 +bit 370 O 1 PC7 371 0 Z +bit 369 I 1 PG0 +bit 368 C 0 PG0 +bit 367 O 1 PG0 368 0 Z +bit 366 I 1 PG1 +bit 365 C 0 PG1 +bit 364 O 1 PG1 365 0 Z +bit 363 I 1 PG2 +bit 362 C 0 PG2 +bit 361 O 1 PG2 362 0 Z +bit 360 I 1 PG3 +bit 359 C 0 PG3 +bit 358 O 1 PG3 359 0 Z +bit 357 I 1 PG4 +bit 356 C 0 PG4 +bit 355 O 1 PG4 356 0 Z +bit 354 I 1 PG5 +bit 353 C 0 PG5 +bit 352 O 1 PG5 353 0 Z +bit 351 I 1 PG6 +bit 350 C 0 PG6 +bit 349 O 1 PG6 350 0 Z +bit 348 I 1 PG7 +bit 347 C 0 PG7 +bit 346 O 1 PG7 347 0 Z +bit 345 I 1 PH0 +bit 344 C 0 PH0 +bit 343 O 1 PH0 344 0 Z +bit 342 I 1 PH1 +bit 341 C 0 PH1 +bit 340 O 1 PH1 341 0 Z +bit 339 I 1 PH2 +bit 338 C 0 PH2 +bit 337 O 1 PH2 338 0 Z +bit 336 I 1 PH3 +bit 335 C 0 PH3 +bit 334 O 1 PH3 335 0 Z +bit 333 I 1 PH4 +bit 332 C 0 PH4 +bit 331 O 1 PH4 332 0 Z +bit 330 I 1 PH5 +bit 329 C 0 PH5 +bit 328 O 1 PH5 329 0 Z +bit 327 I 1 PH6 +bit 326 C 0 PH6 +bit 325 O 1 PH6 326 0 Z +bit 324 I 1 PH7 +bit 323 C 0 PH7 +bit 322 O 1 PH7 323 0 Z +bit 321 C 0 LCDFP +bit 320 O 1 LCDFP 321 0 Z +bit 319 C 0 LCDVD0 +bit 318 O 1 LCDVD0 319 0 Z +bit 317 C 0 LCDVD1 +bit 316 O 1 LCDVD1 317 0 Z +bit 315 C 0 LCDVD2 +bit 314 O 1 LCDVD2 315 0 Z +bit 313 C 0 LCDVD3 +bit 312 O 1 LCDVD3 313 0 Z +bit 311 I 1 PE0 +bit 310 C 0 PE0 +bit 309 O 1 PE0 310 0 Z +bit 308 C 0 LCDLP +bit 307 O 1 LCDLP 308 0 Z +bit 306 C 0 LCDM +bit 305 O 1 LCDM 306 0 Z +bit 304 C 0 LCDDCLK +bit 303 O 1 LCDDCLK 304 0 Z +bit 302 I 1 PE1 +bit 301 C 0 PE1 +bit 300 O 1 PE1 301 0 Z +bit 299 I 1 PE2 +bit 298 C 0 PE2 +bit 297 O 1 PE2 298 0 Z +bit 296 I 1 PE3 +bit 295 C 0 PE3 +bit 294 O 1 PE3 295 0 Z +bit 293 I 1 PD0 +bit 292 C 0 PD0 +bit 291 O 1 PD0 292 0 Z +bit 290 I 1 PD1 +bit 289 C 0 PD1 +bit 288 O 1 PD1 289 0 Z +bit 287 I 1 PD2 +bit 286 C 0 PD2 +bit 285 O 1 PD2 286 0 Z +bit 284 I 1 PD3 +bit 283 C 0 PD3 +bit 282 O 1 PD3 283 0 Z +bit 281 I 1 PD4 +bit 280 C 0 PD4 +bit 279 O 1 PD4 280 0 Z +bit 278 I 1 PD5 +bit 277 C 0 PD5 +bit 276 O 1 PD5 277 0 Z +bit 275 I 1 PD6 +bit 274 C 0 PD6 +bit 273 O 1 PD6 274 0 Z +bit 272 I 1 PD7 +bit 271 C 0 PD7 +bit 270 O 1 PD7 271 0 Z +bit 269 I 1 WIDTH0 +bit 268 I 1 WIDTH1 +bit 267 C 0 nCS0 +bit 266 O 1 nCS0 267 0 Z +bit 265 C 0 nCS1 +bit 264 O 1 nCS1 265 0 Z +bit 263 I 1 OSCEN +bit 262 C 0 OSCEN +bit 261 O 1 OSCEN 262 0 Z +bit 260 C 0 nCS2 +bit 259 O 1 nCS2 260 0 Z +bit 258 C 0 nCS3 +bit 257 O 1 nCS3 258 0 Z +bit 256 I 1 D0 +bit 255 C 0 D0 +bit 254 O 1 D0 255 0 Z +bit 253 C 0 A0 +bit 252 O 1 A0 253 0 Z +bit 251 I 1 D1 +bit 250 C 0 D1 +bit 249 O 1 D1 250 0 Z +bit 248 C 0 A1 +bit 247 O 1 A1 248 0 Z +bit 246 I 1 D2 +bit 245 C 0 D2 +bit 244 O 1 D2 245 0 Z +bit 243 C 0 A2 +bit 242 O 1 A2 243 0 Z +bit 241 I 1 D3 +bit 240 C 0 D3 +bit 239 O 1 D3 240 0 Z +bit 238 C 0 A3 +bit 237 O 1 A3 238 0 Z +bit 236 I 1 D4 +bit 235 C 0 D4 +bit 234 O 1 D4 235 0 Z +bit 233 C 0 A4 +bit 232 O 1 A4 233 0 Z +bit 231 I 1 D5 +bit 230 C 0 D5 +bit 229 O 1 D5 230 0 Z +bit 228 C 0 A5 +bit 227 O 1 A5 228 0 Z +bit 226 I 1 D6 +bit 225 C 0 D6 +bit 224 O 1 D6 225 0 Z +bit 223 C 0 A6 +bit 222 O 1 A6 223 0 Z +bit 221 I 1 D7 +bit 220 C 0 D7 +bit 219 O 1 D7 220 0 Z +bit 218 C 0 A7 +bit 217 O 1 A7 218 0 Z +bit 216 I 1 D8 +bit 215 C 0 D8 +bit 214 O 1 D8 215 0 Z +bit 213 C 0 A8 +bit 212 O 1 A8 213 0 Z +bit 211 I 1 D9 +bit 210 C 0 D9 +bit 209 O 1 D9 210 0 Z +bit 208 C 0 A9 +bit 207 O 1 A9 208 0 Z +bit 206 I 1 D10 +bit 205 C 0 D10 +bit 204 O 1 D10 205 0 Z +bit 203 C 0 A10 +bit 202 O 1 A10 203 0 Z +bit 201 I 1 D11 +bit 200 C 0 D11 +bit 199 O 1 D11 200 0 Z +bit 198 C 0 A11 +bit 197 O 1 A11 198 0 Z +bit 196 I 1 D12 +bit 195 C 0 D12 +bit 194 O 1 D12 195 0 Z +bit 193 C 0 A12 +bit 192 O 1 A12 193 0 Z +bit 191 I 1 D13 +bit 190 C 0 D13 +bit 189 O 1 D13 190 0 Z +bit 188 C 0 A13 +bit 187 O 1 A13 188 0 Z +bit 186 I 1 D14 +bit 185 C 0 D14 +bit 184 O 1 D14 185 0 Z +bit 183 C 0 A14 +bit 182 O 1 A14 183 0 Z +bit 181 I 1 D15 +bit 180 C 0 D15 +bit 179 O 1 D15 180 0 Z +bit 178 C 0 A15 +bit 177 O 1 A15 178 0 Z +bit 176 I 1 D16 +bit 175 C 0 D16 +bit 174 O 1 D16 175 0 Z +bit 173 C 0 A16 +bit 172 O 1 A16 173 0 Z +bit 171 I 1 D17 +bit 170 C 0 D17 +bit 169 O 1 D17 170 0 Z +bit 168 C 0 A17 +bit 167 O 1 A17 168 0 Z +bit 166 I 1 D18 +bit 165 C 0 D18 +bit 164 O 1 D18 165 0 Z +bit 163 C 0 A18 +bit 162 O 1 A18 163 0 Z +bit 161 I 1 D19 +bit 160 C 0 D19 +bit 159 O 1 D19 160 0 Z +bit 158 C 0 A19 +bit 157 O 1 A19 158 0 Z +bit 156 I 1 D20 +bit 155 C 0 D20 +bit 154 O 1 D20 155 0 Z +bit 153 C 0 A20 +bit 152 O 1 A20 153 0 Z +bit 151 I 1 D21 +bit 150 C 0 D21 +bit 149 O 1 D21 150 0 Z +bit 148 C 0 A21 +bit 147 O 1 A21 148 0 Z +bit 146 I 1 D22 +bit 145 C 0 D22 +bit 144 O 1 D22 145 0 Z +bit 143 C 0 A22 +bit 142 O 1 A22 143 0 Z +bit 141 I 1 D23 +bit 140 C 0 D23 +bit 139 O 1 D23 140 0 Z +bit 138 C 0 A23 +bit 137 O 1 A23 138 0 Z +bit 136 I 1 D24 +bit 135 C 0 D24 +bit 134 O 1 D24 135 0 Z +bit 133 C 0 A24 +bit 132 O 1 A24 133 0 Z +bit 131 I 1 D25 +bit 130 C 0 D25 +bit 129 O 1 D25 130 0 Z +bit 128 I 1 nSCS0 +bit 127 C 0 nSCS0 +bit 126 O 1 nSCS0 127 0 Z +bit 125 I 1 D26 +bit 124 C 0 D26 +bit 123 O 1 D26 124 0 Z +bit 122 I 1 nSCS1 +bit 121 C 0 nSCS1 +bit 120 O 1 nSCS1 121 0 Z +bit 119 I 1 D27 +bit 118 C 0 D27 +bit 117 O 1 D27 118 0 Z +bit 116 I 1 nSCS2 +bit 115 C 0 nSCS2 +bit 114 O 1 nSCS2 115 0 Z +bit 113 I 1 D28 +bit 112 C 0 D28 +bit 111 O 1 D28 112 0 Z +bit 110 I 1 nSCS3 +bit 109 C 0 nSCS3 +bit 108 O 1 nSCS3 109 0 Z +bit 107 I 1 D29 +bit 106 C 0 D29 +bit 105 O 1 D29 106 0 Z +bit 104 C 0 nSWE +bit 103 O 1 nSWE 104 0 Z +bit 102 I 1 D30 +bit 101 C 0 D30 +bit 100 O 1 D30 101 0 Z +bit 99 I 1 nCAS +bit 98 C 0 nCAS +bit 97 O 1 nCAS 98 0 Z +bit 96 I 1 D31 +bit 95 C 0 D31 +bit 94 O 1 D31 95 0 Z +bit 93 I 1 nRAS +bit 92 C 0 nRAS +bit 91 O 1 nRAS 92 0 Z +bit 90 I 1 SCKEN3 +bit 89 C 0 SCKEN3 +bit 88 O 1 SCKEN3 89 0 Z +bit 87 I 1 SCLK +bit 86 C 0 SCLK +bit 85 O 1 SCLK 86 0 Z +bit 84 C 0 CS6 +bit 83 O 1 CS6 84 0 Z +bit 82 C 0 CS7 +bit 81 O 1 CS7 82 0 Z +bit 80 C 0 nWE3 +bit 79 O 1 nWE3 80 0 Z +bit 78 I 1 DQM0 +bit 77 C 0 DQM0 +bit 76 O 1 DQM0 77 0 Z +bit 75 I 1 DQM1 +bit 74 C 0 DQM1 +bit 73 O 1 DQM1 74 0 Z +bit 72 I 1 DQM2 +bit 71 C 0 DQM2 +bit 70 O 1 DQM2 71 0 Z +bit 69 C 0 DQM3 +bit 68 O 1 DQM3 69 0 Z +bit 67 C 0 nOE +bit 66 O 1 nOE 67 0 Z +bit 65 I 1 nWE0 +bit 64 C 0 nWE0 +bit 63 O 1 nWE0 64 0 Z +bit 62 I 1 A25 +bit 61 C 0 A25 +bit 60 O 1 A25 61 0 Z +bit 59 I 1 A26 +bit 58 C 0 A26 +bit 57 O 1 A26 58 0 Z +bit 56 C 0 A27 +bit 55 O 1 A27 56 0 Z +bit 54 I 1 PWMEN0 +bit 53 C 0 PWMEN0 +bit 52 O 1 PWMEN0 53 0 Z +bit 51 I 1 PWMEN1 +bit 50 C 0 PWMEN1 +bit 49 O 1 PWMEN1 50 0 Z +bit 48 I 1 PWM0 +bit 47 C 0 PWM0 +bit 46 O 1 PWM0 47 0 Z +bit 45 I 1 PWM1 +bit 44 C 0 PWM1 +bit 43 O 1 PWM1 44 0 Z +bit 42 I 1 PF0 +bit 41 C 0 PF0 +bit 40 O 1 PF0 41 0 Z +bit 39 I 1 PF1 +bit 38 C 0 PF1 +bit 37 O 1 PF1 38 0 Z +bit 36 I 1 PF2 +bit 35 C 0 PF2 +bit 34 O 1 PF2 35 0 Z +bit 33 I 1 PF4 +bit 32 C 0 PF4 +bit 31 O 1 PF4 32 0 Z +bit 30 I 1 PF5 +bit 29 C 0 PF5 +bit 28 O 1 PF5 29 0 Z +bit 27 I 1 PF6 +bit 26 C 0 PF6 +bit 25 O 1 PF6 26 0 Z +bit 24 I 1 PF7 +bit 23 C 0 PF7 +bit 22 O 1 PF7 23 0 Z +bit 21 I 1 AC97CLK +bit 20 C 0 AC97CLK +bit 19 O 1 AC97CLK 20 0 Z +bit 18 I 1 PF3 +bit 17 C 0 PF3 +bit 16 O 1 PF3 17 0 Z +bit 15 C 0 AC97OUT +bit 14 O 1 AC97OUT 15 0 Z +bit 13 C 0 AC97SYNC +bit 12 O 1 AC97SYNC 13 0 Z +bit 11 I 1 AC97IN +bit 10 C 0 AC97IN +bit 9 O 1 AC97IN 10 0 Z +bit 8 I 1 MMCCLK +bit 7 C 0 MMCCLK +bit 6 O 1 MMCCLK 7 0 Z +bit 5 I 1 MMCCMD +bit 4 C 0 MMCCMD +bit 3 O 1 MMCCMD 4 0 Z +bit 2 I 1 MMCDATA +bit 1 C 0 MMCDATA +bit 0 O 1 MMCDATA 1 0 Z diff --git a/sie_fs/usr/local/share/urjtag/toshiba/PARTS b/sie_fs/usr/local/share/urjtag/toshiba/PARTS new file mode 100644 index 0000000..89ba55f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/toshiba/PARTS @@ -0,0 +1,26 @@ +# +# $Id: PARTS 607 2004-08-17 22:43:44Z telka $ +# +# Copyright (C) 2003 RightHand Technologies, Inc. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Andrew Dyer , 2003. +# + +# bits 27-12 of the Device Identification Register +0000000000011110 tx4925 TX4925 +0000000000011111 tx4926 TX4926 diff --git a/sie_fs/usr/local/share/urjtag/toshiba/tx4925/STEPPINGS b/sie_fs/usr/local/share/urjtag/toshiba/tx4925/STEPPINGS new file mode 100644 index 0000000..8a6ac07 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/toshiba/tx4925/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 607 2004-08-17 22:43:44Z telka $ +# +# Copyright (C) 2003 RightHand Technologies, Inc. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Andrew Dyer , 2003. +# + +# bits 31-28 of the Device Identification Register +0000 tx4925 0 diff --git a/sie_fs/usr/local/share/urjtag/toshiba/tx4925/tx4925 b/sie_fs/usr/local/share/urjtag/toshiba/tx4925/tx4925 new file mode 100644 index 0000000..b5f6b61 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/toshiba/tx4925/tx4925 @@ -0,0 +1,662 @@ +# +# $Id: tx4925 607 2004-08-17 22:43:44Z telka $ +# +# JTAG declarations for Toshiba TX4925 +# Copyright (C) 2004 RightHand Technologies, Inc. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Andrew Dyer , 2004. +# +# Documentation: +# [1] Toshiba Corporation, +# "64-Bit TX System RISC TX49 Family TMPR4925 Rev. 2.0" +# [2] Toshiba Corporation, "BSDL file for TMPR4925" +# +signal VDDC0 +signal VDDC1 +signal VDDC2 +signal VDDC3 +signal VDDC4 +signal VDDC5 +signal VDDC6 +signal VDDC7 +signal VDDC8 +signal VDDC9 +signal VDDC10 +signal VDDC11 +signal VDDC12 +signal VDDC13 +signal VDDC14 +signal VDDC15 +signal VDDC16 +signal VDDC17 +signal VDDS0 +signal VDDS1 +signal VDDS2 +signal VDDS3 +signal VDDS4 +signal VDDS5 +signal VDDS6 +signal VDDS7 +signal VDDS8 +signal VDDS9 +signal VDDS10 +signal VDDS11 +signal VDDS12 +signal VDDS13 +signal VDDS14 +signal VDDS15 +signal VDDS16 +signal VDDS17 +signal VDDS18 +signal VDDS19 +signal VDDS20 +signal VSS0 +signal VSS1 +signal VSS2 +signal VSS3 +signal VSS4 +signal VSS5 +signal VSS6 +signal VSS7 +signal VSS8 +signal VSS9 +signal VSS10 +signal VSS11 +signal VSS12 +signal VSS13 +signal VSS14 +signal VSS15 +signal VSS16 +signal VSS17 +signal VSS18 +signal VSS19 +signal VSS20 +signal VSS21 +signal VSS22 +signal VSS23 +signal VSS24 +signal VSS25 +signal VSS26 +signal VSS27 +signal VSS28 +signal VSS29 +signal COLDRESET +signal PON +signal MSTRCLK +signal TEST +signal PLLVDD +signal PLLVSS +signal NMI +signal SCANENB +signal C32KIN +signal SYSCLK +signal BWE_3 +signal BWE_2 +signal BWE_1 +signal BWE_0 +signal SDCLK_1 +signal SDCLK_0 +signal SDCLKIN +signal GNT_3 +signal GNT_2 +signal GNT_1 +signal GNT_0 +signal REQ_3 +signal REQ_2 +signal REQ_1 +signal REQ_0 +signal PERR +signal SERR +signal PCIAD_31 +signal PCIAD_30 +signal PCIAD_29 +signal PCIAD_28 +signal PCIAD_27 +signal PCIAD_26 +signal PCIAD_25 +signal PCIAD_24 +signal PCIAD_23 +signal PCIAD_22 +signal PCIAD_21 +signal PCIAD_20 +signal PCIAD_19 +signal PCIAD_18 +signal PCIAD_17 +signal PCIAD_16 +signal PCIAD_15 +signal PCIAD_14 +signal PCIAD_13 +signal PCIAD_12 +signal PCIAD_11 +signal PCIAD_10 +signal PCIAD_9 +signal PCIAD_8 +signal PCIAD_7 +signal PCIAD_6 +signal PCIAD_5 +signal PCIAD_4 +signal PCIAD_3 +signal PCIAD_2 +signal PCIAD_1 +signal PCIAD_0 +signal C_BE_3 +signal C_BE_2 +signal C_BE_1 +signal C_BE_0 +signal PAR +signal FRAME +signal TRDY +signal IRDY +signal IDSEL +signal STOP +signal DEVSEL +signal PCICLK_2 +signal PCICLK_1 +signal PCICLKIO +signal TDI +signal TMS +signal TCK +signal TRST +signal BC32K +signal DATA_31 +signal DATA_30 +signal DATA_29 +signal DATA_28 +signal DATA_27 +signal DATA_26 +signal DATA_25 +signal DATA_24 +signal DATA_23 +signal DATA_22 +signal DATA_21 +signal DATA_20 +signal DATA_19 +signal DATA_18 +signal DATA_17 +signal DATA_16 +signal DATA_15 +signal DATA_14 +signal DATA_13 +signal DATA_12 +signal DATA_11 +signal DATA_10 +signal DATA_9 +signal DATA_8 +signal DATA_7 +signal DATA_6 +signal DATA_5 +signal DATA_4 +signal DATA_3 +signal DATA_2 +signal DATA_1 +signal DATA_0 +signal ADDR_19 +signal ADDR_18 +signal ADDR_17 +signal ADDR_16 +signal ADDR_15 +signal ADDR_14 +signal ADDR_13 +signal ADDR_12 +signal ADDR_11 +signal ADDR_10 +signal ADDR_9 +signal ADDR_8 +signal ADDR_7 +signal ADDR_6 +signal ADDR_5 +signal ADDR_4 +signal ADDR_3 +signal ADDR_2 +signal ADDR_1 +signal ADDR_0 +signal ACK +signal ROMCE_3 +signal ROMCE_2 +signal ROMCE_1 +signal ROMCE_0 +signal PIO_31 +signal PIO_30 +signal PIO_29 +signal PIO_28 +signal PIO_27 +signal PIO_26 +signal PIO_25 +signal PIO_24 +signal PIO_23 +signal PIO_22 +signal PIO_21 +signal PIO_20 +signal PIO_19 +signal PIO_18 +signal PIO_17 +signal PIO_16 +signal PIO_15 +signal PIO_14 +signal PIO_13 +signal PIO_12 +signal PIO_11 +signal PIO_10 +signal PIO_9 +signal PIO_8 +signal PIO_7 +signal PIO_6 +signal PIO_5 +signal PIO_4 +signal PIO_3 +signal PIO_2 +signal PIO_1 +signal PIO_0 +signal BUSSPRT +signal UAE +signal SWE +signal OE +signal SDCS_3 +signal SDCS_2 +signal SDCS_1 +signal SDCS_0 +signal RAS +signal CAS +signal DQM_3 +signal DQM_2 +signal DQM_1 +signal DQM_0 +signal WE +signal CKE +signal DA10 +signal RP +signal C32KOUT + +register BSR 356 +register BR 1 +register DIR 32 +register EJIMPCODE 32 +register EJADDRESS 36 +register EJDATA 64 +register EJCONTROL 32 +register EJALL 132 + +instruction length 8 + +instruction BYPASS 11111111 BR +instruction SAMPLE/PRELOAD 00000001 BSR +instruction EXTEST 00000000 BSR +instruction IDCODE 00000011 DIR +instruction EJTAG_IMPCODE 10000011 EJIMPCODE +instruction EJTAG_ADDRESS 10001000 EJADDRESS +instruction EJTAG_DATA 10001001 EJDATA +instruction EJTAG_CONTROL 10001010 EJCONTROL +instruction EJATG_ALL 10001011 EJALL +# instruction PCTRACE 10010000 # docs are unclear about what this does + +bit 355 O 1 GNT_0 354 1 Z +bit 354 C 1 * +bit 353 O 0 PCICLKIO 352 1 Z +bit 352 C 1 * +bit 351 O 0 PCICLK_1 350 1 Z +bit 350 C 1 * +bit 349 O 0 PCICLK_2 348 1 Z +bit 348 C 1 * +bit 347 O 1 REQ_0 346 1 Z +bit 346 C 1 * +bit 345 O 1 GNT_1 344 1 Z +bit 344 C 1 * +bit 343 O 1 REQ_1 342 1 Z +bit 342 C 1 * +bit 341 O 1 GNT_2 340 1 Z +bit 340 C 1 * +bit 339 O 1 REQ_2 338 1 Z +bit 338 C 1 * +bit 337 O 1 GNT_3 336 1 Z +bit 336 C 1 * +bit 335 O 1 REQ_3 334 1 Z +bit 334 C 1 * +bit 333 O 1 PCIAD_31 332 1 Z +bit 332 C 1 * +bit 331 O 1 PCIAD_30 330 1 Z +bit 330 C 1 * +bit 329 O 1 PCIAD_29 328 1 Z +bit 328 C 1 * +bit 327 O 1 PCIAD_28 326 1 Z +bit 326 C 1 * +bit 325 O 1 PCIAD_27 324 1 Z +bit 324 C 1 * +bit 323 O 1 PCIAD_26 322 1 Z +bit 322 C 1 * +bit 321 O 1 PCIAD_25 320 1 Z +bit 320 C 1 * +bit 319 O 1 PCIAD_24 318 1 Z +bit 318 C 1 * +bit 317 O 1 C_BE_3 316 1 Z +bit 316 C 1 * +bit 315 O 1 IDSEL 314 1 Z +bit 314 C 1 * +bit 313 O 1 PCIAD_23 312 1 Z +bit 312 C 1 * +bit 311 O 1 PCIAD_22 310 1 Z +bit 310 C 1 * +bit 309 O 1 PCIAD_21 308 1 Z +bit 308 C 1 * +bit 307 O 1 PCIAD_20 306 1 Z +bit 306 C 1 * +bit 305 O 1 PCIAD_19 304 1 Z +bit 304 C 1 * +bit 303 O 1 PCIAD_17 302 1 Z +bit 302 C 1 * +bit 301 O 1 PCIAD_18 300 1 Z +bit 300 C 1 * +bit 299 O 1 FRAME 298 1 Z +bit 298 C 1 * +bit 297 O 1 C_BE_2 296 1 Z +bit 296 C 1 * +bit 295 O 1 PCIAD_16 294 1 Z +bit 294 C 1 * +bit 293 O 1 STOP 292 1 Z +bit 292 C 1 * +bit 291 O 1 DEVSEL 290 1 Z +bit 290 C 1 * +bit 289 O 1 TRDY 288 1 Z +bit 288 C 1 * +bit 287 O 1 IRDY 286 1 Z +bit 286 C 1 * +bit 285 O 1 SERR 284 1 Z +bit 284 C 1 * +bit 283 O 1 PERR 282 1 Z +bit 282 C 1 * +bit 281 O 1 PCIAD_15 280 1 Z +bit 280 C 1 * +bit 279 O 1 C_BE_1 278 1 Z +bit 278 C 1 * +bit 277 O 1 PAR 276 1 Z +bit 276 C 1 * +bit 275 O 1 PCIAD_12 274 1 Z +bit 274 C 1 * +bit 273 O 1 PCIAD_13 272 1 Z +bit 272 C 1 * +bit 271 O 1 PCIAD_14 270 1 Z +bit 270 C 1 * +bit 269 O 1 PCIAD_9 268 1 Z +bit 268 C 1 * +bit 267 O 1 PCIAD_10 266 1 Z +bit 266 C 1 * +bit 265 O 1 PCIAD_11 264 1 Z +bit 264 C 1 * +bit 263 O 1 C_BE_0 262 1 Z +bit 262 C 1 * +bit 261 O 1 PCIAD_8 260 1 Z +bit 260 C 1 * +bit 259 O 1 PCIAD_4 258 1 Z +bit 258 C 1 * +bit 257 O 1 PCIAD_0 256 1 Z +bit 256 C 1 * +bit 255 O 1 PCIAD_5 254 1 Z +bit 254 C 1 * +bit 253 O 1 PCIAD_1 252 1 Z +bit 252 C 1 * +bit 251 O 1 PCIAD_6 250 1 Z +bit 250 C 1 * +bit 249 O 1 PCIAD_2 248 1 Z +bit 248 C 1 * +bit 247 O 1 PCIAD_7 246 1 Z +bit 246 C 1 * +bit 245 O 1 PCIAD_3 244 1 Z +bit 244 C 1 * +bit 243 O 1 BWE_0 242 1 Z +bit 242 C 1 * +bit 241 O 1 BWE_1 240 1 Z +bit 240 C 1 * +bit 239 O 1 SYSCLK 238 1 Z +bit 238 C 1 * +bit 237 O 1 BWE_2 236 1 Z +bit 236 C 1 * +bit 235 O 1 BWE_3 234 1 Z +bit 234 C 1 * +bit 233 O 1 UAE 232 1 Z +bit 232 C 1 * +bit 231 O 1 SWE 230 1 Z +bit 230 C 1 * +bit 229 O 1 ADDR_0 228 1 Z +bit 228 C 1 * +bit 227 O 1 ADDR_1 226 1 Z +bit 226 C 1 * +bit 225 O 1 ADDR_2 224 1 Z +bit 224 C 1 * +bit 223 O 1 ADDR_3 222 1 Z +bit 222 C 1 * +bit 221 O 1 ADDR_4 220 1 Z +bit 220 C 1 * +bit 219 O 1 ROMCE_3 218 1 Z +bit 218 C 1 * +bit 217 O 1 ROMCE_2 216 1 Z +bit 216 C 1 * +bit 215 O 1 ADDR_15 214 1 Z +bit 214 C 1 * +bit 213 O 1 OE 212 1 Z +bit 212 C 1 * +bit 211 O 1 PIO_0 210 1 Z +bit 210 C 1 * +bit 209 O 1 PIO_2 208 1 Z +bit 208 C 1 * +bit 207 O 1 ROMCE_1 206 1 Z +bit 206 C 1 * +bit 205 O 1 ROMCE_0 204 1 Z +bit 204 C 1 * +bit 203 O 1 BUSSPRT 202 1 Z +bit 202 C 1 * +bit 201 O 1 PIO_4 200 1 Z +bit 200 C 1 * +bit 199 O 1 ACK 198 1 Z +bit 198 C 1 * +bit 197 O 1 PIO_3 196 1 Z +bit 196 C 1 * +bit 195 O 1 PIO_1 194 1 Z +bit 194 C 1 * +bit 193 O 1 PIO_11 192 1 Z +bit 192 C 1 * +bit 191 O 1 PIO_10 190 1 Z +bit 190 C 1 * +bit 189 O 1 PIO_5 188 1 Z +bit 188 C 1 * +bit 187 O 1 PIO_6 186 1 Z +bit 186 C 1 * +bit 185 O 1 PIO_8 184 1 Z +bit 184 C 1 * +bit 183 O 1 PIO_9 182 1 Z +bit 182 C 1 * +bit 181 O 1 PIO_12 180 1 Z +bit 180 C 1 * +bit 179 O 1 PIO_17 178 1 Z +bit 178 C 1 * +bit 177 O 1 PIO_13 176 1 Z +bit 176 C 1 * +bit 175 O 1 PIO_7 174 1 Z +bit 174 C 1 * +bit 173 O 1 PIO_14 172 1 Z +bit 172 C 1 * +bit 171 O 1 PIO_15 170 1 Z +bit 170 C 1 * +bit 169 O 1 PIO_16 168 1 Z +bit 168 C 1 * +bit 167 O 1 BC32K 166 1 Z +bit 166 C 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 B 1 DATA_0 158 1 Z +bit 158 C 1 * +bit 157 B 1 DATA_16 156 1 Z +bit 156 C 1 * +bit 155 B 1 DATA_1 154 1 Z +bit 154 C 1 * +bit 153 B 1 DATA_17 152 1 Z +bit 152 C 1 * +bit 151 B 1 DATA_2 150 1 Z +bit 150 C 1 * +bit 149 B 1 DATA_18 148 1 Z +bit 148 C 1 * +bit 147 B 1 DATA_3 146 1 Z +bit 146 C 1 * +bit 145 B 1 DATA_19 144 1 Z +bit 144 C 1 * +bit 143 B 1 DATA_4 142 1 Z +bit 142 C 1 * +bit 141 B 1 DATA_20 140 1 Z +bit 140 C 1 * +bit 139 B 1 DATA_5 138 1 Z +bit 138 C 1 * +bit 137 B 1 DATA_21 136 1 Z +bit 136 C 1 * +bit 135 B 1 DATA_6 134 1 Z +bit 134 C 1 * +bit 133 B 1 DATA_22 132 1 Z +bit 132 C 1 * +bit 131 B 1 DATA_23 130 1 Z +bit 130 C 1 * +bit 129 B 1 DATA_8 128 1 Z +bit 128 C 1 * +bit 127 B 1 DATA_7 126 1 Z +bit 126 C 1 * +bit 125 B 1 DATA_24 124 1 Z +bit 124 C 1 * +bit 123 B 1 DATA_9 122 1 Z +bit 122 C 1 * +bit 121 B 1 DATA_25 120 1 Z +bit 120 C 1 * +bit 119 B 1 DATA_26 118 1 Z +bit 118 C 1 * +bit 117 B 1 DATA_10 116 1 Z +bit 116 C 1 * +bit 115 B 1 DATA_27 114 1 Z +bit 114 C 1 * +bit 113 B 1 DATA_11 112 1 Z +bit 112 C 1 * +bit 111 B 1 DATA_28 110 1 Z +bit 110 C 1 * +bit 109 B 1 DATA_12 108 1 Z +bit 108 C 1 * +bit 107 B 1 DATA_29 106 1 Z +bit 106 C 1 * +bit 105 B 1 DATA_13 104 1 Z +bit 104 C 1 * +bit 103 B 1 DATA_15 102 1 Z +bit 102 C 1 * +bit 101 B 1 DATA_30 100 1 Z +bit 100 C 1 * +bit 99 B 1 DATA_14 98 1 Z +bit 98 C 1 * +bit 97 O 1 RP 96 1 Z +bit 96 C 1 * +bit 95 B 1 DATA_31 94 1 Z +bit 94 C 1 * +bit 93 O 1 DQM_0 92 1 Z +bit 92 C 1 * +bit 91 O 1 CAS 90 1 Z +bit 90 C 1 * +bit 89 O 1 WE 88 1 Z +bit 88 C 1 * +bit 87 O 1 DQM_1 86 1 Z +bit 86 C 1 * +bit 85 O 1 SDCS_0 84 1 Z +bit 84 C 1 * +bit 83 O 1 DQM_2 82 1 Z +bit 82 C 1 * +bit 81 O 1 DQM_3 80 1 Z +bit 80 C 1 * +bit 79 O 1 ADDR_5 78 1 Z +bit 78 C 1 * +bit 77 O 1 RAS 76 1 Z +bit 76 C 1 * +bit 75 O 1 SDCS_1 74 1 Z +bit 74 C 1 * +bit 73 O 1 ADDR_6 72 1 Z +bit 72 C 1 * +bit 71 O 1 ADDR_7 70 1 Z +bit 70 C 1 * +bit 69 O 1 ADDR_8 68 1 Z +bit 68 C 1 * +bit 67 O 1 ADDR_9 66 1 Z +bit 66 C 1 * +bit 65 O 1 ADDR_10 64 1 Z +bit 64 C 1 * +bit 63 O 1 ADDR_11 62 1 Z +bit 62 C 1 * +bit 61 O 1 ADDR_12 60 1 Z +bit 60 C 1 * +bit 59 O 1 ADDR_13 58 1 Z +bit 58 C 1 * +bit 57 O 1 ADDR_14 56 1 Z +bit 56 C 1 * +bit 55 O 1 DA10 54 1 Z +bit 54 C 1 * +bit 53 O 1 ADDR_16 52 1 Z +bit 52 C 1 * +bit 51 O 1 ADDR_19 50 1 Z +bit 50 C 1 * +bit 49 O 1 ADDR_18 48 1 Z +bit 48 C 1 * +bit 47 O 1 ADDR_17 46 1 Z +bit 46 C 1 * +bit 45 O 1 CKE 44 1 Z +bit 44 C 1 * +bit 43 O 1 SDCS_2 42 1 Z +bit 42 C 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 SDCS_3 38 1 Z +bit 38 C 1 * +bit 37 O 1 SDCLK_0 36 1 Z +bit 36 C 1 * +bit 35 O 1 SDCLK_1 34 1 Z +bit 34 C 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 SDCLKIN 30 1 Z +bit 30 C 1 * +bit 29 O 1 PIO_20 28 1 Z +bit 28 C 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 PIO_19 24 1 Z +bit 24 C 1 * +bit 23 O 1 PIO_18 22 1 Z +bit 22 C 1 * +bit 21 O 1 PIO_23 20 1 Z +bit 20 C 1 * +bit 19 O 1 PIO_22 18 1 Z +bit 18 C 1 * +bit 17 O 1 PIO_21 16 1 Z +bit 16 C 1 * +bit 15 O 1 PIO_27 14 1 Z +bit 14 C 1 * +bit 13 O 1 PIO_29 12 1 Z +bit 12 C 1 * +bit 11 O 1 PIO_28 10 1 Z +bit 10 C 1 * +bit 9 O 1 PIO_30 8 1 Z +bit 8 C 1 * +bit 7 O 1 PIO_24 6 1 Z +bit 6 C 1 * +bit 5 O 1 PIO_31 4 1 Z +bit 4 C 1 * +bit 3 O 1 PIO_25 2 1 Z +bit 2 C 1 * +bit 1 O 1 PIO_26 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/toshiba/tx4926/STEPPINGS b/sie_fs/usr/local/share/urjtag/toshiba/tx4926/STEPPINGS new file mode 100644 index 0000000..7aface1 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/toshiba/tx4926/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 607 2004-08-17 22:43:44Z telka $ +# +# Copyright (C) 2003 RightHand Technologies, Inc. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Andrew Dyer , 2003. +# + +# bits 31-28 of the Device Identification Register +0000 tx4926 0 diff --git a/sie_fs/usr/local/share/urjtag/toshiba/tx4926/tx4926 b/sie_fs/usr/local/share/urjtag/toshiba/tx4926/tx4926 new file mode 100644 index 0000000..e80f486 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/toshiba/tx4926/tx4926 @@ -0,0 +1,662 @@ +# +# $Id: tx4926 607 2004-08-17 22:43:44Z telka $ +# +# JTAG declarations for Toshiba TX4926 +# Copyright (C) 2004 RightHand Technologies, Inc. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Andrew Dyer , 2004. +# +# Documentation: +# [1] Toshiba Corporation, +# "64-Bit TX System RISC TX49 Family TMPR4926 Rev. 2.0" +# [2] Toshiba Corporation, "BSDL file for TMPR4925" +# +signal VDDC0 +signal VDDC1 +signal VDDC2 +signal VDDC3 +signal VDDC4 +signal VDDC5 +signal VDDC6 +signal VDDC7 +signal VDDC8 +signal VDDC9 +signal VDDC10 +signal VDDC11 +signal VDDC12 +signal VDDC13 +signal VDDC14 +signal VDDC15 +signal VDDC16 +signal VDDC17 +signal VDDS0 +signal VDDS1 +signal VDDS2 +signal VDDS3 +signal VDDS4 +signal VDDS5 +signal VDDS6 +signal VDDS7 +signal VDDS8 +signal VDDS9 +signal VDDS10 +signal VDDS11 +signal VDDS12 +signal VDDS13 +signal VDDS14 +signal VDDS15 +signal VDDS16 +signal VDDS17 +signal VDDS18 +signal VDDS19 +signal VDDS20 +signal VSS0 +signal VSS1 +signal VSS2 +signal VSS3 +signal VSS4 +signal VSS5 +signal VSS6 +signal VSS7 +signal VSS8 +signal VSS9 +signal VSS10 +signal VSS11 +signal VSS12 +signal VSS13 +signal VSS14 +signal VSS15 +signal VSS16 +signal VSS17 +signal VSS18 +signal VSS19 +signal VSS20 +signal VSS21 +signal VSS22 +signal VSS23 +signal VSS24 +signal VSS25 +signal VSS26 +signal VSS27 +signal VSS28 +signal VSS29 +signal COLDRESET +signal PON +signal MSTRCLK +signal TEST +signal PLLVDD +signal PLLVSS +signal NMI +signal SCANENB +signal C32KIN +signal SYSCLK +signal BWE_3 +signal BWE_2 +signal BWE_1 +signal BWE_0 +signal SDCLK_1 +signal SDCLK_0 +signal SDCLKIN +signal GNT_3 +signal GNT_2 +signal GNT_1 +signal GNT_0 +signal REQ_3 +signal REQ_2 +signal REQ_1 +signal REQ_0 +signal PERR +signal SERR +signal PCIAD_31 +signal PCIAD_30 +signal PCIAD_29 +signal PCIAD_28 +signal PCIAD_27 +signal PCIAD_26 +signal PCIAD_25 +signal PCIAD_24 +signal PCIAD_23 +signal PCIAD_22 +signal PCIAD_21 +signal PCIAD_20 +signal PCIAD_19 +signal PCIAD_18 +signal PCIAD_17 +signal PCIAD_16 +signal PCIAD_15 +signal PCIAD_14 +signal PCIAD_13 +signal PCIAD_12 +signal PCIAD_11 +signal PCIAD_10 +signal PCIAD_9 +signal PCIAD_8 +signal PCIAD_7 +signal PCIAD_6 +signal PCIAD_5 +signal PCIAD_4 +signal PCIAD_3 +signal PCIAD_2 +signal PCIAD_1 +signal PCIAD_0 +signal C_BE_3 +signal C_BE_2 +signal C_BE_1 +signal C_BE_0 +signal PAR +signal FRAME +signal TRDY +signal IRDY +signal IDSEL +signal STOP +signal DEVSEL +signal PCICLK_2 +signal PCICLK_1 +signal PCICLKIO +signal TDI +signal TMS +signal TCK +signal TRST +signal BC32K +signal DATA_31 +signal DATA_30 +signal DATA_29 +signal DATA_28 +signal DATA_27 +signal DATA_26 +signal DATA_25 +signal DATA_24 +signal DATA_23 +signal DATA_22 +signal DATA_21 +signal DATA_20 +signal DATA_19 +signal DATA_18 +signal DATA_17 +signal DATA_16 +signal DATA_15 +signal DATA_14 +signal DATA_13 +signal DATA_12 +signal DATA_11 +signal DATA_10 +signal DATA_9 +signal DATA_8 +signal DATA_7 +signal DATA_6 +signal DATA_5 +signal DATA_4 +signal DATA_3 +signal DATA_2 +signal DATA_1 +signal DATA_0 +signal ADDR_19 +signal ADDR_18 +signal ADDR_17 +signal ADDR_16 +signal ADDR_15 +signal ADDR_14 +signal ADDR_13 +signal ADDR_12 +signal ADDR_11 +signal ADDR_10 +signal ADDR_9 +signal ADDR_8 +signal ADDR_7 +signal ADDR_6 +signal ADDR_5 +signal ADDR_4 +signal ADDR_3 +signal ADDR_2 +signal ADDR_1 +signal ADDR_0 +signal ACK +signal ROMCE_3 +signal ROMCE_2 +signal ROMCE_1 +signal ROMCE_0 +signal PIO_31 +signal PIO_30 +signal PIO_29 +signal PIO_28 +signal PIO_27 +signal PIO_26 +signal PIO_25 +signal PIO_24 +signal PIO_23 +signal PIO_22 +signal PIO_21 +signal PIO_20 +signal PIO_19 +signal PIO_18 +signal PIO_17 +signal PIO_16 +signal PIO_15 +signal PIO_14 +signal PIO_13 +signal PIO_12 +signal PIO_11 +signal PIO_10 +signal PIO_9 +signal PIO_8 +signal PIO_7 +signal PIO_6 +signal PIO_5 +signal PIO_4 +signal PIO_3 +signal PIO_2 +signal PIO_1 +signal PIO_0 +signal BUSSPRT +signal UAE +signal SWE +signal OE +signal SDCS_3 +signal SDCS_2 +signal SDCS_1 +signal SDCS_0 +signal RAS +signal CAS +signal DQM_3 +signal DQM_2 +signal DQM_1 +signal DQM_0 +signal WE +signal CKE +signal DA10 +signal RP +signal C32KOUT + +register BSR 356 +register BR 1 +register DIR 32 +register EJIMPCODE 32 +register EJADDRESS 36 +register EJDATA 64 +register EJCONTROL 32 +register EJALL 132 + +instruction length 8 + +instruction BYPASS 11111111 BR +instruction SAMPLE/PRELOAD 00000001 BSR +instruction EXTEST 00000000 BSR +instruction IDCODE 00000011 DIR +instruction EJTAG_IMPCODE 10000011 EJIMPCODE +instruction EJTAG_ADDRESS 10001000 EJADDRESS +instruction EJTAG_DATA 10001001 EJDATA +instruction EJTAG_CONTROL 10001010 EJCONTROL +instruction EJATG_ALL 10001011 EJALL +# instruction PCTRACE 10010000 # docs are unclear about what this does + +bit 355 O 1 GNT_0 354 1 Z +bit 354 C 1 * +bit 353 O 0 PCICLKIO 352 1 Z +bit 352 C 1 * +bit 351 O 0 PCICLK_1 350 1 Z +bit 350 C 1 * +bit 349 O 0 PCICLK_2 348 1 Z +bit 348 C 1 * +bit 347 O 1 REQ_0 346 1 Z +bit 346 C 1 * +bit 345 O 1 GNT_1 344 1 Z +bit 344 C 1 * +bit 343 O 1 REQ_1 342 1 Z +bit 342 C 1 * +bit 341 O 1 GNT_2 340 1 Z +bit 340 C 1 * +bit 339 O 1 REQ_2 338 1 Z +bit 338 C 1 * +bit 337 O 1 GNT_3 336 1 Z +bit 336 C 1 * +bit 335 O 1 REQ_3 334 1 Z +bit 334 C 1 * +bit 333 O 1 PCIAD_31 332 1 Z +bit 332 C 1 * +bit 331 O 1 PCIAD_30 330 1 Z +bit 330 C 1 * +bit 329 O 1 PCIAD_29 328 1 Z +bit 328 C 1 * +bit 327 O 1 PCIAD_28 326 1 Z +bit 326 C 1 * +bit 325 O 1 PCIAD_27 324 1 Z +bit 324 C 1 * +bit 323 O 1 PCIAD_26 322 1 Z +bit 322 C 1 * +bit 321 O 1 PCIAD_25 320 1 Z +bit 320 C 1 * +bit 319 O 1 PCIAD_24 318 1 Z +bit 318 C 1 * +bit 317 O 1 C_BE_3 316 1 Z +bit 316 C 1 * +bit 315 O 1 IDSEL 314 1 Z +bit 314 C 1 * +bit 313 O 1 PCIAD_23 312 1 Z +bit 312 C 1 * +bit 311 O 1 PCIAD_22 310 1 Z +bit 310 C 1 * +bit 309 O 1 PCIAD_21 308 1 Z +bit 308 C 1 * +bit 307 O 1 PCIAD_20 306 1 Z +bit 306 C 1 * +bit 305 O 1 PCIAD_19 304 1 Z +bit 304 C 1 * +bit 303 O 1 PCIAD_17 302 1 Z +bit 302 C 1 * +bit 301 O 1 PCIAD_18 300 1 Z +bit 300 C 1 * +bit 299 O 1 FRAME 298 1 Z +bit 298 C 1 * +bit 297 O 1 C_BE_2 296 1 Z +bit 296 C 1 * +bit 295 O 1 PCIAD_16 294 1 Z +bit 294 C 1 * +bit 293 O 1 STOP 292 1 Z +bit 292 C 1 * +bit 291 O 1 DEVSEL 290 1 Z +bit 290 C 1 * +bit 289 O 1 TRDY 288 1 Z +bit 288 C 1 * +bit 287 O 1 IRDY 286 1 Z +bit 286 C 1 * +bit 285 O 1 SERR 284 1 Z +bit 284 C 1 * +bit 283 O 1 PERR 282 1 Z +bit 282 C 1 * +bit 281 O 1 PCIAD_15 280 1 Z +bit 280 C 1 * +bit 279 O 1 C_BE_1 278 1 Z +bit 278 C 1 * +bit 277 O 1 PAR 276 1 Z +bit 276 C 1 * +bit 275 O 1 PCIAD_12 274 1 Z +bit 274 C 1 * +bit 273 O 1 PCIAD_13 272 1 Z +bit 272 C 1 * +bit 271 O 1 PCIAD_14 270 1 Z +bit 270 C 1 * +bit 269 O 1 PCIAD_9 268 1 Z +bit 268 C 1 * +bit 267 O 1 PCIAD_10 266 1 Z +bit 266 C 1 * +bit 265 O 1 PCIAD_11 264 1 Z +bit 264 C 1 * +bit 263 O 1 C_BE_0 262 1 Z +bit 262 C 1 * +bit 261 O 1 PCIAD_8 260 1 Z +bit 260 C 1 * +bit 259 O 1 PCIAD_4 258 1 Z +bit 258 C 1 * +bit 257 O 1 PCIAD_0 256 1 Z +bit 256 C 1 * +bit 255 O 1 PCIAD_5 254 1 Z +bit 254 C 1 * +bit 253 O 1 PCIAD_1 252 1 Z +bit 252 C 1 * +bit 251 O 1 PCIAD_6 250 1 Z +bit 250 C 1 * +bit 249 O 1 PCIAD_2 248 1 Z +bit 248 C 1 * +bit 247 O 1 PCIAD_7 246 1 Z +bit 246 C 1 * +bit 245 O 1 PCIAD_3 244 1 Z +bit 244 C 1 * +bit 243 O 1 BWE_0 242 1 Z +bit 242 C 1 * +bit 241 O 1 BWE_1 240 1 Z +bit 240 C 1 * +bit 239 O 1 SYSCLK 238 1 Z +bit 238 C 1 * +bit 237 O 1 BWE_2 236 1 Z +bit 236 C 1 * +bit 235 O 1 BWE_3 234 1 Z +bit 234 C 1 * +bit 233 O 1 UAE 232 1 Z +bit 232 C 1 * +bit 231 O 1 SWE 230 1 Z +bit 230 C 1 * +bit 229 O 1 ADDR_0 228 1 Z +bit 228 C 1 * +bit 227 O 1 ADDR_1 226 1 Z +bit 226 C 1 * +bit 225 O 1 ADDR_2 224 1 Z +bit 224 C 1 * +bit 223 O 1 ADDR_3 222 1 Z +bit 222 C 1 * +bit 221 O 1 ADDR_4 220 1 Z +bit 220 C 1 * +bit 219 O 1 ROMCE_3 218 1 Z +bit 218 C 1 * +bit 217 O 1 ROMCE_2 216 1 Z +bit 216 C 1 * +bit 215 O 1 ADDR_15 214 1 Z +bit 214 C 1 * +bit 213 O 1 OE 212 1 Z +bit 212 C 1 * +bit 211 O 1 PIO_0 210 1 Z +bit 210 C 1 * +bit 209 O 1 PIO_2 208 1 Z +bit 208 C 1 * +bit 207 O 1 ROMCE_1 206 1 Z +bit 206 C 1 * +bit 205 O 1 ROMCE_0 204 1 Z +bit 204 C 1 * +bit 203 O 1 BUSSPRT 202 1 Z +bit 202 C 1 * +bit 201 O 1 PIO_4 200 1 Z +bit 200 C 1 * +bit 199 O 1 ACK 198 1 Z +bit 198 C 1 * +bit 197 O 1 PIO_3 196 1 Z +bit 196 C 1 * +bit 195 O 1 PIO_1 194 1 Z +bit 194 C 1 * +bit 193 O 1 PIO_11 192 1 Z +bit 192 C 1 * +bit 191 O 1 PIO_10 190 1 Z +bit 190 C 1 * +bit 189 O 1 PIO_5 188 1 Z +bit 188 C 1 * +bit 187 O 1 PIO_6 186 1 Z +bit 186 C 1 * +bit 185 O 1 PIO_8 184 1 Z +bit 184 C 1 * +bit 183 O 1 PIO_9 182 1 Z +bit 182 C 1 * +bit 181 O 1 PIO_12 180 1 Z +bit 180 C 1 * +bit 179 O 1 PIO_17 178 1 Z +bit 178 C 1 * +bit 177 O 1 PIO_13 176 1 Z +bit 176 C 1 * +bit 175 O 1 PIO_7 174 1 Z +bit 174 C 1 * +bit 173 O 1 PIO_14 172 1 Z +bit 172 C 1 * +bit 171 O 1 PIO_15 170 1 Z +bit 170 C 1 * +bit 169 O 1 PIO_16 168 1 Z +bit 168 C 1 * +bit 167 O 1 BC32K 166 1 Z +bit 166 C 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 B 1 DATA_0 158 1 Z +bit 158 C 1 * +bit 157 B 1 DATA_16 156 1 Z +bit 156 C 1 * +bit 155 B 1 DATA_1 154 1 Z +bit 154 C 1 * +bit 153 B 1 DATA_17 152 1 Z +bit 152 C 1 * +bit 151 B 1 DATA_2 150 1 Z +bit 150 C 1 * +bit 149 B 1 DATA_18 148 1 Z +bit 148 C 1 * +bit 147 B 1 DATA_3 146 1 Z +bit 146 C 1 * +bit 145 B 1 DATA_19 144 1 Z +bit 144 C 1 * +bit 143 B 1 DATA_4 142 1 Z +bit 142 C 1 * +bit 141 B 1 DATA_20 140 1 Z +bit 140 C 1 * +bit 139 B 1 DATA_5 138 1 Z +bit 138 C 1 * +bit 137 B 1 DATA_21 136 1 Z +bit 136 C 1 * +bit 135 B 1 DATA_6 134 1 Z +bit 134 C 1 * +bit 133 B 1 DATA_22 132 1 Z +bit 132 C 1 * +bit 131 B 1 DATA_23 130 1 Z +bit 130 C 1 * +bit 129 B 1 DATA_8 128 1 Z +bit 128 C 1 * +bit 127 B 1 DATA_7 126 1 Z +bit 126 C 1 * +bit 125 B 1 DATA_24 124 1 Z +bit 124 C 1 * +bit 123 B 1 DATA_9 122 1 Z +bit 122 C 1 * +bit 121 B 1 DATA_25 120 1 Z +bit 120 C 1 * +bit 119 B 1 DATA_26 118 1 Z +bit 118 C 1 * +bit 117 B 1 DATA_10 116 1 Z +bit 116 C 1 * +bit 115 B 1 DATA_27 114 1 Z +bit 114 C 1 * +bit 113 B 1 DATA_11 112 1 Z +bit 112 C 1 * +bit 111 B 1 DATA_28 110 1 Z +bit 110 C 1 * +bit 109 B 1 DATA_12 108 1 Z +bit 108 C 1 * +bit 107 B 1 DATA_29 106 1 Z +bit 106 C 1 * +bit 105 B 1 DATA_13 104 1 Z +bit 104 C 1 * +bit 103 B 1 DATA_15 102 1 Z +bit 102 C 1 * +bit 101 B 1 DATA_30 100 1 Z +bit 100 C 1 * +bit 99 B 1 DATA_14 98 1 Z +bit 98 C 1 * +bit 97 O 1 RP 96 1 Z +bit 96 C 1 * +bit 95 B 1 DATA_31 94 1 Z +bit 94 C 1 * +bit 93 O 1 DQM_0 92 1 Z +bit 92 C 1 * +bit 91 O 1 CAS 90 1 Z +bit 90 C 1 * +bit 89 O 1 WE 88 1 Z +bit 88 C 1 * +bit 87 O 1 DQM_1 86 1 Z +bit 86 C 1 * +bit 85 O 1 SDCS_0 84 1 Z +bit 84 C 1 * +bit 83 O 1 DQM_2 82 1 Z +bit 82 C 1 * +bit 81 O 1 DQM_3 80 1 Z +bit 80 C 1 * +bit 79 O 1 ADDR_5 78 1 Z +bit 78 C 1 * +bit 77 O 1 RAS 76 1 Z +bit 76 C 1 * +bit 75 O 1 SDCS_1 74 1 Z +bit 74 C 1 * +bit 73 O 1 ADDR_6 72 1 Z +bit 72 C 1 * +bit 71 O 1 ADDR_7 70 1 Z +bit 70 C 1 * +bit 69 O 1 ADDR_8 68 1 Z +bit 68 C 1 * +bit 67 O 1 ADDR_9 66 1 Z +bit 66 C 1 * +bit 65 O 1 ADDR_10 64 1 Z +bit 64 C 1 * +bit 63 O 1 ADDR_11 62 1 Z +bit 62 C 1 * +bit 61 O 1 ADDR_12 60 1 Z +bit 60 C 1 * +bit 59 O 1 ADDR_13 58 1 Z +bit 58 C 1 * +bit 57 O 1 ADDR_14 56 1 Z +bit 56 C 1 * +bit 55 O 1 DA10 54 1 Z +bit 54 C 1 * +bit 53 O 1 ADDR_16 52 1 Z +bit 52 C 1 * +bit 51 O 1 ADDR_19 50 1 Z +bit 50 C 1 * +bit 49 O 1 ADDR_18 48 1 Z +bit 48 C 1 * +bit 47 O 1 ADDR_17 46 1 Z +bit 46 C 1 * +bit 45 O 1 CKE 44 1 Z +bit 44 C 1 * +bit 43 O 1 SDCS_2 42 1 Z +bit 42 C 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 SDCS_3 38 1 Z +bit 38 C 1 * +bit 37 O 1 SDCLK_0 36 1 Z +bit 36 C 1 * +bit 35 O 1 SDCLK_1 34 1 Z +bit 34 C 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 SDCLKIN 30 1 Z +bit 30 C 1 * +bit 29 O 1 PIO_20 28 1 Z +bit 28 C 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 PIO_19 24 1 Z +bit 24 C 1 * +bit 23 O 1 PIO_18 22 1 Z +bit 22 C 1 * +bit 21 O 1 PIO_23 20 1 Z +bit 20 C 1 * +bit 19 O 1 PIO_22 18 1 Z +bit 18 C 1 * +bit 17 O 1 PIO_21 16 1 Z +bit 16 C 1 * +bit 15 O 1 PIO_27 14 1 Z +bit 14 C 1 * +bit 13 O 1 PIO_29 12 1 Z +bit 12 C 1 * +bit 11 O 1 PIO_28 10 1 Z +bit 10 C 1 * +bit 9 O 1 PIO_30 8 1 Z +bit 8 C 1 * +bit 7 O 1 PIO_24 6 1 Z +bit 6 C 1 * +bit 5 O 1 PIO_31 4 1 Z +bit 4 C 1 * +bit 3 O 1 PIO_25 2 1 Z +bit 2 C 1 * +bit 1 O 1 PIO_26 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/PARTS b/sie_fs/usr/local/share/urjtag/xilinx/PARTS new file mode 100644 index 0000000..78969a3 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/PARTS @@ -0,0 +1,69 @@ +# +# $Id: PARTS 900 2007-12-30 10:06:50Z arniml $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 27-12 of the Device Identification Register +0100100000001110 xcr3032xl-vq44 XCR3032XL-VQ44 +0100100010001001 xcr3128xl-vq100 XCR3128XL-VQ100 +0100100010001011 . XCR3128XL-TQ144 +0100100010001100 xcr3128xl-cs144 XCR3128XL-CS144 +0100100101001110 xcr3256xl-ft256 XCR3256XL-FT256 +0110110101001010 xc2c256-vq100 XC2C256-VQ100 +0110110101001100 xc2c256-tq144 XC2C256-TQ144 +0110111001011110 xc2c64a-vq44 XC2C64-VQ44 +0000101000100000 xc2s300e XC2S300E +0001010000001101 xc3s50 xc3s50 +0001010000010100 xc3s200 xc3s200 +0001010000011100 xc3s400 xc3s400 +0001010000101000 xc3s1000 xc3s1000 +0001010000110100 xc3s1500 xc3s1500 +0001010001000000 xc3s2000 xc3s2000 +0001010001001000 xc3s4000 xc3s4000 +0001010001010000 xc3s5000 xc3s5000 +0001110000010000 xc3s100e_die xc3s100e_die +0001110000100010 xc3s500e_vq100 xc3s500e_vq100 +0101000001000100 xcf01s xcf01s +0101000001000101 xcf02s xcf02s +0101000001000110 xcf04s xcf04s +0101000001010111 xcf08p xcf08p +0101000001011000 xcf16p xcf16p +0101000001011001 xcf32p xcf32p +0001011001011000 xc4vlx15 xc4vlx15 +0001011001111100 xc4vlx25 xc4vlx25 +0001011010100100 xc4vlx40 xc4vlx40 +0001011010110100 xc4vlx60 xc4vlx60 +0001011011011000 xc4vlx80 xc4vlx80 +0001011100000000 xc4vlx100 xc4vlx100 +0001011100011000 xc4vlx160 xc4vlx160 +0001011100110100 xc4vlx200 xc4vlx200 +0001111001011000 xc4vfx12 xc4vfx12 +0001111001100100 xc4vfx20 xc4vfx20 +0001111010110100 xc4vfx60 xc4vfx60 +0010000001101000 xc4vsx25 xc4vsx25 +0010000010001000 xc4vsx35 xc4vsx35 +0010000010110000 xc4vsx55 xc4vsx55 +0000101000011100 xc2s200e-pq208 XC2S200E-PQ208 +1001011000000100 xc9572xl_vq44 XC9572XL_VQ44 +0101000000100110 xc18v04pc44 XC18V04_PC44 +0001000000010000 xc2v80-fg256 XC2V80-FG256 +0001000000011000 xc2v250-fg256 XC2V250-FG256 +0001000000101000 xc2v1000-fg256 XC2V1000-FG256 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc18v04pc44/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc18v04pc44/STEPPINGS new file mode 100644 index 0000000..59037be --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc18v04pc44/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS,v 1.1 2003/02/14 11:14:56 telka Exp $ +# +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Alessandro Zummo , 2003. +# DJF:w + +# bits 31-28 of the Device Identification Register +0000 xc18v04pc44 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc18v04pc44/xc18v04pc44 b/sie_fs/usr/local/share/urjtag/xilinx/xc18v04pc44/xc18v04pc44 new file mode 100644 index 0000000..7e466d1 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc18v04pc44/xc18v04pc44 @@ -0,0 +1,65 @@ +signal TDI 9 +signal TMS 11 +signal Gnd_2 12 +signal TCK 13 +signal Vcco_1 14 +signal D4 15 +signal CF 16 +signal Reset_OE 19 +signal D6 31 +signal CE 21 +signal Vcco_2 22 +signal Vcc_1 23 +signal Gnd_3 24 +signal D7 25 +signal CEO 27 +signal D5 31 +signal Vcco_3 32 +signal D3 33 +signal Gnd_4 34 +signal D1 35 +signal TDO 37 +signal Vpp +signal Vcco_4 42 +signal Vcc_2 41 +signal D0 2 +signal Gnd_1 3 +signal D2 4 +signal CLK 5 + +register BSR 25 +register BR 1 +register DIR 32 + +instruction length 8 + +instruction BYPASS 11111111 BR +instruction SAMPLE/PRELOAD 00000001 BSR +instruction EXTEST 00000000 BSR +instruction IDCODE 11111110 DIR + +bit 24 O 1 D4 23 0 Z +bit 23 C 0 * +bit 22 O 1 CF 21 0 Z +bit 21 C 0 * +bit 20 I 1 Reset_OE +bit 19 O 1 Reset_OE 18 0 Z +bit 18 C 0 * +bit 17 O 1 D6 16 0 Z +bit 16 C 0 * +bit 15 I 1 CE +bit 14 O 1 D7 13 0 Z +bit 13 C 0 * +bit 12 O 1 CEO 11 0 Z +bit 11 C 0 * +bit 10 O 1 D5 9 0 Z +bit 9 C 0 * +bit 8 O 1 D3 7 0 Z +bit 7 C 0 * +bit 6 O 1 D1 5 0 Z +bit 5 C 0 * +bit 4 O 1 D0 3 0 Z +bit 3 C 0 * +bit 2 O 1 D2 1 0 Z +bit 1 C 0 * +bit 0 I 1 CLK diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-tq144/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-tq144/STEPPINGS new file mode 100644 index 0000000..7e398f1 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-tq144/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 348 2003-02-14 11:14:56Z telka $ +# +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Alessandro Zummo , 2003. +# + +# bits 31-28 of the Device Identification Register +0000 xc2c256-tq144 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-tq144/xc2c256-tq144 b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-tq144/xc2c256-tq144 new file mode 100644 index 0000000..8bddff6 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-tq144/xc2c256-tq144 @@ -0,0 +1,752 @@ +# +# $Id: xc2c256-tq144 504 2003-08-13 09:24:36Z telka $ +# +# JTAG declarations for XC2C256-TQ144 +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Alessandro Zummo , 2003. +# +# Documentation: +# [1] Xilinx Inc., "CoolRunner-II CPLD Family Advance Product Specification", +# DS090 (v1.3) September 24, 2002 +# [2] Xilinx Inc., "BSDL file for device XC2C256, package TQ144 +# Revision: 1.5", 2002-10-08 +# [3] Xilinx Inc., "XC2C256 CoolRunner-II CPLD Advance Product Specification", +# DS094 (v1.2) November 20, 2002 + +# see [2] + +signal tdi 63 +signal tck 67 +signal tms 65 +signal tdo 122 +signal IO_2 143 +signal IO_3 142 +signal IO_5 140 +signal IO_6 139 +signal IO_7 138 +signal IO_8 137 +signal IO_11 2 +signal IO_13 3 +signal IO_14 4 +signal IO_15 5 +signal IO_17 6 +signal IO_18 7 +signal IO_19 9 +signal IO_20 10 +signal IO_22 136 +signal IO_23 135 +signal IO_24 134 +signal IO_26 133 +signal IO_30 132 +signal IO_32 131 +signal IO_33 11 +signal IO_34 12 +signal IO_35 13 +signal IO_36 14 +signal IO_37 15 +signal IO_38 16 +signal IO_39 17 +signal IO_41 18 +signal IO_45 33 +signal IO_47 32 +signal IO_48 31 +signal IO_49 30 +signal IO_52 28 +signal IO_55 34 +signal IO_56 35 +signal IO_58 38 +signal IO_61 39 +signal IO_62 40 +signal IO_63 41 +signal IO_64 42 +signal IO_65 43 +signal IO_70 26 +signal IO_71 25 +signal IO_72 24 +signal IO_73 23 +signal IO_74 22 +signal IO_75 21 +signal IO_76 20 +signal IO_77 19 +signal IO_78 44 +signal IO_79 45 +signal IO_80 46 +signal IO_82 48 +signal IO_83 49 +signal IO_84 50 +signal IO_85 51 +signal IO_86 52 +signal IO_90 112 +signal IO_91 113 +signal IO_93 114 +signal IO_95 115 +signal IO_97 116 +signal IO_98 117 +signal IO_99 118 +signal IO_100 119 +signal IO_102 111 +signal IO_103 110 +signal IO_104 107 +signal IO_105 106 +signal IO_106 105 +signal IO_107 104 +signal IO_109 103 +signal IO_111 102 +signal IO_113 101 +signal IO_118 120 +signal IO_119 121 +signal IO_120 124 +signal IO_121 125 +signal IO_122 126 +signal IO_123 128 +signal IO_124 129 +signal IO_125 130 +signal IO_127 100 +signal IO_132 98 +signal IO_133 97 +signal IO_134 96 +signal IO_135 95 +signal IO_136 94 +signal IO_138 75 +signal IO_139 76 +signal IO_140 77 +signal IO_142 78 +signal IO_143 79 +signal IO_144 80 +signal IO_145 81 +signal IO_146 82 +signal IO_149 74 +signal IO_150 71 +signal IO_151 70 +signal IO_152 69 +signal IO_154 68 +signal IO_156 66 +signal IO_157 64 +signal IO_159 61 +signal IO_161 83 +signal IO_166 85 +signal IO_167 86 +signal IO_168 87 +signal IO_169 88 +signal IO_170 91 +signal IO_171 92 +signal IO_176 60 +signal IO_177 59 +signal IO_178 58 +signal IO_179 57 +signal IO_180 56 +signal IO_182 54 +signal IO_183 53 + +# mandatory data registers +register BSR 552 # see [2] +register BR 1 + +# optional data registers +register DIR 32 + +# user-defined registers +register ISPSR 274 # ISP (In-System Programming) Shift Register, see [2] + + +# instructions - see [2] +instruction length 8 + +# mandatory instructions - see [2] +instruction EXTEST 00000000 BSR +instruction SAMPLE/PRELOAD 00000011 BSR +instruction BYPASS 11111111 BR +instruction INTEST 00000010 BSR +instruction IDCODE 00000001 DIR +instruction USERCODE 11111101 DIR +instruction HIGHZ 11111100 BR +instruction CLAMP 11111010 BR + +# user-defined instructions - see [2] +instruction ISC_ENABLE_CLAMP 11101001 BR +instruction ISC_ENABLEOTF 11100100 ISPSR +instruction ISC_ENABLE 11101000 ISPSR +instruction ISC_SRAM_READ 11100111 ISPSR +instruction ISC_SRAM_WRITE 11100110 ISPSR +instruction ISC_ERASE 11101101 ISPSR +instruction ISC_PROGRAM 11101010 ISPSR +instruction ISC_READ 11101110 ISPSR +instruction ISC_INIT 11110000 ISPSR +instruction ISC_DISABLE 11000000 ISPSR +instruction TEST_ENABLE 00010001 ISPSR +instruction BULKPROG 00010010 ISPSR +instruction ERASE_ALL 00010100 ISPSR +instruction MVERIFY 00010011 ISPSR +instruction TEST_DISABLE 00010101 ISPSR +instruction ISC_NOOP 11100000 BR + + +# see [2] +bit 551 X ? . +bit 550 X ? . +bit 549 X ? . +bit 548 X ? . +bit 547 X ? . +bit 546 X ? . +bit 545 I ? IO_2 +bit 544 O ? IO_2 543 0 Z +bit 543 C ? . +bit 542 I ? IO_3 +bit 541 O ? IO_3 540 0 Z +bit 540 C ? . +bit 539 X ? . +bit 538 X ? . +bit 537 X ? . +bit 536 I ? IO_5 +bit 535 O ? IO_5 534 0 Z +bit 534 C ? . +bit 533 I ? IO_6 +bit 532 O ? IO_6 531 0 Z +bit 531 C ? . +bit 530 I ? IO_7 +bit 529 O ? IO_7 528 0 Z +bit 528 C ? . +bit 527 I ? IO_8 +bit 526 O ? IO_8 525 0 Z +bit 525 C ? . +bit 524 X ? . +bit 523 X ? . +bit 522 X ? . +bit 521 X ? . +bit 520 X ? . +bit 519 X ? . +bit 518 I ? IO_22 +bit 517 O ? IO_22 516 0 Z +bit 516 C ? . +bit 515 I ? IO_23 +bit 514 O ? IO_23 513 0 Z +bit 513 C ? . +bit 512 I ? IO_24 +bit 511 O ? IO_24 510 0 Z +bit 510 C ? . +bit 509 X ? . +bit 508 X ? . +bit 507 X ? . +bit 506 I ? IO_26 +bit 505 O ? IO_26 504 0 Z +bit 504 C ? . +bit 503 X ? . +bit 502 X ? . +bit 501 X ? . +bit 500 X ? . +bit 499 X ? . +bit 498 X ? . +bit 497 X ? . +bit 496 X ? . +bit 495 X ? . +bit 494 I ? IO_30 +bit 493 O ? IO_30 492 0 Z +bit 492 C ? . +bit 491 X ? . +bit 490 X ? . +bit 489 X ? . +bit 488 I ? IO_32 +bit 487 O ? IO_32 486 0 Z +bit 486 C ? . +bit 485 I ? IO_11 +bit 484 O ? IO_11 483 0 Z +bit 483 C ? . +bit 482 X ? . +bit 481 X ? . +bit 480 X ? . +bit 479 I ? IO_13 +bit 478 O ? IO_13 477 0 Z +bit 477 C ? . +bit 476 I ? IO_14 +bit 475 O ? IO_14 474 0 Z +bit 474 C ? . +bit 473 I ? IO_15 +bit 472 O ? IO_15 471 0 Z +bit 471 C ? . +bit 470 X ? . +bit 469 X ? . +bit 468 X ? . +bit 467 I ? IO_17 +bit 466 O ? IO_17 465 0 Z +bit 465 C ? . +bit 464 I ? IO_18 +bit 463 O ? IO_18 462 0 Z +bit 462 C ? . +bit 461 I ? IO_19 +bit 460 O ? IO_19 459 0 Z +bit 459 C ? . +bit 458 I ? IO_20 +bit 457 O ? IO_20 456 0 Z +bit 456 C ? . +bit 455 X ? . +bit 454 X ? . +bit 453 X ? . +bit 452 I ? IO_33 +bit 451 O ? IO_33 450 0 Z +bit 450 C ? . +bit 449 I ? IO_34 +bit 448 O ? IO_34 447 0 Z +bit 447 C ? . +bit 446 I ? IO_35 +bit 445 O ? IO_35 444 0 Z +bit 444 C ? . +bit 443 I ? IO_36 +bit 442 O ? IO_36 441 0 Z +bit 441 C ? . +bit 440 I ? IO_37 +bit 439 O ? IO_37 438 0 Z +bit 438 C ? . +bit 437 I ? IO_38 +bit 436 O ? IO_38 435 0 Z +bit 435 C ? . +bit 434 I ? IO_39 +bit 433 O ? IO_39 432 0 Z +bit 432 C ? . +bit 431 X ? . +bit 430 X ? . +bit 429 X ? . +bit 428 I ? IO_41 +bit 427 O ? IO_41 426 0 Z +bit 426 C ? . +bit 425 X ? . +bit 424 X ? . +bit 423 X ? . +bit 422 X ? . +bit 421 X ? . +bit 420 X ? . +bit 419 X ? . +bit 418 X ? . +bit 417 X ? . +bit 416 I ? IO_45 +bit 415 O ? IO_45 414 0 Z +bit 414 C ? . +bit 413 X ? . +bit 412 X ? . +bit 411 X ? . +bit 410 I ? IO_47 +bit 409 O ? IO_47 408 0 Z +bit 408 C ? . +bit 407 I ? IO_48 +bit 406 O ? IO_48 405 0 Z +bit 405 C ? . +bit 404 I ? IO_49 +bit 403 O ? IO_49 402 0 Z +bit 402 C ? . +bit 401 X ? . +bit 400 X ? . +bit 399 X ? . +bit 398 X ? . +bit 397 X ? . +bit 396 X ? . +bit 395 I ? IO_52 +bit 394 O ? IO_52 393 0 Z +bit 393 C ? . +bit 392 X ? . +bit 391 X ? . +bit 390 X ? . +bit 389 X ? . +bit 388 X ? . +bit 387 X ? . +bit 386 X ? . +bit 385 X ? . +bit 384 X ? . +bit 383 X ? . +bit 382 X ? . +bit 381 X ? . +bit 380 X ? . +bit 379 X ? . +bit 378 X ? . +bit 377 X ? . +bit 376 X ? . +bit 375 X ? . +bit 374 I ? IO_70 +bit 373 O ? IO_70 372 0 Z +bit 372 C ? . +bit 371 I ? IO_71 +bit 370 O ? IO_71 369 0 Z +bit 369 C ? . +bit 368 I ? IO_72 +bit 367 O ? IO_72 366 0 Z +bit 366 C ? . +bit 365 I ? IO_73 +bit 364 O ? IO_73 363 0 Z +bit 363 C ? . +bit 362 I ? IO_74 +bit 361 O ? IO_74 360 0 Z +bit 360 C ? . +bit 359 I ? IO_75 +bit 358 O ? IO_75 357 0 Z +bit 357 C ? . +bit 356 I ? IO_76 +bit 355 O ? IO_76 354 0 Z +bit 354 C ? . +bit 353 I ? IO_77 +bit 352 O ? IO_77 351 0 Z +bit 351 C ? . +bit 350 I ? IO_55 +bit 349 O ? IO_55 348 0 Z +bit 348 C ? . +bit 347 I ? IO_56 +bit 346 O ? IO_56 345 0 Z +bit 345 C ? . +bit 344 X ? . +bit 343 X ? . +bit 342 X ? . +bit 341 I ? IO_58 +bit 340 O ? IO_58 339 0 Z +bit 339 C ? . +bit 338 X ? . +bit 337 X ? . +bit 336 X ? . +bit 335 X ? . +bit 334 X ? . +bit 333 X ? . +bit 332 I ? IO_61 +bit 331 O ? IO_61 330 0 Z +bit 330 C ? . +bit 329 I ? IO_62 +bit 328 O ? IO_62 327 0 Z +bit 327 C ? . +bit 326 I ? IO_63 +bit 325 O ? IO_63 324 0 Z +bit 324 C ? . +bit 323 I ? IO_64 +bit 322 O ? IO_64 321 0 Z +bit 321 C ? . +bit 320 I ? IO_65 +bit 319 O ? IO_65 318 0 Z +bit 318 C ? . +bit 317 I ? IO_78 +bit 316 O ? IO_78 315 0 Z +bit 315 C ? . +bit 314 I ? IO_79 +bit 313 O ? IO_79 312 0 Z +bit 312 C ? . +bit 311 I ? IO_80 +bit 310 O ? IO_80 309 0 Z +bit 309 C ? . +bit 308 X ? . +bit 307 X ? . +bit 306 X ? . +bit 305 I ? IO_82 +bit 304 O ? IO_82 303 0 Z +bit 303 C ? . +bit 302 I ? IO_83 +bit 301 O ? IO_83 300 0 Z +bit 300 C ? . +bit 299 I ? IO_84 +bit 298 O ? IO_84 297 0 Z +bit 297 C ? . +bit 296 I ? IO_85 +bit 295 O ? IO_85 294 0 Z +bit 294 C ? . +bit 293 I ? IO_86 +bit 292 O ? IO_86 291 0 Z +bit 291 C ? . +bit 290 X ? . +bit 289 X ? . +bit 288 X ? . +bit 287 X ? . +bit 286 X ? . +bit 285 X ? . +bit 284 X ? . +bit 283 X ? . +bit 282 X ? . +bit 281 I ? IO_90 +bit 280 O ? IO_90 279 0 Z +bit 279 C ? . +bit 278 I ? IO_91 +bit 277 O ? IO_91 276 0 Z +bit 276 C ? . +bit 275 X ? . +bit 274 X ? . +bit 273 X ? . +bit 272 I ? IO_93 +bit 271 O ? IO_93 270 0 Z +bit 270 C ? . +bit 269 X ? . +bit 268 X ? . +bit 267 X ? . +bit 266 I ? IO_95 +bit 265 O ? IO_95 264 0 Z +bit 264 C ? . +bit 263 X ? . +bit 262 X ? . +bit 261 X ? . +bit 260 I ? IO_97 +bit 259 O ? IO_97 258 0 Z +bit 258 C ? . +bit 257 I ? IO_98 +bit 256 O ? IO_98 255 0 Z +bit 255 C ? . +bit 254 I ? IO_99 +bit 253 O ? IO_99 252 0 Z +bit 252 C ? . +bit 251 I ? IO_100 +bit 250 O ? IO_100 249 0 Z +bit 249 C ? . +bit 248 X ? . +bit 247 X ? . +bit 246 X ? . +bit 245 X ? . +bit 244 X ? . +bit 243 X ? . +bit 242 X ? . +bit 241 X ? . +bit 240 X ? . +bit 239 X ? . +bit 238 X ? . +bit 237 X ? . +bit 236 X ? . +bit 235 X ? . +bit 234 X ? . +bit 233 I ? IO_118 +bit 232 O ? IO_118 231 0 Z +bit 231 C ? . +bit 230 I ? IO_119 +bit 229 O ? IO_119 228 0 Z +bit 228 C ? . +bit 227 I ? IO_120 +bit 226 O ? IO_120 225 0 Z +bit 225 C ? . +bit 224 I ? IO_121 +bit 223 O ? IO_121 222 0 Z +bit 222 C ? . +bit 221 I ? IO_122 +bit 220 O ? IO_122 219 0 Z +bit 219 C ? . +bit 218 I ? IO_123 +bit 217 O ? IO_123 216 0 Z +bit 216 C ? . +bit 215 I ? IO_124 +bit 214 O ? IO_124 213 0 Z +bit 213 C ? . +bit 212 I ? IO_125 +bit 211 O ? IO_125 210 0 Z +bit 210 C ? . +bit 209 I ? IO_102 +bit 208 O ? IO_102 207 0 Z +bit 207 C ? . +bit 206 I ? IO_103 +bit 205 O ? IO_103 204 0 Z +bit 204 C ? . +bit 203 I ? IO_104 +bit 202 O ? IO_104 201 0 Z +bit 201 C ? . +bit 200 I ? IO_105 +bit 199 O ? IO_105 198 0 Z +bit 198 C ? . +bit 197 I ? IO_106 +bit 196 O ? IO_106 195 0 Z +bit 195 C ? . +bit 194 I ? IO_107 +bit 193 O ? IO_107 192 0 Z +bit 192 C ? . +bit 191 X ? . +bit 190 X ? . +bit 189 X ? . +bit 188 I ? IO_109 +bit 187 O ? IO_109 186 0 Z +bit 186 C ? . +bit 185 X ? . +bit 184 X ? . +bit 183 X ? . +bit 182 I ? IO_111 +bit 181 O ? IO_111 180 0 Z +bit 180 C ? . +bit 179 X ? . +bit 178 X ? . +bit 177 X ? . +bit 176 I ? IO_113 +bit 175 O ? IO_113 174 0 Z +bit 174 C ? . +bit 173 X ? . +bit 172 X ? . +bit 171 X ? . +bit 170 I ? IO_127 +bit 169 O ? IO_127 168 0 Z +bit 168 C ? . +bit 167 X ? . +bit 166 X ? . +bit 165 X ? . +bit 164 X ? . +bit 163 X ? . +bit 162 X ? . +bit 161 X ? . +bit 160 X ? . +bit 159 X ? . +bit 158 X ? . +bit 157 X ? . +bit 156 X ? . +bit 155 I ? IO_132 +bit 154 O ? IO_132 153 0 Z +bit 153 C ? . +bit 152 I ? IO_133 +bit 151 O ? IO_133 150 0 Z +bit 150 C ? . +bit 149 I ? IO_134 +bit 148 O ? IO_134 147 0 Z +bit 147 C ? . +bit 146 I ? IO_135 +bit 145 O ? IO_135 144 0 Z +bit 144 C ? . +bit 143 I ? IO_136 +bit 142 O ? IO_136 141 0 Z +bit 141 C ? . +bit 140 X ? . +bit 139 X ? . +bit 138 X ? . +bit 137 I ? IO_138 +bit 136 O ? IO_138 135 0 Z +bit 135 C ? . +bit 134 I ? IO_139 +bit 133 O ? IO_139 132 0 Z +bit 132 C ? . +bit 131 I ? IO_140 +bit 130 O ? IO_140 129 0 Z +bit 129 C ? . +bit 128 X ? . +bit 127 X ? . +bit 126 X ? . +bit 125 I ? IO_142 +bit 124 O ? IO_142 123 0 Z +bit 123 C ? . +bit 122 I ? IO_143 +bit 121 O ? IO_143 120 0 Z +bit 120 C ? . +bit 119 I ? IO_144 +bit 118 O ? IO_144 117 0 Z +bit 117 C ? . +bit 116 I ? IO_145 +bit 115 O ? IO_145 114 0 Z +bit 114 C ? . +bit 113 I ? IO_146 +bit 112 O ? IO_146 111 0 Z +bit 111 C ? . +bit 110 X ? . +bit 109 X ? . +bit 108 X ? . +bit 107 X ? . +bit 106 X ? . +bit 105 X ? . +bit 104 X ? . +bit 103 X ? . +bit 102 X ? . +bit 101 I ? IO_161 +bit 100 O ? IO_161 99 0 Z +bit 99 C ? . +bit 98 X ? . +bit 97 X ? . +bit 96 X ? . +bit 95 X ? . +bit 94 X ? . +bit 93 X ? . +bit 92 X ? . +bit 91 X ? . +bit 90 X ? . +bit 89 X ? . +bit 88 X ? . +bit 87 X ? . +bit 86 I ? IO_166 +bit 85 O ? IO_166 84 0 Z +bit 84 C ? . +bit 83 I ? IO_167 +bit 82 O ? IO_167 81 0 Z +bit 81 C ? . +bit 80 I ? IO_168 +bit 79 O ? IO_168 78 0 Z +bit 78 C ? . +bit 77 I ? IO_169 +bit 76 O ? IO_169 75 0 Z +bit 75 C ? . +bit 74 I ? IO_170 +bit 73 O ? IO_170 72 0 Z +bit 72 C ? . +bit 71 I ? IO_171 +bit 70 O ? IO_171 69 0 Z +bit 69 C ? . +bit 68 I ? IO_149 +bit 67 O ? IO_149 66 0 Z +bit 66 C ? . +bit 65 I ? IO_150 +bit 64 O ? IO_150 63 0 Z +bit 63 C ? . +bit 62 I ? IO_151 +bit 61 O ? IO_151 60 0 Z +bit 60 C ? . +bit 59 I ? IO_152 +bit 58 O ? IO_152 57 0 Z +bit 57 C ? . +bit 56 X ? . +bit 55 X ? . +bit 54 X ? . +bit 53 I ? IO_154 +bit 52 O ? IO_154 51 0 Z +bit 51 C ? . +bit 50 X ? . +bit 49 X ? . +bit 48 X ? . +bit 47 I ? IO_156 +bit 46 O ? IO_156 45 0 Z +bit 45 C ? . +bit 44 I ? IO_157 +bit 43 O ? IO_157 42 0 Z +bit 42 C ? . +bit 41 X ? . +bit 40 X ? . +bit 39 X ? . +bit 38 I ? IO_159 +bit 37 O ? IO_159 36 0 Z +bit 36 C ? . +bit 35 X ? . +bit 34 X ? . +bit 33 X ? . +bit 32 X ? . +bit 31 X ? . +bit 30 X ? . +bit 29 X ? . +bit 28 X ? . +bit 27 X ? . +bit 26 X ? . +bit 25 X ? . +bit 24 X ? . +bit 23 I ? IO_176 +bit 22 O ? IO_176 21 0 Z +bit 21 C ? . +bit 20 I ? IO_177 +bit 19 O ? IO_177 18 0 Z +bit 18 C ? . +bit 17 I ? IO_178 +bit 16 O ? IO_178 15 0 Z +bit 15 C ? . +bit 14 I ? IO_179 +bit 13 O ? IO_179 12 0 Z +bit 12 C ? . +bit 11 I ? IO_180 +bit 10 O ? IO_180 9 0 Z +bit 9 C ? . +bit 8 X ? . +bit 7 X ? . +bit 6 X ? . +bit 5 I ? IO_182 +bit 4 O ? IO_182 3 0 Z +bit 3 C ? . +bit 2 I ? IO_183 +bit 1 O ? IO_183 0 0 Z +bit 0 C ? . diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-vq100/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-vq100/STEPPINGS new file mode 100644 index 0000000..2017c39 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-vq100/STEPPINGS @@ -0,0 +1,26 @@ +# +# $Id: STEPPINGS,v 1.1 2003/02/14 11:14:56 telka Exp $ +# +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Kolja Waschk, ixo.de, 2007 +# + +# bits 31-28 of the Device Identification Register +0000 xc2c256-vq100 0 +0001 xc2c256-vq100 1 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-vq100/xc2c256-vq100 b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-vq100/xc2c256-vq100 new file mode 100644 index 0000000..86a1f49 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2c256-vq100/xc2c256-vq100 @@ -0,0 +1,727 @@ +# +# $Id: xc2c256-tq144,v 1.2 2003/08/13 09:24:36 telka Exp $ +# +# JTAG declarations for XC2C256-VQ100 +# +# Based on the declarations for XC2C256-TQ144, +# Written by Alessandro Zummo , 2003. +# Copyright (C) 2003 Tower Technologies s.r.l., +# and bsdl2jtag output with Xilinx file [2] as input. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Documentation: +# [1] Xilinx Inc., "CoolRunner-II CPLD Family Advance Product Specification", +# DS090 (v1.3) September 24, 2002 +# [2] Xilinx Inc., "BSDL file for device XC2C256, package VQ144 +# Revision: 1.11", 2006-10-17 +# [3] Xilinx Inc., "XC2C256 CoolRunner-II CPLD Advance Product Specification", +# DS094 (v1.2) November 20, 2002 + +# mandatory data registers +register BSR 552 # see [2] +register BR 1 + +# optional data registers +register DIR 32 + +# user-defined registers +register ISPSR 274 # ISP (In-System Programming) Shift Register, see [2] + +# instructions - see [2] +instruction length 8 + +# mandatory instructions - see [2] +instruction EXTEST 00000000 BSR +instruction SAMPLE/PRELOAD 00000011 BSR +instruction BYPASS 11111111 BR +instruction INTEST 00000010 BSR +instruction IDCODE 00000001 DIR +instruction USERCODE 11111101 DIR +instruction HIGHZ 11111100 BR +instruction CLAMP 11111010 BR + +# user-defined instructions - see [2] +instruction ISC_ENABLE_CLAMP 11101001 BR +instruction ISC_ENABLEOTF 11100100 ISPSR +instruction ISC_ENABLE 11101000 ISPSR +instruction ISC_SRAM_READ 11100111 ISPSR +instruction ISC_SRAM_WRITE 11100110 ISPSR +instruction ISC_ERASE 11101101 ISPSR +instruction ISC_PROGRAM 11101010 ISPSR +instruction ISC_READ 11101110 ISPSR +instruction ISC_INIT 11110000 ISPSR +instruction ISC_DISABLE 11000000 ISPSR +instruction TEST_ENABLE 00010001 ISPSR +instruction BULKPROG 00010010 ISPSR +instruction ERASE_ALL 00010100 ISPSR +instruction MVERIFY 00010011 ISPSR +instruction TEST_DISABLE 00010101 ISPSR +instruction ISC_NOOP 11100000 BR + +# signals - derived from [2] using bsdl2jtag +signal tdi +signal tck +signal tms +signal tdo +signal IO_2 +signal IO_5 +signal IO_6 +signal IO_7 +signal IO_8 +signal IO_11 +signal IO_13 +signal IO_15 +signal IO_17 +signal IO_19 +signal IO_20 +signal IO_26 +signal IO_28 +signal IO_30 +signal IO_32 +signal IO_33 +signal IO_34 +signal IO_35 +signal IO_37 +signal IO_38 +signal IO_40 +signal IO_47 +signal IO_49 +signal IO_56 +signal IO_58 +signal IO_61 +signal IO_63 +signal IO_65 +signal IO_70 +signal IO_71 +signal IO_72 +signal IO_73 +signal IO_74 +signal IO_75 +signal IO_83 +signal IO_84 +signal IO_85 +signal IO_86 +signal IO_87 +signal IO_88 +signal IO_90 +signal IO_91 +signal IO_93 +signal IO_95 +signal IO_97 +signal IO_102 +signal IO_103 +signal IO_104 +signal IO_105 +signal IO_106 +signal IO_107 +signal IO_109 +signal IO_120 +signal IO_121 +signal IO_122 +signal IO_123 +signal IO_132 +signal IO_134 +signal IO_135 +signal IO_136 +signal IO_139 +signal IO_141 +signal IO_143 +signal IO_145 +signal IO_149 +signal IO_151 +signal IO_153 +signal IO_157 +signal IO_158 +signal IO_166 +signal IO_167 +signal IO_168 +signal IO_169 +signal IO_170 +signal IO_171 +signal IO_176 +signal IO_177 +signal IO_178 +signal IO_179 +signal IO_180 +signal vdd1 +signal vdd2 +signal vdd3 +signal vdd4 +signal vdd5 +signal vdd6 +signal vdd7 +signal gnd1 +signal gnd2 +signal gnd3 +signal gnd4 +signal gnd5 +signal gnd6 +signal gnd7 + +# bits - derived from [2] using bsdl2jtag +bit 551 O 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 I 1 IO_2 +bit 544 O 1 IO_2 543 0 Z +bit 543 C 0 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 I 1 IO_5 +bit 535 O 1 IO_5 534 0 Z +bit 534 C 0 * +bit 533 I 1 IO_6 +bit 532 O 1 IO_6 531 0 Z +bit 531 C 0 * +bit 530 I 1 IO_7 +bit 529 O 1 IO_7 528 0 Z +bit 528 C 0 * +bit 527 I 1 IO_8 +bit 526 O 1 IO_8 525 0 Z +bit 525 C 0 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 O 1 * +bit 507 O 1 * +bit 506 I 1 IO_26 +bit 505 O 1 IO_26 504 0 Z +bit 504 C 0 * +bit 503 O 1 * +bit 502 O 1 * +bit 501 O 1 * +bit 500 I 1 IO_28 +bit 499 O 1 IO_28 498 0 Z +bit 498 C 0 * +bit 497 O 1 * +bit 496 O 1 * +bit 495 O 1 * +bit 494 I 1 IO_30 +bit 493 O 1 IO_30 492 0 Z +bit 492 C 0 * +bit 491 O 1 * +bit 490 O 1 * +bit 489 O 1 * +bit 488 I 1 IO_32 +bit 487 O 1 IO_32 486 0 Z +bit 486 C 0 * +bit 485 I 1 IO_11 +bit 484 O 1 IO_11 483 0 Z +bit 483 C 0 * +bit 482 O 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 I 1 IO_13 +bit 478 O 1 IO_13 477 0 Z +bit 477 C 0 * +bit 476 O 1 * +bit 475 O 1 * +bit 474 O 1 * +bit 473 I 1 IO_15 +bit 472 O 1 IO_15 471 0 Z +bit 471 C 0 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 I 1 IO_17 +bit 466 O 1 IO_17 465 0 Z +bit 465 C 0 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 I 1 IO_19 +bit 460 O 1 IO_19 459 0 Z +bit 459 C 0 * +bit 458 I 1 IO_20 +bit 457 O 1 IO_20 456 0 Z +bit 456 C 0 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 I 1 IO_33 +bit 451 O 1 IO_33 450 0 Z +bit 450 C 0 * +bit 449 I 1 IO_34 +bit 448 O 1 IO_34 447 0 Z +bit 447 C 0 * +bit 446 I 1 IO_35 +bit 445 O 1 IO_35 444 0 Z +bit 444 C 0 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 I 1 IO_37 +bit 439 O 1 IO_37 438 0 Z +bit 438 C 0 * +bit 437 I 1 IO_38 +bit 436 O 1 IO_38 435 0 Z +bit 435 C 0 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 I 1 IO_40 +bit 430 O 1 IO_40 429 0 Z +bit 429 C 0 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 I 1 IO_47 +bit 409 O 1 IO_47 408 0 Z +bit 408 C 0 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 I 1 IO_49 +bit 403 O 1 IO_49 402 0 Z +bit 402 C 0 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 I 1 IO_70 +bit 373 O 1 IO_70 372 0 Z +bit 372 C 0 * +bit 371 I 1 IO_71 +bit 370 O 1 IO_71 369 0 Z +bit 369 C 0 * +bit 368 I 1 IO_72 +bit 367 O 1 IO_72 366 0 Z +bit 366 C 0 * +bit 365 I 1 IO_73 +bit 364 O 1 IO_73 363 0 Z +bit 363 C 0 * +bit 362 I 1 IO_74 +bit 361 O 1 IO_74 360 0 Z +bit 360 C 0 * +bit 359 I 1 IO_75 +bit 358 O 1 IO_75 357 0 Z +bit 357 C 0 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 I 1 IO_56 +bit 346 O 1 IO_56 345 0 Z +bit 345 C 0 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 I 1 IO_58 +bit 340 O 1 IO_58 339 0 Z +bit 339 C 0 * +bit 338 O 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 I 1 IO_61 +bit 331 O 1 IO_61 330 0 Z +bit 330 C 0 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 I 1 IO_63 +bit 325 O 1 IO_63 324 0 Z +bit 324 C 0 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 I 1 IO_65 +bit 319 O 1 IO_65 318 0 Z +bit 318 C 0 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 O 1 * +bit 309 O 1 * +bit 308 O 1 * +bit 307 O 1 * +bit 306 O 1 * +bit 305 O 1 * +bit 304 O 1 * +bit 303 O 1 * +bit 302 I 1 IO_83 +bit 301 O 1 IO_83 300 0 Z +bit 300 C 0 * +bit 299 I 1 IO_84 +bit 298 O 1 IO_84 297 0 Z +bit 297 C 0 * +bit 296 I 1 IO_85 +bit 295 O 1 IO_85 294 0 Z +bit 294 C 0 * +bit 293 I 1 IO_86 +bit 292 O 1 IO_86 291 0 Z +bit 291 C 0 * +bit 290 I 1 IO_87 +bit 289 O 1 IO_87 288 0 Z +bit 288 C 0 * +bit 287 I 1 IO_88 +bit 286 O 1 IO_88 285 0 Z +bit 285 C 0 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 I 1 IO_90 +bit 280 O 1 IO_90 279 0 Z +bit 279 C 0 * +bit 278 I 1 IO_91 +bit 277 O 1 IO_91 276 0 Z +bit 276 C 0 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 I 1 IO_93 +bit 271 O 1 IO_93 270 0 Z +bit 270 C 0 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 I 1 IO_95 +bit 265 O 1 IO_95 264 0 Z +bit 264 C 0 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 I 1 IO_97 +bit 259 O 1 IO_97 258 0 Z +bit 258 C 0 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 O 1 * +bit 250 O 1 * +bit 249 O 1 * +bit 248 O 1 * +bit 247 O 1 * +bit 246 O 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 O 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 O 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 O 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 O 1 * +bit 228 O 1 * +bit 227 I 1 IO_120 +bit 226 O 1 IO_120 225 0 Z +bit 225 C 0 * +bit 224 I 1 IO_121 +bit 223 O 1 IO_121 222 0 Z +bit 222 C 0 * +bit 221 I 1 IO_122 +bit 220 O 1 IO_122 219 0 Z +bit 219 C 0 * +bit 218 I 1 IO_123 +bit 217 O 1 IO_123 216 0 Z +bit 216 C 0 * +bit 215 O 1 * +bit 214 O 1 * +bit 213 O 1 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 I 1 IO_102 +bit 208 O 1 IO_102 207 0 Z +bit 207 C 0 * +bit 206 I 1 IO_103 +bit 205 O 1 IO_103 204 0 Z +bit 204 C 0 * +bit 203 I 1 IO_104 +bit 202 O 1 IO_104 201 0 Z +bit 201 C 0 * +bit 200 I 1 IO_105 +bit 199 O 1 IO_105 198 0 Z +bit 198 C 0 * +bit 197 I 1 IO_106 +bit 196 O 1 IO_106 195 0 Z +bit 195 C 0 * +bit 194 I 1 IO_107 +bit 193 O 1 IO_107 192 0 Z +bit 192 C 0 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 I 1 IO_109 +bit 187 O 1 IO_109 186 0 Z +bit 186 C 0 * +bit 185 O 1 * +bit 184 O 1 * +bit 183 O 1 * +bit 182 O 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 I 1 IO_132 +bit 154 O 1 IO_132 153 0 Z +bit 153 C 0 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 I 1 IO_134 +bit 148 O 1 IO_134 147 0 Z +bit 147 C 0 * +bit 146 I 1 IO_135 +bit 145 O 1 IO_135 144 0 Z +bit 144 C 0 * +bit 143 I 1 IO_136 +bit 142 O 1 IO_136 141 0 Z +bit 141 C 0 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 I 1 IO_139 +bit 133 O 1 IO_139 132 0 Z +bit 132 C 0 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 I 1 IO_141 +bit 127 O 1 IO_141 126 0 Z +bit 126 C 0 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 I 1 IO_143 +bit 121 O 1 IO_143 120 0 Z +bit 120 C 0 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 I 1 IO_145 +bit 115 O 1 IO_145 114 0 Z +bit 114 C 0 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_166 +bit 85 O 1 IO_166 84 0 Z +bit 84 C 0 * +bit 83 I 1 IO_167 +bit 82 O 1 IO_167 81 0 Z +bit 81 C 0 * +bit 80 I 1 IO_168 +bit 79 O 1 IO_168 78 0 Z +bit 78 C 0 * +bit 77 I 1 IO_169 +bit 76 O 1 IO_169 75 0 Z +bit 75 C 0 * +bit 74 I 1 IO_170 +bit 73 O 1 IO_170 72 0 Z +bit 72 C 0 * +bit 71 I 1 IO_171 +bit 70 O 1 IO_171 69 0 Z +bit 69 C 0 * +bit 68 I 1 IO_149 +bit 67 O 1 IO_149 66 0 Z +bit 66 C 0 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 I 1 IO_151 +bit 61 O 1 IO_151 60 0 Z +bit 60 C 0 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_153 +bit 55 O 1 IO_153 54 0 Z +bit 54 C 0 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 I 1 IO_157 +bit 43 O 1 IO_157 42 0 Z +bit 42 C 0 * +bit 41 I 1 IO_158 +bit 40 O 1 IO_158 39 0 Z +bit 39 C 0 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 I 1 IO_176 +bit 22 O 1 IO_176 21 0 Z +bit 21 C 0 * +bit 20 I 1 IO_177 +bit 19 O 1 IO_177 18 0 Z +bit 18 C 0 * +bit 17 I 1 IO_178 +bit 16 O 1 IO_178 15 0 Z +bit 15 C 0 * +bit 14 I 1 IO_179 +bit 13 O 1 IO_179 12 0 Z +bit 12 C 0 * +bit 11 I 1 IO_180 +bit 10 O 1 IO_180 9 0 Z +bit 9 C 0 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 O 1 * +bit 4 O 1 * +bit 3 O 1 * +bit 2 O 1 * +bit 1 O 1 * +bit 0 O 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2c64a-vq44/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2c64a-vq44/STEPPINGS new file mode 100644 index 0000000..1e22e78 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2c64a-vq44/STEPPINGS @@ -0,0 +1,21 @@ +# +# $Id: STEPPINGS,v 1.1 2003/02/14 11:14:56 telka Exp $ +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# + +# bits 31-28 of the Device Identification Register +0000 xc2c64a-vq44 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2c64a-vq44/xc2c64a-vq44 b/sie_fs/usr/local/share/urjtag/xilinx/xc2c64a-vq44/xc2c64a-vq44 new file mode 100644 index 0000000..7b1cab3 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2c64a-vq44/xc2c64a-vq44 @@ -0,0 +1,246 @@ +signal tdi +signal tck +signal tms +signal tdo +signal IO_0 +signal IO_1 +signal IO_2 +signal IO_8 +signal IO_9 +signal IO_10 +signal IO_11 +signal IO_12 +signal IO_16 +signal IO_17 +signal IO_20 +signal IO_21 +signal IO_22 +signal IO_23 +signal IO_25 +signal IO_27 +signal IO_28 +signal IO_32 +signal IO_33 +signal IO_34 +signal IO_37 +signal IO_41 +signal IO_42 +signal IO_43 +signal IO_45 +signal IO_46 +signal IO_48 +signal IO_49 +signal IO_54 +signal IO_58 +signal IO_60 +signal IO_61 +signal vdd1 +signal vdd2 +signal vdd3 +signal gnd1 +signal gnd2 +signal gnd3 + +register BSR 192 +register BR 1 +register DIR 32 + +instruction length 8 + +instruction BYPASS 11111111 BR +instruction SAMPLE/PRELOAD 00000011 BSR +instruction EXTEST 00000000 BSR +instruction IDCODE 00000001 DIR + +bit 191 I 1 IO_0 +bit 190 O 1 IO_0 189 0 Z +bit 189 C 0 * +bit 188 I 1 IO_1 +bit 187 O 1 IO_1 186 0 Z +bit 186 C 0 * +bit 185 I 1 IO_2 +bit 184 O 1 IO_2 183 0 Z +bit 183 C 0 * +bit 182 O 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 I 1 IO_8 +bit 166 O 1 IO_8 165 0 Z +bit 165 C 0 * +bit 164 I 1 IO_9 +bit 163 O 1 IO_9 162 0 Z +bit 162 C 0 * +bit 161 I 1 IO_10 +bit 160 O 1 IO_10 159 0 Z +bit 159 C 0 * +bit 158 I 1 IO_11 +bit 157 O 1 IO_11 156 0 Z +bit 156 C 0 * +bit 155 I 1 IO_12 +bit 154 O 1 IO_12 153 0 Z +bit 153 C 0 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 I 1 IO_32 +bit 142 O 1 IO_32 141 0 Z +bit 141 C 0 * +bit 140 I 1 IO_33 +bit 139 O 1 IO_33 138 0 Z +bit 138 C 0 * +bit 137 I 1 IO_34 +bit 136 O 1 IO_34 135 0 Z +bit 135 C 0 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 I 1 IO_37 +bit 127 O 1 IO_37 126 0 Z +bit 126 C 0 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 I 1 IO_41 +bit 115 O 1 IO_41 114 0 Z +bit 114 C 0 * +bit 113 I 1 IO_42 +bit 112 O 1 IO_42 111 0 Z +bit 111 C 0 * +bit 110 I 1 IO_43 +bit 109 O 1 IO_43 108 0 Z +bit 108 C 0 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 I 1 IO_45 +bit 103 O 1 IO_45 102 0 Z +bit 102 C 0 * +bit 101 I 1 IO_46 +bit 100 O 1 IO_46 99 0 Z +bit 99 C 0 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 I 1 IO_16 +bit 94 O 1 IO_16 93 0 Z +bit 93 C 0 * +bit 92 I 1 IO_17 +bit 91 O 1 IO_17 90 0 Z +bit 90 C 0 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 O 1 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 I 1 IO_20 +bit 82 O 1 IO_20 81 0 Z +bit 81 C 0 * +bit 80 I 1 IO_21 +bit 79 O 1 IO_21 78 0 Z +bit 78 C 0 * +bit 77 I 1 IO_22 +bit 76 O 1 IO_22 75 0 Z +bit 75 C 0 * +bit 74 I 1 IO_23 +bit 73 O 1 IO_23 72 0 Z +bit 72 C 0 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 I 1 IO_25 +bit 67 O 1 IO_25 66 0 Z +bit 66 C 0 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 I 1 IO_27 +bit 61 O 1 IO_27 60 0 Z +bit 60 C 0 * +bit 59 I 1 IO_28 +bit 58 O 1 IO_28 57 0 Z +bit 57 C 0 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 I 1 IO_48 +bit 46 O 1 IO_48 45 0 Z +bit 45 C 0 * +bit 44 I 1 IO_49 +bit 43 O 1 IO_49 42 0 Z +bit 42 C 0 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 I 1 IO_54 +bit 28 O 1 IO_54 27 0 Z +bit 27 C 0 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 I 1 IO_58 +bit 16 O 1 IO_58 15 0 Z +bit 15 C 0 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_60 +bit 10 O 1 IO_60 9 0 Z +bit 9 C 0 * +bit 8 I 1 IO_61 +bit 7 O 1 IO_61 6 0 Z +bit 6 C 0 * +bit 5 I 1 IO_62 +bit 4 O 1 IO_62 3 0 Z +bit 3 C 0 * +bit 2 O 1 * +bit 1 O 1 * +bit 0 O 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2s200e-pq208/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2s200e-pq208/STEPPINGS new file mode 100644 index 0000000..ba45df0 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2s200e-pq208/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS,v 1.1 2003/02/14 11:14:56 telka Exp $ +# +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Alessandro Zummo , 2003. +# DJF:w + +# bits 31-28 of the Device Identification Register +0010 xc2s200e-pq208 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2s200e-pq208/xc2s200e-pq208 b/sie_fs/usr/local/share/urjtag/xilinx/xc2s200e-pq208/xc2s200e-pq208 new file mode 100644 index 0000000..82e4368 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2s200e-pq208/xc2s200e-pq208 @@ -0,0 +1,1241 @@ +signal CCLK_P155 +signal DONE_P104 +signal GCK0_P80 +signal GCK1_P77 +signal GCK2_P182 +signal GCK3_P185 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal INIT_P107 +signal M0_P52 +signal M1_P50 +signal M2_P54 +signal PROGRAM +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO1 +signal VCCO2 +signal VCCO3 +signal VCCO4 +signal VCCO5 +signal VCCO6 +signal VCCO7 +signal VCCO8 +signal VCCO9 +signal VCCO10 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P15 +signal IO_P16 +signal IO_P17 +signal IO_P18 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P24 +signal IO_P27 +signal IO_P29 +signal IO_P30 +signal IO_P31 +signal IO_P33 +signal IO_P34 +signal IO_P35 +signal IO_P36 +signal IO_P40 +signal IO_P41 +signal IO_P42 +signal IO_P43 +signal IO_P44 +signal IO_P45 +signal IO_P46 +signal IO_P47 +signal IO_P48 +signal IO_P49 +signal IO_P55 +signal IO_P56 +signal IO_P57 +signal IO_P58 +signal IO_P59 +signal IO_P60 +signal IO_P61 +signal IO_P62 +signal IO_P63 +signal IO_P64 +signal IO_P68 +signal IO_P69 +signal IO_P70 +signal IO_P71 +signal IO_P73 +signal IO_P74 +signal IO_P75 +signal IO_P81 +signal IO_P82 +signal IO_P83 +signal IO_P84 +signal IO_P86 +signal IO_P87 +signal IO_P88 +signal IO_P89 +signal IO_P93 +signal IO_P94 +signal IO_P95 +signal IO_P96 +signal IO_P97 +signal IO_P98 +signal IO_P99 +signal IO_P100 +signal IO_P101 +signal IO_P102 +signal IO_P108 +signal IO_P109 +signal IO_P110 +signal IO_P111 +signal IO_P112 +signal IO_P113 +signal IO_P114 +signal IO_P115 +signal IO_P116 +signal IO_P120 +signal IO_P121 +signal IO_P122 +signal IO_P123 +signal IO_P125 +signal IO_P126 +signal IO_P127 +signal IO_P129 +signal IO_P132 +signal IO_P133 +signal IO_P134 +signal IO_P135 +signal IO_P136 +signal IO_P138 +signal IO_P139 +signal IO_P140 +signal IO_P141 +signal IO_P145 +signal IO_P146 +signal IO_P147 +signal IO_P148 +signal IO_P149 +signal IO_P150 +signal IO_P151 +signal IO_P152 +signal IO_P153 +signal IO_P154 +signal IO_P160 +signal IO_P161 +signal IO_P162 +signal IO_P163 +signal IO_P164 +signal IO_P165 +signal IO_P166 +signal IO_P167 +signal IO_P168 +signal IO_P169 +signal IO_P173 +signal IO_P174 +signal IO_P175 +signal IO_P176 +signal IO_P178 +signal IO_P179 +signal IO_P180 +signal IO_P181 +signal IO_P187 +signal IO_P188 +signal IO_P189 +signal IO_P191 +signal IO_P192 +signal IO_P193 +signal IO_P194 +signal IO_P198 +signal IO_P199 +signal IO_P200 +signal IO_P201 +signal IO_P202 +signal IO_P203 +signal IO_P204 +signal IO_P205 + +register BSR 1022 +register BR 1 +register DIR 32 + +instruction length 5 + +instruction SAMPLE/PRELOAD 00001 BSR +instruction IDCODE 01001 DIR +instruction EXTEST 00000 BSR +instruction BYPASS 11111 BR + +bit 1021 I 1 CCLK_P155 +bit 1020 O 1 CCLK_P155 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_P154 +bit 1017 O 1 IO_P154 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_P153 +bit 1014 O 1 IO_P153 1013 1 Z +bit 1013 C 1 * +bit 1012 O 1 * +bit 1011 O 1 * +bit 1010 O 1 * +bit 1009 O 1 * +bit 1008 O 1 * +bit 1007 O 1 * +bit 1006 O 1 * +bit 1005 O 1 * +bit 1004 O 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 I 1 IO_P152 +bit 999 O 1 IO_P152 998 1 Z +bit 998 C 1 * +bit 997 O 1 * +bit 996 O 1 * +bit 995 O 1 * +bit 994 O 1 * +bit 993 O 1 * +bit 992 O 1 * +bit 991 O 1 * +bit 990 O 1 * +bit 989 O 1 * +bit 988 O 1 * +bit 987 O 1 * +bit 986 O 1 * +bit 985 I 1 IO_P151 +bit 984 O 1 IO_P151 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_P150 +bit 981 O 1 IO_P150 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_P149 +bit 978 O 1 IO_P149 977 1 Z +bit 977 C 1 * +bit 976 O 1 * +bit 975 O 1 * +bit 974 O 1 * +bit 973 O 1 * +bit 972 O 1 * +bit 971 O 1 * +bit 970 O 1 * +bit 969 O 1 * +bit 968 O 1 * +bit 967 I 1 IO_P148 +bit 966 O 1 IO_P148 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_P147 +bit 963 O 1 IO_P147 962 1 Z +bit 962 C 1 * +bit 961 O 1 * +bit 960 O 1 * +bit 959 O 1 * +bit 958 I 1 IO_P146 +bit 957 O 1 IO_P146 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_P145 +bit 954 O 1 IO_P145 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_P141 +bit 951 O 1 IO_P141 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_P140 +bit 948 O 1 IO_P140 947 1 Z +bit 947 C 1 * +bit 946 O 1 * +bit 945 O 1 * +bit 944 O 1 * +bit 943 O 1 * +bit 942 O 1 * +bit 941 O 1 * +bit 940 O 1 * +bit 939 O 1 * +bit 938 O 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 O 1 * +bit 930 O 1 * +bit 929 O 1 * +bit 928 I 1 IO_P139 +bit 927 O 1 IO_P139 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_P138 +bit 924 O 1 IO_P138 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_P136 +bit 921 O 1 IO_P136 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_P135 +bit 918 O 1 IO_P135 917 1 Z +bit 917 C 1 * +bit 916 O 1 * +bit 915 O 1 * +bit 914 O 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 O 1 * +bit 909 O 1 * +bit 908 O 1 * +bit 907 I 1 IO_P134 +bit 906 O 1 IO_P134 905 1 Z +bit 905 C 1 * +bit 904 O 1 * +bit 903 O 1 * +bit 902 O 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 I 1 IO_P133 +bit 897 O 1 IO_P133 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_P132 +bit 894 O 1 IO_P132 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_P129 +bit 891 O 1 IO_P129 890 1 Z +bit 890 C 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 I 1 IO_P127 +bit 879 O 1 IO_P127 878 1 Z +bit 878 C 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 I 1 IO_P126 +bit 867 O 1 IO_P126 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_P125 +bit 864 O 1 IO_P125 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_P123 +bit 861 O 1 IO_P123 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_P122 +bit 858 O 1 IO_P122 857 1 Z +bit 857 C 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 O 1 * +bit 849 O 1 * +bit 848 O 1 * +bit 847 O 1 * +bit 846 O 1 * +bit 845 O 1 * +bit 844 O 1 * +bit 843 O 1 * +bit 842 O 1 * +bit 841 O 1 * +bit 840 O 1 * +bit 839 O 1 * +bit 838 I 1 IO_P121 +bit 837 O 1 IO_P121 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_P120 +bit 834 O 1 IO_P120 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_P116 +bit 831 O 1 IO_P116 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_P115 +bit 828 O 1 IO_P115 827 1 Z +bit 827 C 1 * +bit 826 O 1 * +bit 825 O 1 * +bit 824 O 1 * +bit 823 I 1 IO_P114 +bit 822 O 1 IO_P114 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_P113 +bit 819 O 1 IO_P113 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 O 1 * +bit 810 O 1 * +bit 809 O 1 * +bit 808 I 1 IO_P112 +bit 807 O 1 IO_P112 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_P111 +bit 804 O 1 IO_P111 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_P110 +bit 801 O 1 IO_P110 800 1 Z +bit 800 C 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 O 1 * +bit 792 O 1 * +bit 791 O 1 * +bit 790 O 1 * +bit 789 O 1 * +bit 788 O 1 * +bit 787 I 1 IO_P109 +bit 786 O 1 IO_P109 785 1 Z +bit 785 C 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 O 1 * +bit 780 O 1 * +bit 779 O 1 * +bit 778 O 1 * +bit 777 O 1 * +bit 776 O 1 * +bit 775 O 1 * +bit 774 O 1 * +bit 773 O 1 * +bit 772 I 1 IO_P108 +bit 771 O 1 IO_P108 770 1 Z +bit 770 C 1 * +bit 769 I 1 INIT_P107 +bit 768 O 1 INIT_P107 767 1 Z +bit 767 C 1 * +bit 766 O 1 * +bit 765 I 1 DONE_P104 +bit 764 O 1 DONE_P104 763 1 Z +bit 763 C 1 * +bit 762 I 1 IO_P102 +bit 761 O 1 IO_P102 760 1 Z +bit 760 C 1 * +bit 759 I 1 IO_P101 +bit 758 O 1 IO_P101 757 1 Z +bit 757 C 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 I 1 IO_P100 +bit 743 O 1 IO_P100 742 1 Z +bit 742 C 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 I 1 IO_P99 +bit 728 O 1 IO_P99 727 1 Z +bit 727 C 1 * +bit 726 I 1 IO_P98 +bit 725 O 1 IO_P98 724 1 Z +bit 724 C 1 * +bit 723 I 1 IO_P97 +bit 722 O 1 IO_P97 721 1 Z +bit 721 C 1 * +bit 720 O 1 * +bit 719 O 1 * +bit 718 O 1 * +bit 717 O 1 * +bit 716 O 1 * +bit 715 O 1 * +bit 714 O 1 * +bit 713 O 1 * +bit 712 O 1 * +bit 711 I 1 IO_P96 +bit 710 O 1 IO_P96 709 1 Z +bit 709 C 1 * +bit 708 I 1 IO_P95 +bit 707 O 1 IO_P95 706 1 Z +bit 706 C 1 * +bit 705 O 1 * +bit 704 O 1 * +bit 703 O 1 * +bit 702 I 1 IO_P94 +bit 701 O 1 IO_P94 700 1 Z +bit 700 C 1 * +bit 699 I 1 IO_P93 +bit 698 O 1 IO_P93 697 1 Z +bit 697 C 1 * +bit 696 I 1 IO_P89 +bit 695 O 1 IO_P89 694 1 Z +bit 694 C 1 * +bit 693 I 1 IO_P88 +bit 692 O 1 IO_P88 691 1 Z +bit 691 C 1 * +bit 690 O 1 * +bit 689 O 1 * +bit 688 O 1 * +bit 687 O 1 * +bit 686 O 1 * +bit 685 O 1 * +bit 684 O 1 * +bit 683 O 1 * +bit 682 O 1 * +bit 681 O 1 * +bit 680 O 1 * +bit 679 O 1 * +bit 678 O 1 * +bit 677 O 1 * +bit 676 O 1 * +bit 675 O 1 * +bit 674 O 1 * +bit 673 O 1 * +bit 672 I 1 IO_P87 +bit 671 O 1 IO_P87 670 1 Z +bit 670 C 1 * +bit 669 I 1 IO_P86 +bit 668 O 1 IO_P86 667 1 Z +bit 667 C 1 * +bit 666 I 1 IO_P84 +bit 665 O 1 IO_P84 664 1 Z +bit 664 C 1 * +bit 663 I 1 IO_P83 +bit 662 O 1 IO_P83 661 1 Z +bit 661 C 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 O 1 * +bit 654 O 1 * +bit 653 O 1 * +bit 652 O 1 * +bit 651 I 1 IO_P82 +bit 650 O 1 IO_P82 649 1 Z +bit 649 C 1 * +bit 648 O 1 * +bit 647 O 1 * +bit 646 O 1 * +bit 645 O 1 * +bit 644 O 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 I 1 IO_P81 +bit 638 O 1 IO_P81 637 1 Z +bit 637 C 1 * +bit 636 I 1 GCK0_P80 +bit 635 I 1 GCK1_P77 +bit 634 I 1 IO_P75 +bit 633 O 1 IO_P75 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 O 1 * +bit 624 O 1 * +bit 623 O 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 O 1 * +bit 619 O 1 * +bit 618 O 1 * +bit 617 O 1 * +bit 616 O 1 * +bit 615 O 1 * +bit 614 O 1 * +bit 613 O 1 * +bit 612 O 1 * +bit 611 O 1 * +bit 610 I 1 IO_P74 +bit 609 O 1 IO_P74 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_P73 +bit 606 O 1 IO_P73 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_P71 +bit 603 O 1 IO_P71 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_P70 +bit 600 O 1 IO_P70 599 1 Z +bit 599 C 1 * +bit 598 O 1 * +bit 597 O 1 * +bit 596 O 1 * +bit 595 O 1 * +bit 594 O 1 * +bit 593 O 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 I 1 IO_P69 +bit 579 O 1 IO_P69 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_P68 +bit 576 O 1 IO_P68 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_P64 +bit 573 O 1 IO_P64 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_P63 +bit 570 O 1 IO_P63 569 1 Z +bit 569 C 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 I 1 IO_P62 +bit 564 O 1 IO_P62 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_P61 +bit 561 O 1 IO_P61 560 1 Z +bit 560 C 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 O 1 * +bit 556 O 1 * +bit 555 O 1 * +bit 554 O 1 * +bit 553 O 1 * +bit 552 O 1 * +bit 551 O 1 * +bit 550 I 1 IO_P60 +bit 549 O 1 IO_P60 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_P59 +bit 546 O 1 IO_P59 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_P58 +bit 543 O 1 IO_P58 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 O 1 * +bit 531 O 1 * +bit 530 O 1 * +bit 529 I 1 IO_P57 +bit 528 O 1 IO_P57 527 1 Z +bit 527 C 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 I 1 IO_P56 +bit 513 O 1 IO_P56 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_P55 +bit 510 O 1 IO_P55 509 1 Z +bit 509 C 1 * +bit 508 I 1 M2_P54 +bit 507 I 1 M0_P52 +bit 506 I 1 M1_P50 +bit 505 I 1 IO_P49 +bit 504 O 1 IO_P49 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_P48 +bit 501 O 1 IO_P48 500 1 Z +bit 500 C 1 * +bit 499 O 1 * +bit 498 O 1 * +bit 497 O 1 * +bit 496 O 1 * +bit 495 O 1 * +bit 494 O 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 O 1 * +bit 490 O 1 * +bit 489 O 1 * +bit 488 O 1 * +bit 487 I 1 IO_P47 +bit 486 O 1 IO_P47 485 1 Z +bit 485 C 1 * +bit 484 O 1 * +bit 483 O 1 * +bit 482 O 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 O 1 * +bit 478 O 1 * +bit 477 O 1 * +bit 476 O 1 * +bit 475 O 1 * +bit 474 O 1 * +bit 473 O 1 * +bit 472 I 1 IO_P46 +bit 471 O 1 IO_P46 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_P45 +bit 468 O 1 IO_P45 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_P44 +bit 465 O 1 IO_P44 464 1 Z +bit 464 C 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 I 1 IO_P43 +bit 453 O 1 IO_P43 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_P42 +bit 450 O 1 IO_P42 449 1 Z +bit 449 C 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 I 1 IO_P41 +bit 444 O 1 IO_P41 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_P40 +bit 441 O 1 IO_P40 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_P36 +bit 438 O 1 IO_P36 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_P35 +bit 435 O 1 IO_P35 434 1 Z +bit 434 C 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 I 1 IO_P34 +bit 414 O 1 IO_P34 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_P33 +bit 411 O 1 IO_P33 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_P31 +bit 408 O 1 IO_P31 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_P30 +bit 405 O 1 IO_P30 404 1 Z +bit 404 C 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 IO_P29 +bit 393 O 1 IO_P29 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 I 1 IO_P27 +bit 381 O 1 IO_P27 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P24 +bit 378 O 1 IO_P24 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_P23 +bit 375 O 1 IO_P23 374 1 Z +bit 374 C 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 O 1 * +bit 367 I 1 IO_P22 +bit 366 O 1 IO_P22 365 1 Z +bit 365 C 1 * +bit 364 O 1 * +bit 363 O 1 * +bit 362 O 1 * +bit 361 O 1 * +bit 360 O 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 I 1 IO_P21 +bit 354 O 1 IO_P21 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_P20 +bit 351 O 1 IO_P20 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_P18 +bit 348 O 1 IO_P18 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_P17 +bit 345 O 1 IO_P17 344 1 Z +bit 344 C 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 I 1 IO_P16 +bit 324 O 1 IO_P16 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_P15 +bit 321 O 1 IO_P15 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_P11 +bit 318 O 1 IO_P11 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_P10 +bit 315 O 1 IO_P10 314 1 Z +bit 314 C 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 I 1 IO_P9 +bit 309 O 1 IO_P9 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_P8 +bit 306 O 1 IO_P8 305 1 Z +bit 305 C 1 * +bit 304 O 1 * +bit 303 O 1 * +bit 302 O 1 * +bit 301 O 1 * +bit 300 O 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 I 1 IO_P7 +bit 294 O 1 IO_P7 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_P6 +bit 291 O 1 IO_P6 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_P5 +bit 288 O 1 IO_P5 287 1 Z +bit 287 C 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 I 1 IO_P4 +bit 273 O 1 IO_P4 272 1 Z +bit 272 C 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 O 1 * +bit 259 I 1 IO_P3 +bit 258 O 1 IO_P3 257 1 Z +bit 257 C 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 O 1 * +bit 250 I 1 IO_P206 +bit 249 O 1 IO_P206 248 1 Z +bit 248 C 1 * +bit 247 O 1 * +bit 246 O 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 O 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 O 1 * +bit 235 I 1 IO_P205 +bit 234 O 1 IO_P205 233 1 Z +bit 233 C 1 * +bit 232 O 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 O 1 * +bit 228 O 1 * +bit 227 O 1 * +bit 226 O 1 * +bit 225 O 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 I 1 IO_P204 +bit 219 O 1 IO_P204 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_P203 +bit 216 O 1 IO_P203 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_P202 +bit 213 O 1 IO_P202 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 I 1 IO_P201 +bit 201 O 1 IO_P201 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_P200 +bit 198 O 1 IO_P200 197 1 Z +bit 197 C 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 O 1 * +bit 193 I 1 IO_P199 +bit 192 O 1 IO_P199 191 1 Z +bit 191 C 1 * +bit 190 I 1 IO_P198 +bit 189 O 1 IO_P198 188 1 Z +bit 188 C 1 * +bit 187 I 1 IO_P194 +bit 186 O 1 IO_P194 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_P193 +bit 183 O 1 IO_P193 182 1 Z +bit 182 C 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 I 1 IO_P192 +bit 162 O 1 IO_P192 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_P191 +bit 159 O 1 IO_P191 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_P189 +bit 156 O 1 IO_P189 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_P188 +bit 153 O 1 IO_P188 152 1 Z +bit 152 C 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 I 1 IO_P187 +bit 129 O 1 IO_P187 128 1 Z +bit 128 C 1 * +bit 127 I 1 GCK3_P185 +bit 126 I 1 GCK2_P182 +bit 125 I 1 IO_P181 +bit 124 O 1 IO_P181 123 1 Z +bit 123 C 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 I 1 IO_P180 +bit 112 O 1 IO_P180 111 1 Z +bit 111 C 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 I 1 IO_P179 +bit 100 O 1 IO_P179 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_P178 +bit 97 O 1 IO_P178 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_P176 +bit 94 O 1 IO_P176 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_P175 +bit 91 O 1 IO_P175 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 O 1 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 O 1 * +bit 82 O 1 * +bit 81 O 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_P174 +bit 70 O 1 IO_P174 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P173 +bit 67 O 1 IO_P173 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P169 +bit 64 O 1 IO_P169 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_P168 +bit 61 O 1 IO_P168 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_P167 +bit 55 O 1 IO_P167 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_P166 +bit 52 O 1 IO_P166 51 1 Z +bit 51 C 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_P165 +bit 40 O 1 IO_P165 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_P164 +bit 37 O 1 IO_P164 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_P163 +bit 34 O 1 IO_P163 33 1 Z +bit 33 C 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 I 1 IO_P162 +bit 19 O 1 IO_P162 18 1 Z +bit 18 C 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_P161 +bit 4 O 1 IO_P161 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P160 +bit 1 O 1 IO_P160 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/STEPPINGS new file mode 100644 index 0000000..5b22279 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id$ +# +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger , 2005. +# + +# bits 31-28 of the Device Identification Register +1001 xc2s300e 9 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/fg456 b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/fg456 new file mode 100644 index 0000000..73d11e3 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/fg456 @@ -0,0 +1,357 @@ +# +# $Id$ +# +# JTAG package script for XC2S300E-FG456 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias CCLK_B22 CCLK_PAD437 +salias DONE_W20 DONE_PAD313 +salias GCK0_AA12 GCK0_PAD254 +salias GCK1_AB12 GCK1_PAD250 +salias GCK2_A11 GCK2_PAD500 +salias GCK3_C11 GCK3_PAD2 +salias INIT_W21 INIT_PAD317 +salias IO_A10 IO_PAD9 +salias IO_A12 IO_PAD499 +salias IO_A13 IO_PAD490 +salias IO_A14 IO_PAD481 +salias IO_A15 IO_PAD475 +salias IO_A16 IO_PAD466 +salias IO_A17 IO_PAD456 +salias IO_A18 IO_PAD452 +salias IO_A19 IO_PAD449 +salias IO_A20 IO_PAD445 +salias IO_A3 IO_PAD54 +salias IO_A4 IO_PAD53 +salias IO_A5 IO_PAD47 +salias IO_A6 IO_PAD42 +salias IO_A7 IO_PAD35 +salias IO_A8 IO_PAD27 +salias IO_A9 IO_PAD19 +salias IO_AA10 IO_PAD231 +salias IO_AA11 IO_PAD246 +salias IO_AA13 IO_PAD261 +salias IO_AA14 IO_PAD271 +salias IO_AA15 IO_PAD278 +salias IO_AA16 IO_PAD288 +salias IO_AA17 IO_PAD293 +salias IO_AA18 IO_PAD300 +salias IO_AA19 IO_PAD305 +salias IO_AA20 IO_PAD310 +salias IO_AA3 IO_PAD193 +salias IO_AA5 IO_PAD196 +salias IO_AA6 IO_PAD203 +salias IO_AA7 IO_PAD209 +salias IO_AA8 IO_PAD215 +salias IO_AA9 IO_PAD224 +salias IO_AB10 IO_PAD225 +salias IO_AB11 IO_PAD247 +salias IO_AB13 IO_PAD260 +salias IO_AB14 IO_PAD270 +salias IO_AB15 IO_PAD272 +salias IO_AB16 IO_PAD286 +salias IO_AB17 IO_PAD287 +salias IO_AB18 IO_PAD297 +salias IO_AB19 IO_PAD304 +salias IO_AB20 IO_PAD309 +salias IO_AB21 IO_PAD307 +salias IO_AB3 IO_PAD194 +salias IO_AB4 IO_PAD195 +salias IO_AB5 IO_PAD200 +salias IO_AB6 IO_PAD201 +salias IO_AB7 IO_PAD208 +salias IO_AB8 IO_PAD214 +salias IO_AB9 IO_PAD223 +salias IO_B10 IO_PAD10 +salias IO_B11 IO_PAD5 +salias IO_B12 IO_PAD494 +salias IO_B13 IO_PAD489 +salias IO_B14 IO_PAD480 +salias IO_B15 IO_PAD474 +salias IO_B16 IO_PAD465 +salias IO_B17 IO_PAD455 +salias IO_B18 IO_PAD451 +salias IO_B19 IO_PAD448 +salias IO_B20 IO_PAD444 +salias IO_B3 IO_PAD55 +salias IO_B4 IO_PAD50 +salias IO_B5 IO_PAD48 +salias IO_B6 IO_PAD43 +salias IO_B7 IO_PAD36 +salias IO_B8 IO_PAD28 +salias IO_B9 IO_PAD20 +salias IO_C10 IO_PAD12 +salias IO_C12 IO_PAD493 +salias IO_C13 IO_PAD484 +salias IO_C14 IO_PAD478 +salias IO_C15 IO_PAD469 +salias IO_C16 IO_PAD463 +salias IO_C17 IO_PAD454 +salias IO_C18 IO_PAD447 +salias IO_C1 IO_PAD67 +salias IO_C21 IO_PAD436 +salias IO_C22 IO_PAD435 +salias IO_C2 IO_PAD66 +salias IO_C4 IO_PAD56 +salias IO_C5 IO_PAD51 +salias IO_C6 IO_PAD46 +salias IO_C7 IO_PAD37 +salias IO_C8 IO_PAD33 +salias IO_C9 IO_PAD22 +salias IO_D10 IO_PAD13 +salias IO_D11 IO_PAD6 +salias IO_D12 IO_PAD496 +salias IO_D13 IO_PAD483 +salias IO_D14 IO_PAD477 +salias IO_D15 IO_PAD468 +salias IO_D16 IO_PAD462 +salias IO_D17 IO_PAD453 +salias IO_D18 IO_PAD446 +salias IO_D1 IO_PAD69 +salias IO_D20 IO_PAD433 +salias IO_D21 IO_PAD428 +salias IO_D22 IO_PAD427 +salias IO_D2 IO_PAD68 +salias IO_D3 IO_PAD65 +salias IO_D5 IO_PAD58 +salias IO_D6 IO_PAD49 +salias IO_D7 IO_PAD39 +salias IO_D8 IO_PAD34 +salias IO_D9 IO_PAD24 +salias IO_E10 IO_PAD21 +salias IO_E11 IO_PAD11 +salias IO_E12 IO_PAD497 +salias IO_E13 IO_PAD482 +salias IO_E14 IO_PAD476 +salias IO_E15 IO_PAD461 +salias IO_E16 IO_PAD459 +salias IO_E17 IO_PAD460 +salias IO_E19 IO_PAD432 +salias IO_E1 IO_PAD73 +salias IO_E20 IO_PAD431 +salias IO_E21 IO_PAD422 +salias IO_E22 IO_PAD418 +salias IO_E2 IO_PAD71 +salias IO_E3 IO_PAD72 +salias IO_E7 IO_PAD41 +salias IO_E8 IO_PAD40 +salias IO_E9 IO_PAD26 +salias IO_F10 IO_PAD18 +salias IO_F11 IO_PAD7 +salias IO_F12 IO_PAD498 +salias IO_F13 IO_PAD491 +salias IO_F14 IO_PAD467 +salias IO_F18 IO_PAD426 +salias IO_F19 IO_PAD425 +salias IO_F1 IO_PAD81 +salias IO_F20 IO_PAD423 +salias IO_F21 IO_PAD419 +salias IO_F22 IO_PAD412 +salias IO_F2 IO_PAD80 +salias IO_F3 IO_PAD77 +salias IO_F4 IO_PAD76 +salias IO_F5 IO_PAD74 +salias IO_F9 IO_PAD25 +salias IO_G18 IO_PAD417 +salias IO_G19 IO_PAD416 +salias IO_G1 IO_PAD87 +salias IO_G20 IO_PAD415 +salias IO_G21 IO_PAD413 +salias IO_G22 IO_PAD411 +salias IO_G2 IO_PAD86 +salias IO_G3 IO_PAD84 +salias IO_G4 IO_PAD83 +salias IO_G5 IO_PAD82 +salias IO_H18 IO_PAD410 +salias IO_H19 IO_PAD409 +salias IO_H1 IO_PAD96 +salias IO_H20 IO_PAD404 +salias IO_H21 IO_PAD403 +salias IO_H22 IO_PAD400 +salias IO_H2 IO_PAD95 +salias IO_H3 IO_PAD89 +salias IO_H4 IO_PAD90 +salias IO_H5 IO_PAD88 +salias IO_J17 IO_PAD402 +salias IO_J18 IO_PAD401 +salias IO_J19 IO_PAD398 +salias IO_J1 IO_PAD103 +salias IO_J20 IO_PAD397 +salias IO_J21 IO_PAD396 +salias IO_J22 IO_PAD395 +salias IO_J2 IO_PAD102 +salias IO_J3 IO_PAD101 +salias IO_J4 IO_PAD98 +salias IO_J5 IO_PAD99 +salias IO_J6 IO_PAD97 +salias IO_K17 IO_PAD394 +salias IO_K18 IO_PAD389 +salias IO_K19 IO_PAD388 +salias IO_K1 IO_PAD114 +salias IO_K20 IO_PAD387 +salias IO_K21 IO_PAD386 +salias IO_K22 IO_PAD385 +salias IO_K2 IO_PAD112 +salias IO_K3 IO_PAD110 +salias IO_K4 IO_PAD111 +salias IO_K5 IO_PAD104 +salias IO_K6 IO_PAD105 +salias IO_L17 IO_PAD383 +salias IO_L18 IO_PAD382 +salias IO_L19 IO_PAD381 +salias IO_L1 IO_PAD115 +salias IO_L20 IO_PAD380 +salias IO_L21 IO_PAD379 +salias IO_L22 IO_PAD375 +salias IO_L2 IO_PAD118 +salias IO_L3 IO_PAD117 +salias IO_L4 IO_PAD119 +salias IO_L5 IO_PAD120 +salias IO_L6 IO_PAD121 +salias IO_M17 IO_PAD372 +salias IO_M18 IO_PAD370 +salias IO_M19 IO_PAD371 +salias IO_M1 IO_PAD125 +salias IO_M20 IO_PAD367 +salias IO_M21 IO_PAD368 +salias IO_M22 IO_PAD365 +salias IO_M2 IO_PAD128 +salias IO_M3 IO_PAD129 +salias IO_M4 IO_PAD130 +salias IO_M5 IO_PAD132 +salias IO_M6 IO_PAD133 +salias IO_N17 IO_PAD364 +salias IO_N18 IO_PAD363 +salias IO_N19 IO_PAD357 +salias IO_N1 IO_PAD134 +salias IO_N20 IO_PAD358 +salias IO_N21 IO_PAD355 +salias IO_N22 IO_PAD354 +salias IO_N2 IO_PAD135 +salias IO_N3 IO_PAD136 +salias IO_N4 IO_PAD141 +salias IO_N5 IO_PAD142 +salias IO_N6 IO_PAD143 +salias IO_P17 IO_PAD356 +salias IO_P18 IO_PAD351 +salias IO_P19 IO_PAD352 +salias IO_P1 IO_PAD144 +salias IO_P20 IO_PAD350 +salias IO_P21 IO_PAD349 +salias IO_P22 IO_PAD348 +salias IO_P2 IO_PAD145 +salias IO_P3 IO_PAD148 +salias IO_P4 IO_PAD149 +salias IO_P5 IO_PAD150 +salias IO_P6 IO_PAD151 +salias IO_R18 IO_PAD342 +salias IO_R19 IO_PAD343 +salias IO_R1 IO_PAD147 +salias IO_R20 IO_PAD341 +salias IO_R21 IO_PAD339 +salias IO_R22 IO_PAD340 +salias IO_R2 IO_PAD156 +salias IO_R3 IO_PAD157 +salias IO_R4 IO_PAD158 +salias IO_R5 IO_PAD159 +salias IO_T18 IO_PAD336 +salias IO_T19 IO_PAD337 +salias IO_T1 IO_PAD165 +salias IO_T20 IO_PAD335 +salias IO_T21 IO_PAD333 +salias IO_T22 IO_PAD334 +salias IO_T2 IO_PAD160 +salias IO_T3 IO_PAD162 +salias IO_T4 IO_PAD163 +salias IO_T5 IO_PAD164 +salias IO_U10 IO_PAD239 +salias IO_U11 IO_PAD240 +salias IO_U12 IO_PAD258 +salias IO_U13 IO_PAD269 +salias IO_U14 IO_PAD276 +salias IO_U18 IO_PAD329 +salias IO_U19 IO_PAD330 +salias IO_U1 IO_PAD166 +salias IO_U20 IO_PAD327 +salias IO_U21 IO_PAD326 +salias IO_U22 IO_PAD325 +salias IO_U2 IO_PAD169 +salias IO_U3 IO_PAD170 +salias IO_U4 IO_PAD172 +salias IO_U9 IO_PAD230 +salias IO_V10 IO_PAD238 +salias IO_V11 IO_PAD242 +salias IO_V12 IO_PAD257 +salias IO_V13 IO_PAD264 +salias IO_V14 IO_PAD277 +salias IO_V15 IO_PAD285 +salias IO_V16 IO_PAD292 +salias IO_V17 IO_PAD299 +salias IO_V19 IO_PAD321 +salias IO_V1 IO_PAD173 +salias IO_V20 IO_PAD322 +salias IO_V21 IO_PAD320 +salias IO_V22 IO_PAD324 +salias IO_V2 IO_PAD175 +salias IO_V3 IO_PAD178 +salias IO_V4 IO_PAD179 +salias IO_V6 IO_PAD204 +salias IO_V7 IO_PAD211 +salias IO_V8 IO_PAD217 +salias IO_V9 IO_PAD229 +salias IO_W10 IO_PAD232 +salias IO_W11 IO_PAD243 +salias IO_W12 IO_PAD256 +salias IO_W13 IO_PAD263 +salias IO_W14 IO_PAD275 +salias IO_W15 IO_PAD284 +salias IO_W16 IO_PAD291 +salias IO_W17 IO_PAD298 +salias IO_W18 IO_PAD302 +salias IO_W1 IO_PAD174 +salias IO_W22 IO_PAD319 +salias IO_W2 IO_PAD177 +salias IO_W3 IO_PAD183 +salias IO_W5 IO_PAD197 +salias IO_W6 IO_PAD205 +salias IO_W7 IO_PAD212 +salias IO_W8 IO_PAD218 +salias IO_W9 IO_PAD226 +salias IO_Y10 IO_PAD233 +salias IO_Y11 IO_PAD245 +salias IO_Y12 IO_PAD255 +salias IO_Y13 IO_PAD262 +salias IO_Y14 IO_PAD273 +salias IO_Y15 IO_PAD279 +salias IO_Y16 IO_PAD290 +salias IO_Y17 IO_PAD294 +salias IO_Y18 IO_PAD301 +salias IO_Y19 IO_PAD306 +salias IO_Y1 IO_PAD180 +salias IO_Y22 IO_PAD318 +salias IO_Y2 IO_PAD182 +salias IO_Y5 IO_PAD198 +salias IO_Y6 IO_PAD202 +salias IO_Y7 IO_PAD210 +salias IO_Y8 IO_PAD216 +salias IO_Y9 IO_PAD227 +salias M0_AA1 M0_PAD187 +salias M1_U5 M1_PAD184 +salias M2_AB2 M2_PAD190 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/ft256 b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/ft256 new file mode 100644 index 0000000..cdfaa9e --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/ft256 @@ -0,0 +1,210 @@ +# +# $Id$ +# +# JTAG package script for XC2S300E-FT256 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias CCLK_A15 CCLK_PAD437 +salias DONE_T15 DONE_PAD313 +salias GCK0_T9 GCK0_PAD254 +salias GCK1_T8 GCK1_PAD250 +salias GCK2_B8 GCK2_PAD500 +salias GCK3_C8 GCK3_PAD2 +salias INIT_P15 INIT_PAD317 +salias IO_A10 IO_PAD484 +salias IO_A11 IO_PAD469 +salias IO_A12 IO_PAD456 +salias IO_A13 IO_PAD445 +salias IO_A14 IO_PAD444 +salias IO_A3 IO_PAD51 +salias IO_A4 IO_PAD50 +salias IO_A5 IO_PAD33 +salias IO_A6 IO_PAD22 +salias IO_A7 IO_PAD9 +salias IO_A8 IO_PAD499 +salias IO_A9 IO_PAD496 +salias IO_B10 IO_PAD483 +salias IO_B11 IO_PAD468 +salias IO_B12 IO_PAD455 +salias IO_B13 IO_PAD451 +salias IO_B16 IO_PAD435 +salias IO_B3 IO_PAD58 +salias IO_B4 IO_PAD46 +salias IO_B5 IO_PAD34 +salias IO_B6 IO_PAD27 +salias IO_B7 IO_PAD19 +salias IO_B9 IO_PAD494 +salias IO_C10 IO_PAD480 +salias IO_C11 IO_PAD466 +salias IO_C12 IO_PAD452 +salias IO_C15 IO_PAD436 +salias IO_C16 IO_PAD419 +salias IO_C1 IO_PAD71 +salias IO_C2 IO_PAD69 +salias IO_C4 IO_PAD47 +salias IO_C5 IO_PAD43 +salias IO_C6 IO_PAD28 +salias IO_C7 IO_PAD18 +salias IO_C9 IO_PAD490 +salias IO_D10 IO_PAD475 +salias IO_D11 IO_PAD465 +salias IO_D12 IO_PAD459 +salias IO_D14 IO_PAD428 +salias IO_D15 IO_PAD418 +salias IO_D16 IO_PAD410 +salias IO_D1 IO_PAD77 +salias IO_D2 IO_PAD76 +salias IO_D3 IO_PAD65 +salias IO_D5 IO_PAD42 +salias IO_D6 IO_PAD36 +salias IO_D7 IO_PAD13 +salias IO_D8 IO_PAD5 +salias IO_D9 IO_PAD489 +salias IO_E10 IO_PAD474 +salias IO_E11 IO_PAD460 +salias IO_E13 IO_PAD427 +salias IO_E14 IO_PAD415 +salias IO_E15 IO_PAD409 +salias IO_E16 IO_PAD400 +salias IO_E1 IO_PAD86 +salias IO_E2 IO_PAD84 +salias IO_E3 IO_PAD80 +salias IO_E4 IO_PAD81 +salias IO_E6 IO_PAD37 +salias IO_E7 IO_PAD12 +salias IO_F12 IO_PAD423 +salias IO_F13 IO_PAD413 +salias IO_F14 IO_PAD404 +salias IO_F15 IO_PAD398 +salias IO_F16 IO_PAD389 +salias IO_F1 IO_PAD96 +salias IO_F2 IO_PAD95 +salias IO_F3 IO_PAD90 +salias IO_F4 IO_PAD89 +salias IO_F5 IO_PAD99 +salias IO_G12 IO_PAD422 +salias IO_G13 IO_PAD403 +salias IO_G14 IO_PAD395 +salias IO_G15 IO_PAD388 +salias IO_G16 IO_PAD380 +salias IO_G1 IO_PAD111 +salias IO_G2 IO_PAD110 +salias IO_G3 IO_PAD104 +salias IO_G4 IO_PAD105 +salias IO_G5 IO_PAD101 +salias IO_H13 IO_PAD394 +salias IO_H14 IO_PAD383 +salias IO_H15 IO_PAD385 +salias IO_H16 IO_PAD379 +salias IO_H1 IO_PAD121 +salias IO_H2 IO_PAD120 +salias IO_H3 IO_PAD117 +salias IO_H4 IO_PAD115 +salias IO_J13 IO_PAD375 +salias IO_J14 IO_PAD364 +salias IO_J15 IO_PAD368 +salias IO_J16 IO_PAD370 +salias IO_J1 IO_PAD135 +salias IO_J2 IO_PAD130 +salias IO_J3 IO_PAD132 +salias IO_J4 IO_PAD125 +salias IO_K12 IO_PAD358 +salias IO_K13 IO_PAD363 +salias IO_K14 IO_PAD349 +salias IO_K15 IO_PAD352 +salias IO_K16 IO_PAD354 +salias IO_K1 IO_PAD136 +salias IO_K2 IO_PAD141 +salias IO_K3 IO_PAD142 +salias IO_K4 IO_PAD150 +salias IO_K5 IO_PAD151 +salias IO_L12 IO_PAD357 +salias IO_L13 IO_PAD348 +salias IO_L14 IO_PAD340 +salias IO_L15 IO_PAD342 +salias IO_L16 IO_PAD343 +salias IO_L1 IO_PAD145 +salias IO_L2 IO_PAD147 +salias IO_L3 IO_PAD156 +salias IO_L4 IO_PAD165 +salias IO_L5 IO_PAD166 +salias IO_M10 IO_PAD279 +salias IO_M11 IO_PAD294 +salias IO_M13 IO_PAD337 +salias IO_M14 IO_PAD330 +salias IO_M15 IO_PAD333 +salias IO_M16 IO_PAD334 +salias IO_M1 IO_PAD160 +salias IO_M2 IO_PAD157 +salias IO_M3 IO_PAD169 +salias IO_M4 IO_PAD170 +salias IO_M6 IO_PAD223 +salias IO_M7 IO_PAD238 +salias IO_N10 IO_PAD278 +salias IO_N11 IO_PAD293 +salias IO_N12 IO_PAD298 +salias IO_N14 IO_PAD329 +salias IO_N15 IO_PAD322 +salias IO_N16 IO_PAD324 +salias IO_N1 IO_PAD162 +salias IO_N2 IO_PAD174 +salias IO_N3 IO_PAD175 +salias IO_N5 IO_PAD204 +salias IO_N6 IO_PAD214 +salias IO_N7 IO_PAD224 +salias IO_N8 IO_PAD239 +salias IO_N9 IO_PAD260 +salias IO_P10 IO_PAD269 +salias IO_P11 IO_PAD284 +salias IO_P12 IO_PAD297 +salias IO_P13 IO_PAD304 +salias IO_P16 IO_PAD318 +salias IO_P1 IO_PAD182 +salias IO_P2 IO_PAD183 +salias IO_P4 IO_PAD193 +salias IO_P5 IO_PAD205 +salias IO_P6 IO_PAD215 +salias IO_P7 IO_PAD229 +salias IO_P8 IO_PAD243 +salias IO_P9 IO_PAD258 +salias IO_R10 IO_PAD264 +salias IO_R11 IO_PAD270 +salias IO_R12 IO_PAD285 +salias IO_R13 IO_PAD302 +salias IO_R14 IO_PAD310 +salias IO_R4 IO_PAD194 +salias IO_R5 IO_PAD208 +salias IO_R6 IO_PAD217 +salias IO_R7 IO_PAD232 +salias IO_R8 IO_PAD247 +salias IO_R9 IO_PAD255 +salias IO_T10 IO_PAD263 +salias IO_T11 IO_PAD273 +salias IO_T12 IO_PAD287 +salias IO_T13 IO_PAD288 +salias IO_T14 IO_PAD309 +salias IO_T3 IO_PAD200 +salias IO_T4 IO_PAD201 +salias IO_T5 IO_PAD209 +salias IO_T6 IO_PAD218 +salias IO_T7 IO_PAD233 +salias M0_T2 M0_PAD187 +salias M1_R1 M1_PAD184 +salias M2_R3 M2_PAD190 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/pq208 b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/pq208 new file mode 100644 index 0000000..324b0f4 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/pq208 @@ -0,0 +1,174 @@ +# +# $Id$ +# +# JTAG package script for XC2S300E-PQ240 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias CCLK_P155 CCLK_PAD437 +salias DONE_P104 DONE_PAD313 +salias GCK0_P80 GCK0_PAD254 +salias GCK1_P77 GCK1_PAD250 +salias GCK2_P182 GCK2_PAD500 +salias GCK3_P185 GCK3_PAD2 +salias INIT_P107 INIT_PAD317 +salias IO_P100 IO_PAD302 +salias IO_P101 IO_PAD309 +salias IO_P102 IO_PAD310 +salias IO_P108 IO_PAD318 +salias IO_P109 IO_PAD324 +salias IO_P10 IO_PAD89 +salias IO_P110 IO_PAD330 +salias IO_P111 IO_PAD333 +salias IO_P112 IO_PAD334 +salias IO_P113 IO_PAD339 +salias IO_P114 IO_PAD341 +salias IO_P115 IO_PAD342 +salias IO_P116 IO_PAD343 +salias IO_P11 IO_PAD90 +salias IO_P120 IO_PAD348 +salias IO_P121 IO_PAD349 +salias IO_P122 IO_PAD354 +salias IO_P123 IO_PAD355 +salias IO_P125 IO_PAD363 +salias IO_P126 IO_PAD364 +salias IO_P127 IO_PAD370 +salias IO_P129 IO_PAD375 +salias IO_P132 IO_PAD379 +salias IO_P133 IO_PAD380 +salias IO_P134 IO_PAD383 +salias IO_P135 IO_PAD388 +salias IO_P136 IO_PAD389 +salias IO_P138 IO_PAD394 +salias IO_P139 IO_PAD395 +salias IO_P140 IO_PAD403 +salias IO_P141 IO_PAD404 +salias IO_P145 IO_PAD409 +salias IO_P146 IO_PAD410 +salias IO_P147 IO_PAD411 +salias IO_P148 IO_PAD413 +salias IO_P149 IO_PAD418 +salias IO_P150 IO_PAD419 +salias IO_P151 IO_PAD422 +salias IO_P152 IO_PAD428 +salias IO_P153 IO_PAD435 +salias IO_P154 IO_PAD436 +salias IO_P15 IO_PAD95 +salias IO_P160 IO_PAD444 +salias IO_P161 IO_PAD445 +salias IO_P162 IO_PAD451 +salias IO_P163 IO_PAD456 +salias IO_P164 IO_PAD459 +salias IO_P165 IO_PAD460 +salias IO_P166 IO_PAD465 +salias IO_P167 IO_PAD467 +salias IO_P168 IO_PAD468 +salias IO_P169 IO_PAD469 +salias IO_P16 IO_PAD96 +salias IO_P173 IO_PAD474 +salias IO_P174 IO_PAD475 +salias IO_P175 IO_PAD483 +salias IO_P176 IO_PAD484 +salias IO_P178 IO_PAD489 +salias IO_P179 IO_PAD490 +salias IO_P17 IO_PAD104 +salias IO_P180 IO_PAD496 +salias IO_P181 IO_PAD499 +salias IO_P187 IO_PAD5 +salias IO_P188 IO_PAD12 +salias IO_P189 IO_PAD13 +salias IO_P18 IO_PAD105 +salias IO_P191 IO_PAD18 +salias IO_P192 IO_PAD19 +salias IO_P193 IO_PAD27 +salias IO_P194 IO_PAD28 +salias IO_P198 IO_PAD33 +salias IO_P199 IO_PAD34 +salias IO_P200 IO_PAD35 +salias IO_P201 IO_PAD37 +salias IO_P202 IO_PAD42 +salias IO_P203 IO_PAD43 +salias IO_P204 IO_PAD46 +salias IO_P205 IO_PAD51 +salias IO_P206 IO_PAD58 +salias IO_P20 IO_PAD110 +salias IO_P21 IO_PAD111 +salias IO_P22 IO_PAD117 +salias IO_P23 IO_PAD120 +salias IO_P24 IO_PAD121 +salias IO_P27 IO_PAD125 +salias IO_P29 IO_PAD130 +salias IO_P30 IO_PAD135 +salias IO_P31 IO_PAD136 +salias IO_P33 IO_PAD144 +salias IO_P34 IO_PAD145 +salias IO_P35 IO_PAD150 +salias IO_P36 IO_PAD151 +salias IO_P3 IO_PAD65 +salias IO_P40 IO_PAD156 +salias IO_P41 IO_PAD157 +salias IO_P42 IO_PAD158 +salias IO_P43 IO_PAD160 +salias IO_P44 IO_PAD165 +salias IO_P45 IO_PAD166 +salias IO_P46 IO_PAD169 +salias IO_P47 IO_PAD175 +salias IO_P48 IO_PAD182 +salias IO_P49 IO_PAD183 +salias IO_P4 IO_PAD71 +salias IO_P55 IO_PAD193 +salias IO_P56 IO_PAD194 +salias IO_P57 IO_PAD200 +salias IO_P58 IO_PAD205 +salias IO_P59 IO_PAD208 +salias IO_P5 IO_PAD77 +salias IO_P60 IO_PAD209 +salias IO_P61 IO_PAD214 +salias IO_P62 IO_PAD215 +salias IO_P63 IO_PAD217 +salias IO_P64 IO_PAD218 +salias IO_P68 IO_PAD223 +salias IO_P69 IO_PAD224 +salias IO_P6 IO_PAD80 +salias IO_P70 IO_PAD232 +salias IO_P71 IO_PAD233 +salias IO_P73 IO_PAD238 +salias IO_P74 IO_PAD239 +salias IO_P75 IO_PAD247 +salias IO_P7 IO_PAD81 +salias IO_P81 IO_PAD255 +salias IO_P82 IO_PAD258 +salias IO_P83 IO_PAD263 +salias IO_P84 IO_PAD264 +salias IO_P86 IO_PAD269 +salias IO_P87 IO_PAD270 +salias IO_P88 IO_PAD278 +salias IO_P89 IO_PAD279 +salias IO_P8 IO_PAD86 +salias IO_P93 IO_PAD284 +salias IO_P94 IO_PAD285 +salias IO_P95 IO_PAD286 +salias IO_P96 IO_PAD288 +salias IO_P97 IO_PAD293 +salias IO_P98 IO_PAD294 +salias IO_P99 IO_PAD297 +salias IO_P9 IO_PAD88 +salias M0_P52 M0_PAD187 +salias M1_P50 M1_PAD184 +salias M2_P54 M2_PAD190 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/xc2s300e b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/xc2s300e new file mode 100644 index 0000000..5080187 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2s300e/xc2s300e @@ -0,0 +1,1544 @@ +# +# $Id$ +# +# JTAG declarations for XC2S300E +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# +# Note: +# The signals defined in this file are generic for any XC2S300E. +# Signalnames according to Altera's package tables are set in the +# package specific scripts. +# + + +signal CCLK_PAD437 +signal DONE_PAD313 +signal DXN_PAD192 +signal DXP_PAD191 +signal GCK0_PAD254 +signal GCK1_PAD250 +signal GCK2_PAD500 +signal GCK3_PAD2 +signal INIT_PAD317 +signal M0_PAD187 +signal M1_PAD184 +signal M2_PAD190 +signal PROGRAM +signal IO_PAD5 +signal IO_PAD6 +signal IO_PAD7 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD11 +signal IO_PAD12 +signal IO_PAD13 +signal IO_PAD18 +signal IO_PAD19 +signal IO_PAD20 +signal IO_PAD21 +signal IO_PAD22 +signal IO_PAD24 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD27 +signal IO_PAD28 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD36 +signal IO_PAD37 +signal IO_PAD39 +signal IO_PAD40 +signal IO_PAD41 +signal IO_PAD42 +signal IO_PAD43 +signal IO_PAD46 +signal IO_PAD47 +signal IO_PAD48 +signal IO_PAD49 +signal IO_PAD50 +signal IO_PAD51 +signal IO_PAD53 +signal IO_PAD54 +signal IO_PAD55 +signal IO_PAD56 +signal IO_PAD58 +signal IO_PAD65 +signal IO_PAD66 +signal IO_PAD67 +signal IO_PAD68 +signal IO_PAD69 +signal IO_PAD71 +signal IO_PAD72 +signal IO_PAD73 +signal IO_PAD74 +signal IO_PAD76 +signal IO_PAD77 +signal IO_PAD80 +signal IO_PAD81 +signal IO_PAD82 +signal IO_PAD83 +signal IO_PAD84 +signal IO_PAD86 +signal IO_PAD87 +signal IO_PAD88 +signal IO_PAD89 +signal IO_PAD90 +signal IO_PAD95 +signal IO_PAD96 +signal IO_PAD97 +signal IO_PAD98 +signal IO_PAD99 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD103 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD112 +signal IO_PAD114 +signal IO_PAD115 +signal IO_PAD117 +signal IO_PAD118 +signal IO_PAD119 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD125 +signal IO_PAD128 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD132 +signal IO_PAD133 +signal IO_PAD134 +signal IO_PAD135 +signal IO_PAD136 +signal IO_PAD141 +signal IO_PAD142 +signal IO_PAD143 +signal IO_PAD144 +signal IO_PAD145 +signal IO_PAD147 +signal IO_PAD148 +signal IO_PAD149 +signal IO_PAD150 +signal IO_PAD151 +signal IO_PAD156 +signal IO_PAD157 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD160 +signal IO_PAD162 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD165 +signal IO_PAD166 +signal IO_PAD169 +signal IO_PAD170 +signal IO_PAD172 +signal IO_PAD173 +signal IO_PAD174 +signal IO_PAD175 +signal IO_PAD177 +signal IO_PAD178 +signal IO_PAD179 +signal IO_PAD180 +signal IO_PAD182 +signal IO_PAD183 +signal IO_PAD193 +signal IO_PAD194 +signal IO_PAD195 +signal IO_PAD196 +signal IO_PAD197 +signal IO_PAD198 +signal IO_PAD200 +signal IO_PAD201 +signal IO_PAD202 +signal IO_PAD203 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD212 +signal IO_PAD214 +signal IO_PAD215 +signal IO_PAD216 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD223 +signal IO_PAD224 +signal IO_PAD225 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD229 +signal IO_PAD230 +signal IO_PAD231 +signal IO_PAD232 +signal IO_PAD233 +signal IO_PAD238 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD242 +signal IO_PAD243 +signal IO_PAD245 +signal IO_PAD246 +signal IO_PAD247 +signal IO_PAD255 +signal IO_PAD256 +signal IO_PAD257 +signal IO_PAD258 +signal IO_PAD260 +signal IO_PAD261 +signal IO_PAD262 +signal IO_PAD263 +signal IO_PAD264 +signal IO_PAD269 +signal IO_PAD270 +signal IO_PAD271 +signal IO_PAD272 +signal IO_PAD273 +signal IO_PAD275 +signal IO_PAD276 +signal IO_PAD277 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD284 +signal IO_PAD285 +signal IO_PAD286 +signal IO_PAD287 +signal IO_PAD288 +signal IO_PAD290 +signal IO_PAD291 +signal IO_PAD292 +signal IO_PAD293 +signal IO_PAD294 +signal IO_PAD297 +signal IO_PAD298 +signal IO_PAD299 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD302 +signal IO_PAD304 +signal IO_PAD305 +signal IO_PAD306 +signal IO_PAD307 +signal IO_PAD309 +signal IO_PAD310 +signal IO_PAD318 +signal IO_PAD319 +signal IO_PAD320 +signal IO_PAD321 +signal IO_PAD322 +signal IO_PAD324 +signal IO_PAD325 +signal IO_PAD326 +signal IO_PAD327 +signal IO_PAD329 +signal IO_PAD330 +signal IO_PAD333 +signal IO_PAD334 +signal IO_PAD335 +signal IO_PAD336 +signal IO_PAD337 +signal IO_PAD339 +signal IO_PAD340 +signal IO_PAD341 +signal IO_PAD342 +signal IO_PAD343 +signal IO_PAD348 +signal IO_PAD349 +signal IO_PAD350 +signal IO_PAD351 +signal IO_PAD352 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD356 +signal IO_PAD357 +signal IO_PAD358 +signal IO_PAD363 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD367 +signal IO_PAD368 +signal IO_PAD370 +signal IO_PAD371 +signal IO_PAD372 +signal IO_PAD375 +signal IO_PAD379 +signal IO_PAD380 +signal IO_PAD381 +signal IO_PAD382 +signal IO_PAD383 +signal IO_PAD385 +signal IO_PAD386 +signal IO_PAD387 +signal IO_PAD388 +signal IO_PAD389 +signal IO_PAD394 +signal IO_PAD395 +signal IO_PAD396 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD400 +signal IO_PAD401 +signal IO_PAD402 +signal IO_PAD403 +signal IO_PAD404 +signal IO_PAD409 +signal IO_PAD410 +signal IO_PAD411 +signal IO_PAD412 +signal IO_PAD413 +signal IO_PAD415 +signal IO_PAD416 +signal IO_PAD417 +signal IO_PAD418 +signal IO_PAD419 +signal IO_PAD422 +signal IO_PAD423 +signal IO_PAD425 +signal IO_PAD426 +signal IO_PAD427 +signal IO_PAD428 +signal IO_PAD430 +signal IO_PAD431 +signal IO_PAD432 +signal IO_PAD433 +signal IO_PAD435 +signal IO_PAD436 +signal IO_PAD444 +signal IO_PAD445 +signal IO_PAD446 +signal IO_PAD447 +signal IO_PAD448 +signal IO_PAD449 +signal IO_PAD451 +signal IO_PAD452 +signal IO_PAD453 +signal IO_PAD454 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD459 +signal IO_PAD460 +signal IO_PAD461 +signal IO_PAD462 +signal IO_PAD463 +signal IO_PAD465 +signal IO_PAD466 +signal IO_PAD467 +signal IO_PAD468 +signal IO_PAD469 +signal IO_PAD474 +signal IO_PAD475 +signal IO_PAD476 +signal IO_PAD477 +signal IO_PAD478 +signal IO_PAD480 +signal IO_PAD481 +signal IO_PAD482 +signal IO_PAD483 +signal IO_PAD484 +signal IO_PAD489 +signal IO_PAD490 +signal IO_PAD491 +signal IO_PAD493 +signal IO_PAD494 +signal IO_PAD496 +signal IO_PAD497 +signal IO_PAD498 + +register BSR 1166 +register BR 1 +register DIR 32 + +instruction length 5 + +instruction SAMPLE/PRELOAD 00001 BSR +instruction IDCODE 01001 DIR +instruction EXTEST 00000 BSR +instruction BYPASS 11111 BR + +bit 1165 I 1 CCLK_PAD437 +bit 1164 O 1 CCLK_PAD437 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD436 +bit 1161 O 1 IO_PAD436 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD435 +bit 1158 O 1 IO_PAD435 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD433 +bit 1155 O 1 IO_PAD433 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD432 +bit 1152 O 1 IO_PAD432 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD431 +bit 1149 O 1 IO_PAD431 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD430 +bit 1146 O 1 IO_PAD430 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD428 +bit 1143 O 1 IO_PAD428 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD427 +bit 1140 O 1 IO_PAD427 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD426 +bit 1137 O 1 IO_PAD426 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD425 +bit 1134 O 1 IO_PAD425 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD423 +bit 1131 O 1 IO_PAD423 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD422 +bit 1128 O 1 IO_PAD422 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD419 +bit 1125 O 1 IO_PAD419 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD418 +bit 1122 O 1 IO_PAD418 1121 1 Z +bit 1121 C 1 * +bit 1120 O 1 * +bit 1119 O 1 * +bit 1118 O 1 * +bit 1117 I 1 IO_PAD417 +bit 1116 O 1 IO_PAD417 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD416 +bit 1113 O 1 IO_PAD416 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD415 +bit 1110 O 1 IO_PAD415 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD413 +bit 1107 O 1 IO_PAD413 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD412 +bit 1104 O 1 IO_PAD412 1103 1 Z +bit 1103 C 1 * +bit 1102 O 1 * +bit 1101 O 1 * +bit 1100 O 1 * +bit 1099 I 1 IO_PAD411 +bit 1098 O 1 IO_PAD411 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_PAD410 +bit 1095 O 1 IO_PAD410 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_PAD409 +bit 1092 O 1 IO_PAD409 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD404 +bit 1089 O 1 IO_PAD404 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD403 +bit 1086 O 1 IO_PAD403 1085 1 Z +bit 1085 C 1 * +bit 1084 O 1 * +bit 1083 O 1 * +bit 1082 O 1 * +bit 1081 I 1 IO_PAD402 +bit 1080 O 1 IO_PAD402 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_PAD401 +bit 1077 O 1 IO_PAD401 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_PAD400 +bit 1074 O 1 IO_PAD400 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_PAD398 +bit 1071 O 1 IO_PAD398 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD397 +bit 1068 O 1 IO_PAD397 1067 1 Z +bit 1067 C 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 I 1 IO_PAD396 +bit 1062 O 1 IO_PAD396 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD395 +bit 1059 O 1 IO_PAD395 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD394 +bit 1056 O 1 IO_PAD394 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD389 +bit 1053 O 1 IO_PAD389 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD388 +bit 1050 O 1 IO_PAD388 1049 1 Z +bit 1049 C 1 * +bit 1048 O 1 * +bit 1047 O 1 * +bit 1046 O 1 * +bit 1045 I 1 IO_PAD387 +bit 1044 O 1 IO_PAD387 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD386 +bit 1041 O 1 IO_PAD386 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD385 +bit 1038 O 1 IO_PAD385 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD383 +bit 1035 O 1 IO_PAD383 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD382 +bit 1032 O 1 IO_PAD382 1031 1 Z +bit 1031 C 1 * +bit 1030 O 1 * +bit 1029 O 1 * +bit 1028 O 1 * +bit 1027 I 1 IO_PAD381 +bit 1026 O 1 IO_PAD381 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_PAD380 +bit 1023 O 1 IO_PAD380 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_PAD379 +bit 1020 O 1 IO_PAD379 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD375 +bit 1017 O 1 IO_PAD375 1016 1 Z +bit 1016 C 1 * +bit 1015 O 1 * +bit 1014 O 1 * +bit 1013 O 1 * +bit 1012 I 1 IO_PAD372 +bit 1011 O 1 IO_PAD372 1010 1 Z +bit 1010 C 1 * +bit 1009 O 1 * +bit 1008 O 1 * +bit 1007 O 1 * +bit 1006 I 1 IO_PAD371 +bit 1005 O 1 IO_PAD371 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_PAD370 +bit 1002 O 1 IO_PAD370 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_PAD368 +bit 999 O 1 IO_PAD368 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_PAD367 +bit 996 O 1 IO_PAD367 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD365 +bit 993 O 1 IO_PAD365 992 1 Z +bit 992 C 1 * +bit 991 O 1 * +bit 990 O 1 * +bit 989 O 1 * +bit 988 I 1 IO_PAD364 +bit 987 O 1 IO_PAD364 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD363 +bit 984 O 1 IO_PAD363 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD358 +bit 981 O 1 IO_PAD358 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD357 +bit 978 O 1 IO_PAD357 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD356 +bit 975 O 1 IO_PAD356 974 1 Z +bit 974 C 1 * +bit 973 O 1 * +bit 972 O 1 * +bit 971 O 1 * +bit 970 I 1 IO_PAD355 +bit 969 O 1 IO_PAD355 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD354 +bit 966 O 1 IO_PAD354 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_PAD352 +bit 963 O 1 IO_PAD352 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_PAD351 +bit 960 O 1 IO_PAD351 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD350 +bit 957 O 1 IO_PAD350 956 1 Z +bit 956 C 1 * +bit 955 O 1 * +bit 954 O 1 * +bit 953 O 1 * +bit 952 I 1 IO_PAD349 +bit 951 O 1 IO_PAD349 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD348 +bit 948 O 1 IO_PAD348 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_PAD343 +bit 945 O 1 IO_PAD343 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_PAD342 +bit 942 O 1 IO_PAD342 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_PAD341 +bit 939 O 1 IO_PAD341 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 I 1 IO_PAD340 +bit 933 O 1 IO_PAD340 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_PAD339 +bit 930 O 1 IO_PAD339 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD337 +bit 927 O 1 IO_PAD337 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD336 +bit 924 O 1 IO_PAD336 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD335 +bit 921 O 1 IO_PAD335 920 1 Z +bit 920 C 1 * +bit 919 O 1 * +bit 918 O 1 * +bit 917 O 1 * +bit 916 I 1 IO_PAD334 +bit 915 O 1 IO_PAD334 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD333 +bit 912 O 1 IO_PAD333 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD330 +bit 909 O 1 IO_PAD330 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD329 +bit 906 O 1 IO_PAD329 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD327 +bit 903 O 1 IO_PAD327 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD326 +bit 900 O 1 IO_PAD326 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD325 +bit 897 O 1 IO_PAD325 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD324 +bit 894 O 1 IO_PAD324 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD322 +bit 891 O 1 IO_PAD322 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD321 +bit 888 O 1 IO_PAD321 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_PAD320 +bit 885 O 1 IO_PAD320 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_PAD319 +bit 882 O 1 IO_PAD319 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_PAD318 +bit 879 O 1 IO_PAD318 878 1 Z +bit 878 C 1 * +bit 877 I 1 INIT_PAD317 +bit 876 O 1 INIT_PAD317 875 1 Z +bit 875 C 1 * +bit 874 O 1 * +bit 873 I 1 DONE_PAD313 +bit 872 O 1 DONE_PAD313 871 1 Z +bit 871 C 1 * +bit 870 I 1 IO_PAD310 +bit 869 O 1 IO_PAD310 868 1 Z +bit 868 C 1 * +bit 867 I 1 IO_PAD309 +bit 866 O 1 IO_PAD309 865 1 Z +bit 865 C 1 * +bit 864 I 1 IO_PAD307 +bit 863 O 1 IO_PAD307 862 1 Z +bit 862 C 1 * +bit 861 I 1 IO_PAD306 +bit 860 O 1 IO_PAD306 859 1 Z +bit 859 C 1 * +bit 858 I 1 IO_PAD305 +bit 857 O 1 IO_PAD305 856 1 Z +bit 856 C 1 * +bit 855 I 1 IO_PAD304 +bit 854 O 1 IO_PAD304 853 1 Z +bit 853 C 1 * +bit 852 I 1 IO_PAD302 +bit 851 O 1 IO_PAD302 850 1 Z +bit 850 C 1 * +bit 849 I 1 IO_PAD301 +bit 848 O 1 IO_PAD301 847 1 Z +bit 847 C 1 * +bit 846 I 1 IO_PAD300 +bit 845 O 1 IO_PAD300 844 1 Z +bit 844 C 1 * +bit 843 I 1 IO_PAD299 +bit 842 O 1 IO_PAD299 841 1 Z +bit 841 C 1 * +bit 840 I 1 IO_PAD298 +bit 839 O 1 IO_PAD298 838 1 Z +bit 838 C 1 * +bit 837 I 1 IO_PAD297 +bit 836 O 1 IO_PAD297 835 1 Z +bit 835 C 1 * +bit 834 I 1 IO_PAD294 +bit 833 O 1 IO_PAD294 832 1 Z +bit 832 C 1 * +bit 831 I 1 IO_PAD293 +bit 830 O 1 IO_PAD293 829 1 Z +bit 829 C 1 * +bit 828 O 1 * +bit 827 O 1 * +bit 826 O 1 * +bit 825 I 1 IO_PAD292 +bit 824 O 1 IO_PAD292 823 1 Z +bit 823 C 1 * +bit 822 I 1 IO_PAD291 +bit 821 O 1 IO_PAD291 820 1 Z +bit 820 C 1 * +bit 819 I 1 IO_PAD290 +bit 818 O 1 IO_PAD290 817 1 Z +bit 817 C 1 * +bit 816 I 1 IO_PAD288 +bit 815 O 1 IO_PAD288 814 1 Z +bit 814 C 1 * +bit 813 I 1 IO_PAD287 +bit 812 O 1 IO_PAD287 811 1 Z +bit 811 C 1 * +bit 810 O 1 * +bit 809 O 1 * +bit 808 O 1 * +bit 807 I 1 IO_PAD286 +bit 806 O 1 IO_PAD286 805 1 Z +bit 805 C 1 * +bit 804 I 1 IO_PAD285 +bit 803 O 1 IO_PAD285 802 1 Z +bit 802 C 1 * +bit 801 I 1 IO_PAD284 +bit 800 O 1 IO_PAD284 799 1 Z +bit 799 C 1 * +bit 798 I 1 IO_PAD279 +bit 797 O 1 IO_PAD279 796 1 Z +bit 796 C 1 * +bit 795 I 1 IO_PAD278 +bit 794 O 1 IO_PAD278 793 1 Z +bit 793 C 1 * +bit 792 O 1 * +bit 791 O 1 * +bit 790 O 1 * +bit 789 I 1 IO_PAD277 +bit 788 O 1 IO_PAD277 787 1 Z +bit 787 C 1 * +bit 786 I 1 IO_PAD276 +bit 785 O 1 IO_PAD276 784 1 Z +bit 784 C 1 * +bit 783 I 1 IO_PAD275 +bit 782 O 1 IO_PAD275 781 1 Z +bit 781 C 1 * +bit 780 I 1 IO_PAD273 +bit 779 O 1 IO_PAD273 778 1 Z +bit 778 C 1 * +bit 777 I 1 IO_PAD272 +bit 776 O 1 IO_PAD272 775 1 Z +bit 775 C 1 * +bit 774 O 1 * +bit 773 O 1 * +bit 772 O 1 * +bit 771 I 1 IO_PAD271 +bit 770 O 1 IO_PAD271 769 1 Z +bit 769 C 1 * +bit 768 I 1 IO_PAD270 +bit 767 O 1 IO_PAD270 766 1 Z +bit 766 C 1 * +bit 765 I 1 IO_PAD269 +bit 764 O 1 IO_PAD269 763 1 Z +bit 763 C 1 * +bit 762 I 1 IO_PAD264 +bit 761 O 1 IO_PAD264 760 1 Z +bit 760 C 1 * +bit 759 I 1 IO_PAD263 +bit 758 O 1 IO_PAD263 757 1 Z +bit 757 C 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 I 1 IO_PAD262 +bit 752 O 1 IO_PAD262 751 1 Z +bit 751 C 1 * +bit 750 I 1 IO_PAD261 +bit 749 O 1 IO_PAD261 748 1 Z +bit 748 C 1 * +bit 747 I 1 IO_PAD260 +bit 746 O 1 IO_PAD260 745 1 Z +bit 745 C 1 * +bit 744 I 1 IO_PAD258 +bit 743 O 1 IO_PAD258 742 1 Z +bit 742 C 1 * +bit 741 I 1 IO_PAD257 +bit 740 O 1 IO_PAD257 739 1 Z +bit 739 C 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 I 1 IO_PAD256 +bit 734 O 1 IO_PAD256 733 1 Z +bit 733 C 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 I 1 IO_PAD255 +bit 728 O 1 IO_PAD255 727 1 Z +bit 727 C 1 * +bit 726 I 1 GCK0_PAD254 +bit 725 I 1 GCK1_PAD250 +bit 724 I 1 IO_PAD247 +bit 723 O 1 IO_PAD247 722 1 Z +bit 722 C 1 * +bit 721 O 1 * +bit 720 O 1 * +bit 719 O 1 * +bit 718 O 1 * +bit 717 O 1 * +bit 716 O 1 * +bit 715 O 1 * +bit 714 O 1 * +bit 713 O 1 * +bit 712 I 1 IO_PAD246 +bit 711 O 1 IO_PAD246 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD245 +bit 708 O 1 IO_PAD245 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD243 +bit 705 O 1 IO_PAD243 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD242 +bit 702 O 1 IO_PAD242 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD240 +bit 699 O 1 IO_PAD240 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 I 1 IO_PAD239 +bit 693 O 1 IO_PAD239 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_PAD238 +bit 690 O 1 IO_PAD238 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD233 +bit 687 O 1 IO_PAD233 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD232 +bit 684 O 1 IO_PAD232 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD231 +bit 681 O 1 IO_PAD231 680 1 Z +bit 680 C 1 * +bit 679 O 1 * +bit 678 O 1 * +bit 677 O 1 * +bit 676 I 1 IO_PAD230 +bit 675 O 1 IO_PAD230 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD229 +bit 672 O 1 IO_PAD229 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD227 +bit 669 O 1 IO_PAD227 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD226 +bit 666 O 1 IO_PAD226 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD225 +bit 663 O 1 IO_PAD225 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 I 1 IO_PAD224 +bit 657 O 1 IO_PAD224 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_PAD223 +bit 654 O 1 IO_PAD223 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD218 +bit 651 O 1 IO_PAD218 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD217 +bit 648 O 1 IO_PAD217 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD216 +bit 645 O 1 IO_PAD216 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 I 1 IO_PAD215 +bit 639 O 1 IO_PAD215 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_PAD214 +bit 636 O 1 IO_PAD214 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD212 +bit 633 O 1 IO_PAD212 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_PAD211 +bit 630 O 1 IO_PAD211 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_PAD210 +bit 627 O 1 IO_PAD210 626 1 Z +bit 626 C 1 * +bit 625 O 1 * +bit 624 O 1 * +bit 623 O 1 * +bit 622 I 1 IO_PAD209 +bit 621 O 1 IO_PAD209 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD208 +bit 618 O 1 IO_PAD208 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD205 +bit 615 O 1 IO_PAD205 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD204 +bit 612 O 1 IO_PAD204 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD203 +bit 609 O 1 IO_PAD203 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_PAD202 +bit 606 O 1 IO_PAD202 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_PAD201 +bit 603 O 1 IO_PAD201 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_PAD200 +bit 600 O 1 IO_PAD200 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD198 +bit 597 O 1 IO_PAD198 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD197 +bit 594 O 1 IO_PAD197 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD196 +bit 591 O 1 IO_PAD196 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_PAD195 +bit 588 O 1 IO_PAD195 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_PAD194 +bit 585 O 1 IO_PAD194 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_PAD193 +bit 582 O 1 IO_PAD193 581 1 Z +bit 581 C 1 * +bit 580 I 1 M2_PAD190 +bit 579 I 1 M0_PAD187 +bit 578 I 1 M1_PAD184 +bit 577 I 1 IO_PAD183 +bit 576 O 1 IO_PAD183 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD182 +bit 573 O 1 IO_PAD182 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD180 +bit 570 O 1 IO_PAD180 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD179 +bit 567 O 1 IO_PAD179 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_PAD178 +bit 564 O 1 IO_PAD178 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_PAD177 +bit 561 O 1 IO_PAD177 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_PAD175 +bit 558 O 1 IO_PAD175 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD174 +bit 555 O 1 IO_PAD174 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD173 +bit 552 O 1 IO_PAD173 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD172 +bit 549 O 1 IO_PAD172 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD170 +bit 546 O 1 IO_PAD170 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD169 +bit 543 O 1 IO_PAD169 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_PAD166 +bit 540 O 1 IO_PAD166 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_PAD165 +bit 537 O 1 IO_PAD165 536 1 Z +bit 536 C 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 I 1 IO_PAD164 +bit 531 O 1 IO_PAD164 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_PAD163 +bit 528 O 1 IO_PAD163 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_PAD162 +bit 525 O 1 IO_PAD162 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_PAD160 +bit 522 O 1 IO_PAD160 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_PAD159 +bit 519 O 1 IO_PAD159 518 1 Z +bit 518 C 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 I 1 IO_PAD158 +bit 513 O 1 IO_PAD158 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_PAD157 +bit 510 O 1 IO_PAD157 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_PAD156 +bit 507 O 1 IO_PAD156 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_PAD151 +bit 504 O 1 IO_PAD151 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_PAD150 +bit 501 O 1 IO_PAD150 500 1 Z +bit 500 C 1 * +bit 499 O 1 * +bit 498 O 1 * +bit 497 O 1 * +bit 496 I 1 IO_PAD149 +bit 495 O 1 IO_PAD149 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_PAD148 +bit 492 O 1 IO_PAD148 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_PAD147 +bit 489 O 1 IO_PAD147 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_PAD145 +bit 486 O 1 IO_PAD145 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_PAD144 +bit 483 O 1 IO_PAD144 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 O 1 * +bit 478 I 1 IO_PAD143 +bit 477 O 1 IO_PAD143 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_PAD142 +bit 474 O 1 IO_PAD142 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_PAD141 +bit 471 O 1 IO_PAD141 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_PAD136 +bit 468 O 1 IO_PAD136 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_PAD135 +bit 465 O 1 IO_PAD135 464 1 Z +bit 464 C 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 I 1 IO_PAD134 +bit 459 O 1 IO_PAD134 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_PAD133 +bit 456 O 1 IO_PAD133 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_PAD132 +bit 453 O 1 IO_PAD132 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_PAD130 +bit 450 O 1 IO_PAD130 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_PAD129 +bit 447 O 1 IO_PAD129 446 1 Z +bit 446 C 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 I 1 IO_PAD128 +bit 441 O 1 IO_PAD128 440 1 Z +bit 440 C 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 I 1 IO_PAD125 +bit 435 O 1 IO_PAD125 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_PAD121 +bit 432 O 1 IO_PAD121 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_PAD120 +bit 429 O 1 IO_PAD120 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_PAD119 +bit 426 O 1 IO_PAD119 425 1 Z +bit 425 C 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 I 1 IO_PAD118 +bit 420 O 1 IO_PAD118 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_PAD117 +bit 417 O 1 IO_PAD117 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_PAD115 +bit 414 O 1 IO_PAD115 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_PAD114 +bit 411 O 1 IO_PAD114 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_PAD112 +bit 408 O 1 IO_PAD112 407 1 Z +bit 407 C 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 I 1 IO_PAD111 +bit 402 O 1 IO_PAD111 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_PAD110 +bit 399 O 1 IO_PAD110 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_PAD105 +bit 396 O 1 IO_PAD105 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_PAD104 +bit 393 O 1 IO_PAD104 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_PAD103 +bit 390 O 1 IO_PAD103 389 1 Z +bit 389 C 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 I 1 IO_PAD102 +bit 384 O 1 IO_PAD102 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_PAD101 +bit 381 O 1 IO_PAD101 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_PAD99 +bit 378 O 1 IO_PAD99 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_PAD98 +bit 375 O 1 IO_PAD98 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_PAD97 +bit 372 O 1 IO_PAD97 371 1 Z +bit 371 C 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 O 1 * +bit 367 I 1 IO_PAD96 +bit 366 O 1 IO_PAD96 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_PAD95 +bit 363 O 1 IO_PAD95 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_PAD90 +bit 360 O 1 IO_PAD90 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_PAD89 +bit 357 O 1 IO_PAD89 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_PAD88 +bit 354 O 1 IO_PAD88 353 1 Z +bit 353 C 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 I 1 IO_PAD87 +bit 348 O 1 IO_PAD87 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_PAD86 +bit 345 O 1 IO_PAD86 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_PAD84 +bit 342 O 1 IO_PAD84 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_PAD83 +bit 339 O 1 IO_PAD83 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_PAD82 +bit 336 O 1 IO_PAD82 335 1 Z +bit 335 C 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 I 1 IO_PAD81 +bit 330 O 1 IO_PAD81 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_PAD80 +bit 327 O 1 IO_PAD80 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_PAD77 +bit 324 O 1 IO_PAD77 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_PAD76 +bit 321 O 1 IO_PAD76 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_PAD74 +bit 318 O 1 IO_PAD74 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_PAD73 +bit 315 O 1 IO_PAD73 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_PAD72 +bit 312 O 1 IO_PAD72 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_PAD71 +bit 309 O 1 IO_PAD71 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_PAD69 +bit 306 O 1 IO_PAD69 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_PAD68 +bit 303 O 1 IO_PAD68 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_PAD67 +bit 300 O 1 IO_PAD67 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_PAD66 +bit 297 O 1 IO_PAD66 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_PAD65 +bit 294 O 1 IO_PAD65 293 1 Z +bit 293 C 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 I 1 IO_PAD58 +bit 285 O 1 IO_PAD58 284 1 Z +bit 284 C 1 * +bit 283 I 1 IO_PAD56 +bit 282 O 1 IO_PAD56 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_PAD55 +bit 279 O 1 IO_PAD55 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_PAD54 +bit 276 O 1 IO_PAD54 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_PAD53 +bit 273 O 1 IO_PAD53 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_PAD51 +bit 270 O 1 IO_PAD51 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_PAD50 +bit 267 O 1 IO_PAD50 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_PAD49 +bit 264 O 1 IO_PAD49 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_PAD48 +bit 261 O 1 IO_PAD48 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_PAD47 +bit 258 O 1 IO_PAD47 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_PAD46 +bit 255 O 1 IO_PAD46 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_PAD43 +bit 252 O 1 IO_PAD43 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_PAD42 +bit 249 O 1 IO_PAD42 248 1 Z +bit 248 C 1 * +bit 247 O 1 * +bit 246 O 1 * +bit 245 O 1 * +bit 244 I 1 IO_PAD41 +bit 243 O 1 IO_PAD41 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_PAD40 +bit 240 O 1 IO_PAD40 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_PAD39 +bit 237 O 1 IO_PAD39 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_PAD37 +bit 234 O 1 IO_PAD37 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_PAD36 +bit 231 O 1 IO_PAD36 230 1 Z +bit 230 C 1 * +bit 229 O 1 * +bit 228 O 1 * +bit 227 O 1 * +bit 226 I 1 IO_PAD35 +bit 225 O 1 IO_PAD35 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_PAD34 +bit 222 O 1 IO_PAD34 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_PAD33 +bit 219 O 1 IO_PAD33 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_PAD28 +bit 216 O 1 IO_PAD28 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_PAD27 +bit 213 O 1 IO_PAD27 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 I 1 IO_PAD26 +bit 207 O 1 IO_PAD26 206 1 Z +bit 206 C 1 * +bit 205 I 1 IO_PAD25 +bit 204 O 1 IO_PAD25 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_PAD24 +bit 201 O 1 IO_PAD24 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_PAD22 +bit 198 O 1 IO_PAD22 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_PAD21 +bit 195 O 1 IO_PAD21 194 1 Z +bit 194 C 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 I 1 IO_PAD20 +bit 189 O 1 IO_PAD20 188 1 Z +bit 188 C 1 * +bit 187 I 1 IO_PAD19 +bit 186 O 1 IO_PAD19 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_PAD18 +bit 183 O 1 IO_PAD18 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_PAD13 +bit 180 O 1 IO_PAD13 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_PAD12 +bit 177 O 1 IO_PAD12 176 1 Z +bit 176 C 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 I 1 IO_PAD11 +bit 171 O 1 IO_PAD11 170 1 Z +bit 170 C 1 * +bit 169 I 1 IO_PAD10 +bit 168 O 1 IO_PAD10 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_PAD9 +bit 165 O 1 IO_PAD9 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_PAD7 +bit 162 O 1 IO_PAD7 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_PAD6 +bit 159 O 1 IO_PAD6 158 1 Z +bit 158 C 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 I 1 IO_PAD5 +bit 147 O 1 IO_PAD5 146 1 Z +bit 146 C 1 * +bit 145 I 1 GCK3_PAD2 +bit 144 I 1 GCK2_PAD500 +bit 143 I 1 IO_PAD499 +bit 142 O 1 IO_PAD499 141 1 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 I 1 IO_PAD498 +bit 136 O 1 IO_PAD498 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_PAD497 +bit 130 O 1 IO_PAD497 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD496 +bit 127 O 1 IO_PAD496 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD494 +bit 124 O 1 IO_PAD494 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD493 +bit 121 O 1 IO_PAD493 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD491 +bit 118 O 1 IO_PAD491 117 1 Z +bit 117 C 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 I 1 IO_PAD490 +bit 112 O 1 IO_PAD490 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD489 +bit 109 O 1 IO_PAD489 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD484 +bit 106 O 1 IO_PAD484 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD483 +bit 103 O 1 IO_PAD483 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD482 +bit 100 O 1 IO_PAD482 99 1 Z +bit 99 C 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 I 1 IO_PAD481 +bit 94 O 1 IO_PAD481 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD480 +bit 91 O 1 IO_PAD480 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD478 +bit 88 O 1 IO_PAD478 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD477 +bit 85 O 1 IO_PAD477 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD476 +bit 82 O 1 IO_PAD476 81 1 Z +bit 81 C 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 I 1 IO_PAD475 +bit 76 O 1 IO_PAD475 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD474 +bit 73 O 1 IO_PAD474 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD469 +bit 70 O 1 IO_PAD469 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD468 +bit 67 O 1 IO_PAD468 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD467 +bit 64 O 1 IO_PAD467 63 1 Z +bit 63 C 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 I 1 IO_PAD466 +bit 58 O 1 IO_PAD466 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD465 +bit 55 O 1 IO_PAD465 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD463 +bit 52 O 1 IO_PAD463 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD462 +bit 49 O 1 IO_PAD462 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD461 +bit 46 O 1 IO_PAD461 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_PAD460 +bit 40 O 1 IO_PAD460 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD459 +bit 37 O 1 IO_PAD459 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD456 +bit 34 O 1 IO_PAD456 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD455 +bit 31 O 1 IO_PAD455 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD454 +bit 28 O 1 IO_PAD454 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD453 +bit 25 O 1 IO_PAD453 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD452 +bit 22 O 1 IO_PAD452 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD451 +bit 19 O 1 IO_PAD451 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD449 +bit 16 O 1 IO_PAD449 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD448 +bit 13 O 1 IO_PAD448 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD447 +bit 10 O 1 IO_PAD447 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD446 +bit 7 O 1 IO_PAD446 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD445 +bit 4 O 1 IO_PAD445 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD444 +bit 1 O 1 IO_PAD444 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2v1000-fg256/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2v1000-fg256/STEPPINGS new file mode 100644 index 0000000..2517014 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2v1000-fg256/STEPPINGS @@ -0,0 +1,26 @@ +# +# $Id: STEPPINGS 900 2007-12-30 10:06:50Z arniml $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register +#0010 xc2v1000-fg256 0 +0011 xc2v1000-fg256 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2v1000-fg256/xc2v1000-fg256 b/sie_fs/usr/local/share/urjtag/xilinx/xc2v1000-fg256/xc2v1000-fg256 new file mode 100644 index 0000000..4c5ac5d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2v1000-fg256/xc2v1000-fg256 @@ -0,0 +1,1575 @@ +signal CCLK_P15 +signal DONE_R14 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal HSWAP_EN_B3 +signal M0_T2 +signal M1_P2 +signal M2_R3 +signal PROG_B +signal PWRDWN_B +signal RSVD1 +signal RSVD2 +signal RSVD3 +signal TCK +signal TDI +signal TDO +signal TMS +signal VBATT +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A5 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_C1 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C13 +signal IO_C16 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E6 +signal IO_E7 +signal IO_E10 +signal IO_E11 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_J1 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M6 +signal IO_M7 +signal IO_M10 +signal IO_M11 +signal IO_M13 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N11 +signal IO_N12 +signal IO_N14 +signal IO_N15 +signal IO_N16 +signal IO_P1 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P16 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R12 +signal IO_R13 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T11 +signal IO_T12 +signal IO_T13 + +register BSR 1308 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1307 I 1 IO_C16 +bit 1306 O 1 IO_C16 1305 1 Z +bit 1305 C 1 * +bit 1304 I 1 IO_D16 +bit 1303 O 1 IO_D16 1302 1 Z +bit 1302 C 1 * +bit 1301 I 1 IO_D14 +bit 1300 O 1 IO_D14 1299 1 Z +bit 1299 C 1 * +bit 1298 I 1 IO_D15 +bit 1297 O 1 IO_D15 1296 1 Z +bit 1296 C 1 * +bit 1295 I 1 IO_E13 +bit 1294 O 1 IO_E13 1293 1 Z +bit 1293 C 1 * +bit 1292 I 1 IO_E14 +bit 1291 O 1 IO_E14 1290 1 Z +bit 1290 C 1 * +bit 1289 I 1 IO_E15 +bit 1288 O 1 IO_E15 1287 1 Z +bit 1287 C 1 * +bit 1286 I 1 IO_E16 +bit 1285 O 1 IO_E16 1284 1 Z +bit 1284 C 1 * +bit 1283 O 1 * +bit 1282 O 1 * +bit 1281 O 1 * +bit 1280 O 1 * +bit 1279 O 1 * +bit 1278 O 1 * +bit 1277 I 1 IO_F13 +bit 1276 O 1 IO_F13 1275 1 Z +bit 1275 C 1 * +bit 1274 I 1 IO_F14 +bit 1273 O 1 IO_F14 1272 1 Z +bit 1272 C 1 * +bit 1271 O 1 * +bit 1270 O 1 * +bit 1269 O 1 * +bit 1268 O 1 * +bit 1267 O 1 * +bit 1266 O 1 * +bit 1265 O 1 * +bit 1264 O 1 * +bit 1263 O 1 * +bit 1262 O 1 * +bit 1261 O 1 * +bit 1260 O 1 * +bit 1259 O 1 * +bit 1258 O 1 * +bit 1257 O 1 * +bit 1256 O 1 * +bit 1255 O 1 * +bit 1254 O 1 * +bit 1253 O 1 * +bit 1252 O 1 * +bit 1251 O 1 * +bit 1250 O 1 * +bit 1249 O 1 * +bit 1248 O 1 * +bit 1247 O 1 * +bit 1246 O 1 * +bit 1245 O 1 * +bit 1244 O 1 * +bit 1243 O 1 * +bit 1242 O 1 * +bit 1241 O 1 * +bit 1240 O 1 * +bit 1239 O 1 * +bit 1238 O 1 * +bit 1237 O 1 * +bit 1236 O 1 * +bit 1235 I 1 IO_F15 +bit 1234 O 1 IO_F15 1233 1 Z +bit 1233 C 1 * +bit 1232 I 1 IO_F16 +bit 1231 O 1 IO_F16 1230 1 Z +bit 1230 C 1 * +bit 1229 O 1 * +bit 1228 O 1 * +bit 1227 O 1 * +bit 1226 O 1 * +bit 1225 O 1 * +bit 1224 O 1 * +bit 1223 I 1 IO_F12 +bit 1222 O 1 IO_F12 1221 1 Z +bit 1221 C 1 * +bit 1220 I 1 IO_G12 +bit 1219 O 1 IO_G12 1218 1 Z +bit 1218 C 1 * +bit 1217 O 1 * +bit 1216 O 1 * +bit 1215 O 1 * +bit 1214 O 1 * +bit 1213 O 1 * +bit 1212 O 1 * +bit 1211 O 1 * +bit 1210 O 1 * +bit 1209 O 1 * +bit 1208 O 1 * +bit 1207 O 1 * +bit 1206 O 1 * +bit 1205 O 1 * +bit 1204 O 1 * +bit 1203 O 1 * +bit 1202 O 1 * +bit 1201 O 1 * +bit 1200 O 1 * +bit 1199 O 1 * +bit 1198 O 1 * +bit 1197 O 1 * +bit 1196 O 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 O 1 * +bit 1191 O 1 * +bit 1190 O 1 * +bit 1189 O 1 * +bit 1188 O 1 * +bit 1187 O 1 * +bit 1186 O 1 * +bit 1185 O 1 * +bit 1184 O 1 * +bit 1183 O 1 * +bit 1182 O 1 * +bit 1181 O 1 * +bit 1180 O 1 * +bit 1179 O 1 * +bit 1178 O 1 * +bit 1177 O 1 * +bit 1176 O 1 * +bit 1175 O 1 * +bit 1174 O 1 * +bit 1173 O 1 * +bit 1172 O 1 * +bit 1171 O 1 * +bit 1170 O 1 * +bit 1169 O 1 * +bit 1168 O 1 * +bit 1167 O 1 * +bit 1166 O 1 * +bit 1165 O 1 * +bit 1164 O 1 * +bit 1163 I 1 IO_G13 +bit 1162 O 1 IO_G13 1161 1 Z +bit 1161 C 1 * +bit 1160 I 1 IO_G14 +bit 1159 O 1 IO_G14 1158 1 Z +bit 1158 C 1 * +bit 1157 O 1 * +bit 1156 O 1 * +bit 1155 O 1 * +bit 1154 O 1 * +bit 1153 O 1 * +bit 1152 O 1 * +bit 1151 I 1 IO_G15 +bit 1150 O 1 IO_G15 1149 1 Z +bit 1149 C 1 * +bit 1148 I 1 IO_G16 +bit 1147 O 1 IO_G16 1146 1 Z +bit 1146 C 1 * +bit 1145 I 1 IO_H13 +bit 1144 O 1 IO_H13 1143 1 Z +bit 1143 C 1 * +bit 1142 I 1 IO_H14 +bit 1141 O 1 IO_H14 1140 1 Z +bit 1140 C 1 * +bit 1139 O 1 * +bit 1138 O 1 * +bit 1137 O 1 * +bit 1136 O 1 * +bit 1135 O 1 * +bit 1134 O 1 * +bit 1133 I 1 IO_H15 +bit 1132 O 1 IO_H15 1131 1 Z +bit 1131 C 1 * +bit 1130 I 1 IO_H16 +bit 1129 O 1 IO_H16 1128 1 Z +bit 1128 C 1 * +bit 1127 I 1 IO_J16 +bit 1126 O 1 IO_J16 1125 1 Z +bit 1125 C 1 * +bit 1124 I 1 IO_J15 +bit 1123 O 1 IO_J15 1122 1 Z +bit 1122 C 1 * +bit 1121 O 1 * +bit 1120 O 1 * +bit 1119 O 1 * +bit 1118 O 1 * +bit 1117 O 1 * +bit 1116 O 1 * +bit 1115 I 1 IO_J14 +bit 1114 O 1 IO_J14 1113 1 Z +bit 1113 C 1 * +bit 1112 I 1 IO_J13 +bit 1111 O 1 IO_J13 1110 1 Z +bit 1110 C 1 * +bit 1109 I 1 IO_K16 +bit 1108 O 1 IO_K16 1107 1 Z +bit 1107 C 1 * +bit 1106 I 1 IO_K15 +bit 1105 O 1 IO_K15 1104 1 Z +bit 1104 C 1 * +bit 1103 O 1 * +bit 1102 O 1 * +bit 1101 O 1 * +bit 1100 O 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 I 1 IO_K14 +bit 1096 O 1 IO_K14 1095 1 Z +bit 1095 C 1 * +bit 1094 I 1 IO_K13 +bit 1093 O 1 IO_K13 1092 1 Z +bit 1092 C 1 * +bit 1091 O 1 * +bit 1090 O 1 * +bit 1089 O 1 * +bit 1088 O 1 * +bit 1087 O 1 * +bit 1086 O 1 * +bit 1085 O 1 * +bit 1084 O 1 * +bit 1083 O 1 * +bit 1082 O 1 * +bit 1081 O 1 * +bit 1080 O 1 * +bit 1079 O 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 O 1 * +bit 1062 O 1 * +bit 1061 O 1 * +bit 1060 O 1 * +bit 1059 O 1 * +bit 1058 O 1 * +bit 1057 O 1 * +bit 1056 O 1 * +bit 1055 O 1 * +bit 1054 O 1 * +bit 1053 O 1 * +bit 1052 O 1 * +bit 1051 O 1 * +bit 1050 O 1 * +bit 1049 O 1 * +bit 1048 O 1 * +bit 1047 O 1 * +bit 1046 O 1 * +bit 1045 O 1 * +bit 1044 O 1 * +bit 1043 O 1 * +bit 1042 O 1 * +bit 1041 O 1 * +bit 1040 O 1 * +bit 1039 O 1 * +bit 1038 O 1 * +bit 1037 I 1 IO_K12 +bit 1036 O 1 IO_K12 1035 1 Z +bit 1035 C 1 * +bit 1034 I 1 IO_L12 +bit 1033 O 1 IO_L12 1032 1 Z +bit 1032 C 1 * +bit 1031 O 1 * +bit 1030 O 1 * +bit 1029 O 1 * +bit 1028 O 1 * +bit 1027 O 1 * +bit 1026 O 1 * +bit 1025 I 1 IO_L16 +bit 1024 O 1 IO_L16 1023 1 Z +bit 1023 C 1 * +bit 1022 I 1 IO_L15 +bit 1021 O 1 IO_L15 1020 1 Z +bit 1020 C 1 * +bit 1019 O 1 * +bit 1018 O 1 * +bit 1017 O 1 * +bit 1016 O 1 * +bit 1015 O 1 * +bit 1014 O 1 * +bit 1013 O 1 * +bit 1012 O 1 * +bit 1011 O 1 * +bit 1010 O 1 * +bit 1009 O 1 * +bit 1008 O 1 * +bit 1007 O 1 * +bit 1006 O 1 * +bit 1005 O 1 * +bit 1004 O 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 O 1 * +bit 996 O 1 * +bit 995 O 1 * +bit 994 O 1 * +bit 993 O 1 * +bit 992 O 1 * +bit 991 O 1 * +bit 990 O 1 * +bit 989 O 1 * +bit 988 O 1 * +bit 987 O 1 * +bit 986 O 1 * +bit 985 O 1 * +bit 984 O 1 * +bit 983 I 1 IO_L14 +bit 982 O 1 IO_L14 981 1 Z +bit 981 C 1 * +bit 980 I 1 IO_L13 +bit 979 O 1 IO_L13 978 1 Z +bit 978 C 1 * +bit 977 O 1 * +bit 976 O 1 * +bit 975 O 1 * +bit 974 O 1 * +bit 973 O 1 * +bit 972 O 1 * +bit 971 I 1 IO_M16 +bit 970 O 1 IO_M16 969 1 Z +bit 969 C 1 * +bit 968 I 1 IO_M15 +bit 967 O 1 IO_M15 966 1 Z +bit 966 C 1 * +bit 965 I 1 IO_M14 +bit 964 O 1 IO_M14 963 1 Z +bit 963 C 1 * +bit 962 I 1 IO_M13 +bit 961 O 1 IO_M13 960 1 Z +bit 960 C 1 * +bit 959 I 1 IO_N15 +bit 958 O 1 IO_N15 957 1 Z +bit 957 C 1 * +bit 956 I 1 IO_N14 +bit 955 O 1 IO_N14 954 1 Z +bit 954 C 1 * +bit 953 I 1 IO_N16 +bit 952 O 1 IO_N16 951 1 Z +bit 951 C 1 * +bit 950 I 1 IO_P16 +bit 949 O 1 IO_P16 948 1 Z +bit 948 C 1 * +bit 947 I 1 CCLK_P15 +bit 946 O 1 CCLK_P15 945 1 Z +bit 945 C 1 * +bit 944 O 1 * +bit 943 I 1 DONE_R14 +bit 942 O 1 DONE_R14 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_T14 +bit 939 O 1 IO_T14 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_T13 +bit 936 O 1 IO_T13 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_P13 +bit 933 O 1 IO_P13 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_R13 +bit 930 O 1 IO_R13 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_N12 +bit 927 O 1 IO_N12 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_P12 +bit 924 O 1 IO_P12 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_R12 +bit 921 O 1 IO_R12 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_T12 +bit 918 O 1 IO_T12 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_N11 +bit 915 O 1 IO_N11 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_P11 +bit 912 O 1 IO_P11 911 1 Z +bit 911 C 1 * +bit 910 O 1 * +bit 909 O 1 * +bit 908 O 1 * +bit 907 O 1 * +bit 906 O 1 * +bit 905 O 1 * +bit 904 O 1 * +bit 903 O 1 * +bit 902 O 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 O 1 * +bit 891 O 1 * +bit 890 O 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 O 1 * +bit 879 O 1 * +bit 878 O 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 O 1 * +bit 867 O 1 * +bit 866 O 1 * +bit 865 O 1 * +bit 864 O 1 * +bit 863 O 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 O 1 * +bit 849 O 1 * +bit 848 O 1 * +bit 847 O 1 * +bit 846 O 1 * +bit 845 O 1 * +bit 844 O 1 * +bit 843 O 1 * +bit 842 O 1 * +bit 841 O 1 * +bit 840 O 1 * +bit 839 O 1 * +bit 838 O 1 * +bit 837 O 1 * +bit 836 O 1 * +bit 835 O 1 * +bit 834 O 1 * +bit 833 O 1 * +bit 832 I 1 IO_R11 +bit 831 O 1 IO_R11 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_T11 +bit 828 O 1 IO_T11 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_M11 +bit 825 O 1 IO_M11 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_M10 +bit 822 O 1 IO_M10 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_N10 +bit 819 O 1 IO_N10 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_P10 +bit 816 O 1 IO_P10 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_R10 +bit 813 O 1 IO_R10 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_T10 +bit 810 O 1 IO_T10 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_N9 +bit 807 O 1 IO_N9 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_P9 +bit 804 O 1 IO_P9 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_R9 +bit 801 O 1 IO_R9 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_T9 +bit 798 O 1 IO_T9 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_T8 +bit 795 O 1 IO_T8 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_R8 +bit 792 O 1 IO_R8 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_P8 +bit 789 O 1 IO_P8 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_N8 +bit 786 O 1 IO_N8 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_T7 +bit 783 O 1 IO_T7 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_R7 +bit 780 O 1 IO_R7 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_P7 +bit 777 O 1 IO_P7 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_N7 +bit 774 O 1 IO_N7 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_M7 +bit 771 O 1 IO_M7 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_M6 +bit 768 O 1 IO_M6 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_T6 +bit 765 O 1 IO_T6 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_R6 +bit 762 O 1 IO_R6 761 1 Z +bit 761 C 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 O 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 O 1 * +bit 720 O 1 * +bit 719 O 1 * +bit 718 O 1 * +bit 717 O 1 * +bit 716 O 1 * +bit 715 O 1 * +bit 714 O 1 * +bit 713 O 1 * +bit 712 O 1 * +bit 711 O 1 * +bit 710 O 1 * +bit 709 O 1 * +bit 708 O 1 * +bit 707 O 1 * +bit 706 O 1 * +bit 705 O 1 * +bit 704 O 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 O 1 * +bit 699 O 1 * +bit 698 O 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 O 1 * +bit 690 O 1 * +bit 689 O 1 * +bit 688 O 1 * +bit 687 O 1 * +bit 686 O 1 * +bit 685 O 1 * +bit 684 O 1 * +bit 683 O 1 * +bit 682 I 1 IO_P6 +bit 681 O 1 IO_P6 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_N6 +bit 678 O 1 IO_N6 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_T5 +bit 675 O 1 IO_T5 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_R5 +bit 672 O 1 IO_R5 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_P5 +bit 669 O 1 IO_P5 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_N5 +bit 666 O 1 IO_N5 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_R4 +bit 663 O 1 IO_R4 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_P4 +bit 660 O 1 IO_P4 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_T4 +bit 657 O 1 IO_T4 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_T3 +bit 654 O 1 IO_T3 653 1 Z +bit 653 C 1 * +bit 652 I 1 M2_R3 +bit 651 I 1 M0_T2 +bit 650 I 1 M1_P2 +bit 649 I 1 IO_P1 +bit 648 O 1 IO_P1 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_N1 +bit 645 O 1 IO_N1 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_N3 +bit 642 O 1 IO_N3 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_N2 +bit 639 O 1 IO_N2 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_M4 +bit 636 O 1 IO_M4 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_M3 +bit 633 O 1 IO_M3 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_M2 +bit 630 O 1 IO_M2 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_M1 +bit 627 O 1 IO_M1 626 1 Z +bit 626 C 1 * +bit 625 O 1 * +bit 624 O 1 * +bit 623 O 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 O 1 * +bit 619 I 1 IO_L4 +bit 618 O 1 IO_L4 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_L3 +bit 615 O 1 IO_L3 614 1 Z +bit 614 C 1 * +bit 613 O 1 * +bit 612 O 1 * +bit 611 O 1 * +bit 610 O 1 * +bit 609 O 1 * +bit 608 O 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 O 1 * +bit 600 O 1 * +bit 599 O 1 * +bit 598 O 1 * +bit 597 O 1 * +bit 596 O 1 * +bit 595 O 1 * +bit 594 O 1 * +bit 593 O 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 I 1 IO_L2 +bit 576 O 1 IO_L2 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_L1 +bit 573 O 1 IO_L1 572 1 Z +bit 572 C 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 O 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 I 1 IO_L5 +bit 564 O 1 IO_L5 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_K5 +bit 561 O 1 IO_K5 560 1 Z +bit 560 C 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 O 1 * +bit 556 O 1 * +bit 555 O 1 * +bit 554 O 1 * +bit 553 O 1 * +bit 552 O 1 * +bit 551 O 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 O 1 * +bit 531 O 1 * +bit 530 O 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 O 1 * +bit 507 O 1 * +bit 506 O 1 * +bit 505 I 1 IO_K4 +bit 504 O 1 IO_K4 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_K3 +bit 501 O 1 IO_K3 500 1 Z +bit 500 C 1 * +bit 499 O 1 * +bit 498 O 1 * +bit 497 O 1 * +bit 496 O 1 * +bit 495 O 1 * +bit 494 O 1 * +bit 493 I 1 IO_K2 +bit 492 O 1 IO_K2 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_K1 +bit 489 O 1 IO_K1 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_J4 +bit 486 O 1 IO_J4 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_J3 +bit 483 O 1 IO_J3 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 O 1 * +bit 478 O 1 * +bit 477 O 1 * +bit 476 O 1 * +bit 475 I 1 IO_J2 +bit 474 O 1 IO_J2 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_J1 +bit 471 O 1 IO_J1 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_H1 +bit 468 O 1 IO_H1 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_H2 +bit 465 O 1 IO_H2 464 1 Z +bit 464 C 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 I 1 IO_H3 +bit 456 O 1 IO_H3 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_H4 +bit 453 O 1 IO_H4 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_G1 +bit 450 O 1 IO_G1 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_G2 +bit 447 O 1 IO_G2 446 1 Z +bit 446 C 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 I 1 IO_G3 +bit 438 O 1 IO_G3 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_G4 +bit 435 O 1 IO_G4 434 1 Z +bit 434 C 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 O 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 I 1 IO_G5 +bit 378 O 1 IO_G5 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_F5 +bit 375 O 1 IO_F5 374 1 Z +bit 374 C 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 O 1 * +bit 367 I 1 IO_F1 +bit 366 O 1 IO_F1 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_F2 +bit 363 O 1 IO_F2 362 1 Z +bit 362 C 1 * +bit 361 O 1 * +bit 360 O 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 I 1 IO_F3 +bit 324 O 1 IO_F3 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_F4 +bit 321 O 1 IO_F4 320 1 Z +bit 320 C 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 I 1 IO_E1 +bit 312 O 1 IO_E1 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_E2 +bit 309 O 1 IO_E2 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_E3 +bit 306 O 1 IO_E3 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_E4 +bit 303 O 1 IO_E4 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_D2 +bit 300 O 1 IO_D2 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_D3 +bit 297 O 1 IO_D3 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_D1 +bit 294 O 1 IO_D1 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_C1 +bit 291 O 1 IO_C1 290 1 Z +bit 290 C 1 * +bit 289 O 1 * +bit 288 I 1 HSWAP_EN_B3 +bit 287 I 1 IO_C4 +bit 286 O 1 IO_C4 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_B4 +bit 283 O 1 IO_B4 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_D5 +bit 280 O 1 IO_D5 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_C5 +bit 277 O 1 IO_C5 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_B5 +bit 274 O 1 IO_B5 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_A5 +bit 271 O 1 IO_A5 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_D6 +bit 268 O 1 IO_D6 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_C6 +bit 265 O 1 IO_C6 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_B6 +bit 262 O 1 IO_B6 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_A6 +bit 259 O 1 IO_A6 258 1 Z +bit 258 C 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 O 1 * +bit 250 O 1 * +bit 249 O 1 * +bit 248 O 1 * +bit 247 O 1 * +bit 246 O 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 O 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 O 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 O 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 O 1 * +bit 228 O 1 * +bit 227 O 1 * +bit 226 O 1 * +bit 225 O 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 O 1 * +bit 216 O 1 * +bit 215 O 1 * +bit 214 O 1 * +bit 213 O 1 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 O 1 * +bit 201 O 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 O 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 O 1 * +bit 184 O 1 * +bit 183 O 1 * +bit 182 O 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 I 1 IO_E6 +bit 172 O 1 IO_E6 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_E7 +bit 169 O 1 IO_E7 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_D7 +bit 166 O 1 IO_D7 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C7 +bit 163 O 1 IO_C7 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_B7 +bit 160 O 1 IO_B7 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_A7 +bit 157 O 1 IO_A7 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_D8 +bit 154 O 1 IO_D8 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_C8 +bit 151 O 1 IO_C8 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_B8 +bit 148 O 1 IO_B8 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_A8 +bit 145 O 1 IO_A8 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_A9 +bit 142 O 1 IO_A9 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_B9 +bit 139 O 1 IO_B9 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_C9 +bit 136 O 1 IO_C9 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_D9 +bit 133 O 1 IO_D9 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_A10 +bit 130 O 1 IO_A10 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_B10 +bit 127 O 1 IO_B10 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_C10 +bit 124 O 1 IO_C10 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_D10 +bit 121 O 1 IO_D10 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_E10 +bit 118 O 1 IO_E10 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_E11 +bit 115 O 1 IO_E11 114 1 Z +bit 114 C 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 O 1 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 O 1 * +bit 82 O 1 * +bit 81 O 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 I 1 IO_A11 +bit 28 O 1 IO_A11 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B11 +bit 25 O 1 IO_B11 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C11 +bit 22 O 1 IO_C11 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_D11 +bit 19 O 1 IO_D11 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_A12 +bit 16 O 1 IO_A12 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_B12 +bit 13 O 1 IO_B12 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_C12 +bit 10 O 1 IO_C12 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_D12 +bit 7 O 1 IO_D12 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_B13 +bit 4 O 1 IO_B13 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_C13 +bit 1 O 1 IO_C13 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2v250-fg256/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2v250-fg256/STEPPINGS new file mode 100644 index 0000000..37eea51 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2v250-fg256/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 900 2007-12-30 10:06:50Z arniml $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register +0000 xc2v250-fg256 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2v250-fg256/xc2v250-fg256 b/sie_fs/usr/local/share/urjtag/xilinx/xc2v250-fg256/xc2v250-fg256 new file mode 100644 index 0000000..d75ed7f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2v250-fg256/xc2v250-fg256 @@ -0,0 +1,999 @@ +signal CCLK_P15 +signal DONE_R14 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal HSWAP_EN_B3 +signal M0_T2 +signal M1_P2 +signal M2_R3 +signal PROG_B +signal PWRDWN_B +signal RSVD1 +signal RSVD2 +signal RSVD3 +signal TCK +signal TDI +signal TDO +signal TMS +signal VBATT +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A5 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_C1 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C13 +signal IO_C16 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E6 +signal IO_E7 +signal IO_E10 +signal IO_E11 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_J1 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M6 +signal IO_M7 +signal IO_M10 +signal IO_M11 +signal IO_M13 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N11 +signal IO_N12 +signal IO_N14 +signal IO_N15 +signal IO_N16 +signal IO_P1 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P16 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R12 +signal IO_R13 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T11 +signal IO_T12 +signal IO_T13 + +register BSR 732 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 731 I 1 IO_C16 +bit 730 O 1 IO_C16 729 1 Z +bit 729 C 1 * +bit 728 I 1 IO_D16 +bit 727 O 1 IO_D16 726 1 Z +bit 726 C 1 * +bit 725 I 1 IO_D14 +bit 724 O 1 IO_D14 723 1 Z +bit 723 C 1 * +bit 722 I 1 IO_D15 +bit 721 O 1 IO_D15 720 1 Z +bit 720 C 1 * +bit 719 I 1 IO_E13 +bit 718 O 1 IO_E13 717 1 Z +bit 717 C 1 * +bit 716 I 1 IO_E14 +bit 715 O 1 IO_E14 714 1 Z +bit 714 C 1 * +bit 713 I 1 IO_E15 +bit 712 O 1 IO_E15 711 1 Z +bit 711 C 1 * +bit 710 I 1 IO_E16 +bit 709 O 1 IO_E16 708 1 Z +bit 708 C 1 * +bit 707 O 1 * +bit 706 O 1 * +bit 705 O 1 * +bit 704 O 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 I 1 IO_F13 +bit 700 O 1 IO_F13 699 1 Z +bit 699 C 1 * +bit 698 I 1 IO_F14 +bit 697 O 1 IO_F14 696 1 Z +bit 696 C 1 * +bit 695 I 1 IO_F15 +bit 694 O 1 IO_F15 693 1 Z +bit 693 C 1 * +bit 692 I 1 IO_F16 +bit 691 O 1 IO_F16 690 1 Z +bit 690 C 1 * +bit 689 O 1 * +bit 688 O 1 * +bit 687 O 1 * +bit 686 O 1 * +bit 685 O 1 * +bit 684 O 1 * +bit 683 I 1 IO_F12 +bit 682 O 1 IO_F12 681 1 Z +bit 681 C 1 * +bit 680 I 1 IO_G12 +bit 679 O 1 IO_G12 678 1 Z +bit 678 C 1 * +bit 677 O 1 * +bit 676 O 1 * +bit 675 O 1 * +bit 674 O 1 * +bit 673 O 1 * +bit 672 O 1 * +bit 671 O 1 * +bit 670 O 1 * +bit 669 O 1 * +bit 668 O 1 * +bit 667 O 1 * +bit 666 O 1 * +bit 665 O 1 * +bit 664 O 1 * +bit 663 O 1 * +bit 662 O 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 I 1 IO_G13 +bit 658 O 1 IO_G13 657 1 Z +bit 657 C 1 * +bit 656 I 1 IO_G14 +bit 655 O 1 IO_G14 654 1 Z +bit 654 C 1 * +bit 653 O 1 * +bit 652 O 1 * +bit 651 O 1 * +bit 650 O 1 * +bit 649 O 1 * +bit 648 O 1 * +bit 647 I 1 IO_G15 +bit 646 O 1 IO_G15 645 1 Z +bit 645 C 1 * +bit 644 I 1 IO_G16 +bit 643 O 1 IO_G16 642 1 Z +bit 642 C 1 * +bit 641 I 1 IO_H13 +bit 640 O 1 IO_H13 639 1 Z +bit 639 C 1 * +bit 638 I 1 IO_H14 +bit 637 O 1 IO_H14 636 1 Z +bit 636 C 1 * +bit 635 O 1 * +bit 634 O 1 * +bit 633 O 1 * +bit 632 O 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 I 1 IO_H15 +bit 628 O 1 IO_H15 627 1 Z +bit 627 C 1 * +bit 626 I 1 IO_H16 +bit 625 O 1 IO_H16 624 1 Z +bit 624 C 1 * +bit 623 I 1 IO_J16 +bit 622 O 1 IO_J16 621 1 Z +bit 621 C 1 * +bit 620 I 1 IO_J15 +bit 619 O 1 IO_J15 618 1 Z +bit 618 C 1 * +bit 617 O 1 * +bit 616 O 1 * +bit 615 O 1 * +bit 614 O 1 * +bit 613 O 1 * +bit 612 O 1 * +bit 611 I 1 IO_J14 +bit 610 O 1 IO_J14 609 1 Z +bit 609 C 1 * +bit 608 I 1 IO_J13 +bit 607 O 1 IO_J13 606 1 Z +bit 606 C 1 * +bit 605 I 1 IO_K16 +bit 604 O 1 IO_K16 603 1 Z +bit 603 C 1 * +bit 602 I 1 IO_K15 +bit 601 O 1 IO_K15 600 1 Z +bit 600 C 1 * +bit 599 O 1 * +bit 598 O 1 * +bit 597 O 1 * +bit 596 O 1 * +bit 595 O 1 * +bit 594 O 1 * +bit 593 I 1 IO_K14 +bit 592 O 1 IO_K14 591 1 Z +bit 591 C 1 * +bit 590 I 1 IO_K13 +bit 589 O 1 IO_K13 588 1 Z +bit 588 C 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 O 1 * +bit 576 O 1 * +bit 575 O 1 * +bit 574 O 1 * +bit 573 O 1 * +bit 572 O 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 I 1 IO_K12 +bit 568 O 1 IO_K12 567 1 Z +bit 567 C 1 * +bit 566 I 1 IO_L12 +bit 565 O 1 IO_L12 564 1 Z +bit 564 C 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 I 1 IO_L16 +bit 556 O 1 IO_L16 555 1 Z +bit 555 C 1 * +bit 554 I 1 IO_L15 +bit 553 O 1 IO_L15 552 1 Z +bit 552 C 1 * +bit 551 I 1 IO_L14 +bit 550 O 1 IO_L14 549 1 Z +bit 549 C 1 * +bit 548 I 1 IO_L13 +bit 547 O 1 IO_L13 546 1 Z +bit 546 C 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 I 1 IO_M16 +bit 538 O 1 IO_M16 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_M15 +bit 535 O 1 IO_M15 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_M14 +bit 532 O 1 IO_M14 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_M13 +bit 529 O 1 IO_M13 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_N15 +bit 526 O 1 IO_N15 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_N14 +bit 523 O 1 IO_N14 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_N16 +bit 520 O 1 IO_N16 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_P16 +bit 517 O 1 IO_P16 516 1 Z +bit 516 C 1 * +bit 515 I 1 CCLK_P15 +bit 514 O 1 CCLK_P15 513 1 Z +bit 513 C 1 * +bit 512 O 1 * +bit 511 I 1 DONE_R14 +bit 510 O 1 DONE_R14 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_T14 +bit 507 O 1 IO_T14 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_T13 +bit 504 O 1 IO_T13 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_P13 +bit 501 O 1 IO_P13 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_R13 +bit 498 O 1 IO_R13 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_N12 +bit 495 O 1 IO_N12 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_P12 +bit 492 O 1 IO_P12 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_R12 +bit 489 O 1 IO_R12 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_T12 +bit 486 O 1 IO_T12 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_N11 +bit 483 O 1 IO_N11 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_P11 +bit 480 O 1 IO_P11 479 1 Z +bit 479 C 1 * +bit 478 O 1 * +bit 477 O 1 * +bit 476 O 1 * +bit 475 O 1 * +bit 474 O 1 * +bit 473 O 1 * +bit 472 I 1 IO_R11 +bit 471 O 1 IO_R11 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_T11 +bit 468 O 1 IO_T11 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_M11 +bit 465 O 1 IO_M11 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_M10 +bit 462 O 1 IO_M10 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_N10 +bit 459 O 1 IO_N10 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_P10 +bit 456 O 1 IO_P10 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_R10 +bit 453 O 1 IO_R10 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_T10 +bit 450 O 1 IO_T10 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_N9 +bit 447 O 1 IO_N9 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_P9 +bit 444 O 1 IO_P9 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_R9 +bit 441 O 1 IO_R9 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_T9 +bit 438 O 1 IO_T9 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_T8 +bit 435 O 1 IO_T8 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_R8 +bit 432 O 1 IO_R8 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_P8 +bit 429 O 1 IO_P8 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_N8 +bit 426 O 1 IO_N8 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_T7 +bit 423 O 1 IO_T7 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_R7 +bit 420 O 1 IO_R7 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_P7 +bit 417 O 1 IO_P7 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_N7 +bit 414 O 1 IO_N7 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_M7 +bit 411 O 1 IO_M7 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_M6 +bit 408 O 1 IO_M6 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_T6 +bit 405 O 1 IO_T6 404 1 Z +bit 404 C 1 * +bit 403 I 1 IO_R6 +bit 402 O 1 IO_R6 401 1 Z +bit 401 C 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 IO_P6 +bit 393 O 1 IO_P6 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_N6 +bit 390 O 1 IO_N6 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_T5 +bit 387 O 1 IO_T5 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_R5 +bit 384 O 1 IO_R5 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_P5 +bit 381 O 1 IO_P5 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_N5 +bit 378 O 1 IO_N5 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_R4 +bit 375 O 1 IO_R4 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_P4 +bit 372 O 1 IO_P4 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_T4 +bit 369 O 1 IO_T4 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_T3 +bit 366 O 1 IO_T3 365 1 Z +bit 365 C 1 * +bit 364 I 1 M2_R3 +bit 363 I 1 M0_T2 +bit 362 I 1 M1_P2 +bit 361 I 1 IO_P1 +bit 360 O 1 IO_P1 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_N1 +bit 357 O 1 IO_N1 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_N3 +bit 354 O 1 IO_N3 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_N2 +bit 351 O 1 IO_N2 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_M4 +bit 348 O 1 IO_M4 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_M3 +bit 345 O 1 IO_M3 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_M2 +bit 342 O 1 IO_M2 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_M1 +bit 339 O 1 IO_M1 338 1 Z +bit 338 C 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 I 1 IO_L4 +bit 330 O 1 IO_L4 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_L3 +bit 327 O 1 IO_L3 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_L2 +bit 324 O 1 IO_L2 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_L1 +bit 321 O 1 IO_L1 320 1 Z +bit 320 C 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 I 1 IO_L5 +bit 312 O 1 IO_L5 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_K5 +bit 309 O 1 IO_K5 308 1 Z +bit 308 C 1 * +bit 307 O 1 * +bit 306 O 1 * +bit 305 O 1 * +bit 304 O 1 * +bit 303 O 1 * +bit 302 O 1 * +bit 301 O 1 * +bit 300 O 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 I 1 IO_K4 +bit 288 O 1 IO_K4 287 1 Z +bit 287 C 1 * +bit 286 I 1 IO_K3 +bit 285 O 1 IO_K3 284 1 Z +bit 284 C 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 I 1 IO_K2 +bit 276 O 1 IO_K2 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_K1 +bit 273 O 1 IO_K1 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_J4 +bit 270 O 1 IO_J4 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_J3 +bit 267 O 1 IO_J3 266 1 Z +bit 266 C 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 O 1 * +bit 259 I 1 IO_J2 +bit 258 O 1 IO_J2 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_J1 +bit 255 O 1 IO_J1 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_H1 +bit 252 O 1 IO_H1 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_H2 +bit 249 O 1 IO_H2 248 1 Z +bit 248 C 1 * +bit 247 O 1 * +bit 246 O 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 I 1 IO_H3 +bit 240 O 1 IO_H3 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_H4 +bit 237 O 1 IO_H4 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_G1 +bit 234 O 1 IO_G1 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_G2 +bit 231 O 1 IO_G2 230 1 Z +bit 230 C 1 * +bit 229 O 1 * +bit 228 O 1 * +bit 227 O 1 * +bit 226 O 1 * +bit 225 O 1 * +bit 224 O 1 * +bit 223 I 1 IO_G3 +bit 222 O 1 IO_G3 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_G4 +bit 219 O 1 IO_G4 218 1 Z +bit 218 C 1 * +bit 217 O 1 * +bit 216 O 1 * +bit 215 O 1 * +bit 214 O 1 * +bit 213 O 1 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 O 1 * +bit 201 O 1 * +bit 200 O 1 * +bit 199 I 1 IO_G5 +bit 198 O 1 IO_G5 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_F5 +bit 195 O 1 IO_F5 194 1 Z +bit 194 C 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 I 1 IO_F1 +bit 186 O 1 IO_F1 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_F2 +bit 183 O 1 IO_F2 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_F3 +bit 180 O 1 IO_F3 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_F4 +bit 177 O 1 IO_F4 176 1 Z +bit 176 C 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 I 1 IO_E1 +bit 168 O 1 IO_E1 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_E2 +bit 165 O 1 IO_E2 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_E3 +bit 162 O 1 IO_E3 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_E4 +bit 159 O 1 IO_E4 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_D2 +bit 156 O 1 IO_D2 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_D3 +bit 153 O 1 IO_D3 152 1 Z +bit 152 C 1 * +bit 151 I 1 IO_D1 +bit 150 O 1 IO_D1 149 1 Z +bit 149 C 1 * +bit 148 I 1 IO_C1 +bit 147 O 1 IO_C1 146 1 Z +bit 146 C 1 * +bit 145 O 1 * +bit 144 I 1 HSWAP_EN_B3 +bit 143 I 1 IO_C4 +bit 142 O 1 IO_C4 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_B4 +bit 139 O 1 IO_B4 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_D5 +bit 136 O 1 IO_D5 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_C5 +bit 133 O 1 IO_C5 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_B5 +bit 130 O 1 IO_B5 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_A5 +bit 127 O 1 IO_A5 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_D6 +bit 124 O 1 IO_D6 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_C6 +bit 121 O 1 IO_C6 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_B6 +bit 118 O 1 IO_B6 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_A6 +bit 115 O 1 IO_A6 114 1 Z +bit 114 C 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 I 1 IO_E6 +bit 100 O 1 IO_E6 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_E7 +bit 97 O 1 IO_E7 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_D7 +bit 94 O 1 IO_D7 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_C7 +bit 91 O 1 IO_C7 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_B7 +bit 88 O 1 IO_B7 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_A7 +bit 85 O 1 IO_A7 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_D8 +bit 82 O 1 IO_D8 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_C8 +bit 79 O 1 IO_C8 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_B8 +bit 76 O 1 IO_B8 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_A8 +bit 73 O 1 IO_A8 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_A9 +bit 70 O 1 IO_A9 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B9 +bit 67 O 1 IO_B9 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_C9 +bit 64 O 1 IO_C9 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_D9 +bit 61 O 1 IO_D9 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_A10 +bit 58 O 1 IO_A10 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_B10 +bit 55 O 1 IO_B10 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_C10 +bit 52 O 1 IO_C10 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_D10 +bit 49 O 1 IO_D10 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_E10 +bit 46 O 1 IO_E10 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_E11 +bit 43 O 1 IO_E11 42 1 Z +bit 42 C 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 I 1 IO_A11 +bit 28 O 1 IO_A11 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B11 +bit 25 O 1 IO_B11 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C11 +bit 22 O 1 IO_C11 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_D11 +bit 19 O 1 IO_D11 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_A12 +bit 16 O 1 IO_A12 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_B12 +bit 13 O 1 IO_B12 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_C12 +bit 10 O 1 IO_C12 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_D12 +bit 7 O 1 IO_D12 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_B13 +bit 4 O 1 IO_B13 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_C13 +bit 1 O 1 IO_C13 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2v80-fg256/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc2v80-fg256/STEPPINGS new file mode 100644 index 0000000..7e942dd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2v80-fg256/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 900 2007-12-30 10:06:50Z arniml $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register +0000 xc2v80-fg256 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc2v80-fg256/xc2v80-fg256 b/sie_fs/usr/local/share/urjtag/xilinx/xc2v80-fg256/xc2v80-fg256 new file mode 100644 index 0000000..4d9a428 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc2v80-fg256/xc2v80-fg256 @@ -0,0 +1,711 @@ +signal CCLK_P15 +signal DONE_R14 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal HSWAP_EN_B3 +signal M0_T2 +signal M1_P2 +signal M2_R3 +signal NOCONNECT1 +signal NOCONNECT2 +signal NOCONNECT3 +signal NOCONNECT4 +signal NOCONNECT5 +signal NOCONNECT6 +signal NOCONNECT7 +signal NOCONNECT8 +signal NOCONNECT9 +signal NOCONNECT10 +signal NOCONNECT11 +signal NOCONNECT12 +signal NOCONNECT13 +signal NOCONNECT14 +signal NOCONNECT15 +signal NOCONNECT16 +signal NOCONNECT17 +signal NOCONNECT18 +signal NOCONNECT19 +signal NOCONNECT20 +signal NOCONNECT21 +signal NOCONNECT22 +signal NOCONNECT23 +signal NOCONNECT24 +signal NOCONNECT25 +signal NOCONNECT26 +signal NOCONNECT27 +signal NOCONNECT28 +signal NOCONNECT29 +signal NOCONNECT30 +signal NOCONNECT31 +signal NOCONNECT32 +signal NOCONNECT33 +signal NOCONNECT34 +signal NOCONNECT35 +signal NOCONNECT36 +signal NOCONNECT37 +signal NOCONNECT38 +signal NOCONNECT39 +signal NOCONNECT40 +signal NOCONNECT41 +signal NOCONNECT42 +signal NOCONNECT43 +signal NOCONNECT44 +signal NOCONNECT45 +signal NOCONNECT46 +signal NOCONNECT47 +signal NOCONNECT48 +signal NOCONNECT49 +signal NOCONNECT50 +signal NOCONNECT51 +signal NOCONNECT52 +signal PROG_B +signal PWRDWN_B +signal RSVD1 +signal RSVD2 +signal RSVD3 +signal TCK +signal TDI +signal TDO +signal TMS +signal VBATT +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A12 +signal IO_B4 +signal IO_B5 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B12 +signal IO_B13 +signal IO_C1 +signal IO_C4 +signal IO_C5 +signal IO_C8 +signal IO_C9 +signal IO_C12 +signal IO_C13 +signal IO_C16 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D8 +signal IO_D9 +signal IO_D12 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_F3 +signal IO_F4 +signal IO_F13 +signal IO_F14 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_J1 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_L3 +signal IO_L4 +signal IO_L13 +signal IO_L14 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M13 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N5 +signal IO_N8 +signal IO_N9 +signal IO_N12 +signal IO_N14 +signal IO_N15 +signal IO_N16 +signal IO_P1 +signal IO_P4 +signal IO_P5 +signal IO_P8 +signal IO_P9 +signal IO_P12 +signal IO_P13 +signal IO_P16 +signal IO_R4 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R13 +signal IO_T3 +signal IO_T4 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T13 + +register BSR 444 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 443 I 1 IO_C16 +bit 442 O 1 IO_C16 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_D16 +bit 439 O 1 IO_D16 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_D14 +bit 436 O 1 IO_D14 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_D15 +bit 433 O 1 IO_D15 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_E13 +bit 430 O 1 IO_E13 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_E14 +bit 427 O 1 IO_E14 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_E15 +bit 424 O 1 IO_E15 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_E16 +bit 421 O 1 IO_E16 420 1 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 I 1 IO_F13 +bit 412 O 1 IO_F13 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_F14 +bit 409 O 1 IO_F14 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_G13 +bit 406 O 1 IO_G13 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_G14 +bit 403 O 1 IO_G14 402 1 Z +bit 402 C 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 I 1 IO_G15 +bit 394 O 1 IO_G15 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_G16 +bit 391 O 1 IO_G16 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_H13 +bit 388 O 1 IO_H13 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_H14 +bit 385 O 1 IO_H14 384 1 Z +bit 384 C 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 I 1 IO_H15 +bit 376 O 1 IO_H15 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_H16 +bit 373 O 1 IO_H16 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_J16 +bit 370 O 1 IO_J16 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_J15 +bit 367 O 1 IO_J15 366 1 Z +bit 366 C 1 * +bit 365 O 1 * +bit 364 O 1 * +bit 363 O 1 * +bit 362 O 1 * +bit 361 O 1 * +bit 360 O 1 * +bit 359 I 1 IO_J14 +bit 358 O 1 IO_J14 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_J13 +bit 355 O 1 IO_J13 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_K16 +bit 352 O 1 IO_K16 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_K15 +bit 349 O 1 IO_K15 348 1 Z +bit 348 C 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 I 1 IO_K14 +bit 340 O 1 IO_K14 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_K13 +bit 337 O 1 IO_K13 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_L14 +bit 334 O 1 IO_L14 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_L13 +bit 331 O 1 IO_L13 330 1 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 I 1 IO_M16 +bit 322 O 1 IO_M16 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_M15 +bit 319 O 1 IO_M15 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_M14 +bit 316 O 1 IO_M14 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_M13 +bit 313 O 1 IO_M13 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_N15 +bit 310 O 1 IO_N15 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_N14 +bit 307 O 1 IO_N14 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_N16 +bit 304 O 1 IO_N16 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_P16 +bit 301 O 1 IO_P16 300 1 Z +bit 300 C 1 * +bit 299 I 1 CCLK_P15 +bit 298 O 1 CCLK_P15 297 1 Z +bit 297 C 1 * +bit 296 O 1 * +bit 295 I 1 DONE_R14 +bit 294 O 1 DONE_R14 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_T14 +bit 291 O 1 IO_T14 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_T13 +bit 288 O 1 IO_T13 287 1 Z +bit 287 C 1 * +bit 286 I 1 IO_P13 +bit 285 O 1 IO_P13 284 1 Z +bit 284 C 1 * +bit 283 I 1 IO_R13 +bit 282 O 1 IO_R13 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_N12 +bit 279 O 1 IO_N12 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_P12 +bit 276 O 1 IO_P12 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_R10 +bit 273 O 1 IO_R10 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_T10 +bit 270 O 1 IO_T10 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_N9 +bit 267 O 1 IO_N9 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_P9 +bit 264 O 1 IO_P9 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_R9 +bit 261 O 1 IO_R9 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_T9 +bit 258 O 1 IO_T9 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_T8 +bit 255 O 1 IO_T8 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_R8 +bit 252 O 1 IO_R8 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_P8 +bit 249 O 1 IO_P8 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_N8 +bit 246 O 1 IO_N8 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_T7 +bit 243 O 1 IO_T7 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_R7 +bit 240 O 1 IO_R7 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_P5 +bit 237 O 1 IO_P5 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_N5 +bit 234 O 1 IO_N5 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_R4 +bit 231 O 1 IO_R4 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_P4 +bit 228 O 1 IO_P4 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_T4 +bit 225 O 1 IO_T4 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_T3 +bit 222 O 1 IO_T3 221 1 Z +bit 221 C 1 * +bit 220 I 1 M2_R3 +bit 219 I 1 M0_T2 +bit 218 I 1 M1_P2 +bit 217 I 1 IO_P1 +bit 216 O 1 IO_P1 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_N1 +bit 213 O 1 IO_N1 212 1 Z +bit 212 C 1 * +bit 211 I 1 IO_N3 +bit 210 O 1 IO_N3 209 1 Z +bit 209 C 1 * +bit 208 I 1 IO_N2 +bit 207 O 1 IO_N2 206 1 Z +bit 206 C 1 * +bit 205 I 1 IO_M4 +bit 204 O 1 IO_M4 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_M3 +bit 201 O 1 IO_M3 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_M2 +bit 198 O 1 IO_M2 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_M1 +bit 195 O 1 IO_M1 194 1 Z +bit 194 C 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 I 1 IO_L4 +bit 186 O 1 IO_L4 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_L3 +bit 183 O 1 IO_L3 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_K4 +bit 180 O 1 IO_K4 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_K3 +bit 177 O 1 IO_K3 176 1 Z +bit 176 C 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 I 1 IO_K2 +bit 168 O 1 IO_K2 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_K1 +bit 165 O 1 IO_K1 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_J4 +bit 162 O 1 IO_J4 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_J3 +bit 159 O 1 IO_J3 158 1 Z +bit 158 C 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 I 1 IO_J2 +bit 150 O 1 IO_J2 149 1 Z +bit 149 C 1 * +bit 148 I 1 IO_J1 +bit 147 O 1 IO_J1 146 1 Z +bit 146 C 1 * +bit 145 I 1 IO_H1 +bit 144 O 1 IO_H1 143 1 Z +bit 143 C 1 * +bit 142 I 1 IO_H2 +bit 141 O 1 IO_H2 140 1 Z +bit 140 C 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 I 1 IO_H3 +bit 132 O 1 IO_H3 131 1 Z +bit 131 C 1 * +bit 130 I 1 IO_H4 +bit 129 O 1 IO_H4 128 1 Z +bit 128 C 1 * +bit 127 I 1 IO_G1 +bit 126 O 1 IO_G1 125 1 Z +bit 125 C 1 * +bit 124 I 1 IO_G2 +bit 123 O 1 IO_G2 122 1 Z +bit 122 C 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 I 1 IO_G3 +bit 114 O 1 IO_G3 113 1 Z +bit 113 C 1 * +bit 112 I 1 IO_G4 +bit 111 O 1 IO_G4 110 1 Z +bit 110 C 1 * +bit 109 I 1 IO_F3 +bit 108 O 1 IO_F3 107 1 Z +bit 107 C 1 * +bit 106 I 1 IO_F4 +bit 105 O 1 IO_F4 104 1 Z +bit 104 C 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 I 1 IO_E1 +bit 96 O 1 IO_E1 95 1 Z +bit 95 C 1 * +bit 94 I 1 IO_E2 +bit 93 O 1 IO_E2 92 1 Z +bit 92 C 1 * +bit 91 I 1 IO_E3 +bit 90 O 1 IO_E3 89 1 Z +bit 89 C 1 * +bit 88 I 1 IO_E4 +bit 87 O 1 IO_E4 86 1 Z +bit 86 C 1 * +bit 85 I 1 IO_D2 +bit 84 O 1 IO_D2 83 1 Z +bit 83 C 1 * +bit 82 I 1 IO_D3 +bit 81 O 1 IO_D3 80 1 Z +bit 80 C 1 * +bit 79 I 1 IO_D1 +bit 78 O 1 IO_D1 77 1 Z +bit 77 C 1 * +bit 76 I 1 IO_C1 +bit 75 O 1 IO_C1 74 1 Z +bit 74 C 1 * +bit 73 O 1 * +bit 72 I 1 HSWAP_EN_B3 +bit 71 I 1 IO_C4 +bit 70 O 1 IO_C4 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B4 +bit 67 O 1 IO_B4 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_D5 +bit 64 O 1 IO_D5 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_C5 +bit 61 O 1 IO_C5 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_B5 +bit 58 O 1 IO_B5 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A5 +bit 55 O 1 IO_A5 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B7 +bit 52 O 1 IO_B7 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_A7 +bit 49 O 1 IO_A7 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D8 +bit 46 O 1 IO_D8 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_C8 +bit 43 O 1 IO_C8 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_B8 +bit 40 O 1 IO_B8 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_A8 +bit 37 O 1 IO_A8 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_A9 +bit 34 O 1 IO_A9 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_B9 +bit 31 O 1 IO_B9 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_C9 +bit 28 O 1 IO_C9 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_D9 +bit 25 O 1 IO_D9 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_A10 +bit 22 O 1 IO_A10 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_B10 +bit 19 O 1 IO_B10 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_A12 +bit 16 O 1 IO_A12 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_B12 +bit 13 O 1 IO_B12 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_C12 +bit 10 O 1 IO_C12 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_D12 +bit 7 O 1 IO_D12 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_B13 +bit 4 O 1 IO_B13 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_C13 +bit 1 O 1 IO_C13 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/STEPPINGS new file mode 100644 index 0000000..3ab8a45 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/STEPPINGS @@ -0,0 +1,2 @@ +0000 xc3s1000 0 +0001 xc3s1000 1 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg320 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg320 new file mode 100644 index 0000000..067e898 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg320 @@ -0,0 +1,250 @@ +# +# $Id: fg320 747 2007-11-10 00:31:50Z arniml $ +# +# JTAG package script for XC3S1000-FG320 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias CCLK_T15 CCLK_PAD349 +salias DONE_R15 DONE_PAD348 +salias HSWAP_EN_E6 HSWAP_EN_PAD70 +salias IO_B3 IO_PAD69 +salias IO_A17 IO_PAD488 +salias IO_C16 IO_PAD482 +salias IO_C17 IO_PAD481 +salias IO_B18 IO_PAD473 +salias IO_C18 IO_PAD471 +salias IO_D17 IO_PAD470 +salias IO_D18 IO_PAD469 +salias IO_D16 IO_PAD467 +salias IO_E16 IO_PAD465 +salias IO_E17 IO_PAD464 +salias IO_E18 IO_PAD463 +salias IO_F15 IO_PAD462 +salias IO_E15 IO_PAD461 +salias IO_F14 IO_PAD459 +salias IO_G14 IO_PAD457 +salias IO_G18 IO_PAD455 +salias IO_F17 IO_PAD453 +salias IO_G15 IO_PAD452 +salias IO_G16 IO_PAD451 +salias IO_H13 IO_PAD446 +salias IO_H14 IO_PAD445 +salias IO_H16 IO_PAD433 +salias IO_H15 IO_PAD431 +salias IO_H17 IO_PAD429 +salias IO_H18 IO_PAD427 +salias IO_J13 IO_PAD424 +salias IO_J18 IO_PAD423 +salias IO_J17 IO_PAD421 +salias IO_J15 IO_PAD419 +salias IO_J14 IO_PAD418 +salias IO_K17 IO_PAD417 +salias IO_K18 IO_PAD416 +salias IO_K13 IO_PAD414 +salias IO_K14 IO_PAD412 +salias IO_K15 IO_PAD411 +salias IO_L18 IO_PAD408 +salias IO_L17 IO_PAD406 +salias IO_L15 IO_PAD404 +salias IO_L16 IO_PAD402 +salias IO_L14 IO_PAD390 +salias IO_B4 IO_PAD48 +salias IO_L13 IO_PAD389 +salias IO_M18 IO_PAD384 +salias IO_N17 IO_PAD383 +salias IO_M15 IO_PAD382 +salias IO_M16 IO_PAD380 +salias IO_M14 IO_PAD378 +salias IO_N14 IO_PAD376 +salias IO_P15 IO_PAD374 +salias IO_C4 IO_PAD46 +salias IO_N15 IO_PAD373 +salias IO_P18 IO_PAD372 +salias IO_P17 IO_PAD371 +salias IO_R17 IO_PAD370 +salias IO_R18 IO_PAD368 +salias IO_P16 IO_PAD366 +salias IO_R16 IO_PAD365 +salias IO_T18 IO_PAD364 +salias IO_U18 IO_PAD362 +salias IO_C5 IO_PAD44 +salias IO_T17 IO_PAD354 +salias IO_T16 IO_PAD353 +salias IO_V17 IO_PAD347 +salias IO_U16 IO_PAD346 +salias IO_V16 IO_PAD345 +salias IO_A2 IO_PAD68 +salias IO_D5 IO_PAD42 +salias IO_P14 IO_PAD337 +salias IO_R14 IO_PAD336 +salias IO_U15 IO_PAD326 +salias IO_V15 IO_PAD324 +salias IO_T14 IO_PAD322 +salias IO_U14 IO_PAD320 +salias IO_A4 IO_PAD40 +salias IO_V14 IO_PAD316 +salias IO_U13 IO_PAD314 +salias IO_A5 IO_PAD39 +salias IO_R13 IO_PAD304 +salias IO_P13 IO_PAD302 +salias IO_P12 IO_PAD301 +salias IO_T12 IO_PAD300 +salias IO_R12 IO_PAD298 +salias IO_V12 IO_PAD296 +salias IO_V11 IO_PAD294 +salias IO_R11 IO_PAD291 +salias IO_T11 IO_PAD290 +salias IO_N11 IO_PAD288 +salias IO_P11 IO_PAD287 +salias IO_R10 IO_PAD286 +salias IO_U10 IO_PAD284 +salias IO_V10 IO_PAD282 +salias IO_N10 IO_PAD281 +salias IO_P10 IO_PAD280 +salias IO_R9 IO_PAD278 +salias IO_N9 IO_PAD277 +salias IO_P9 IO_PAD276 +salias IO_U9 IO_PAD274 +salias IO_V9 IO_PAD272 +salias IO_N8 IO_PAD271 +salias IO_R8 IO_PAD270 +salias IO_T8 IO_PAD268 +salias IO_V8 IO_PAD267 +salias IO_V7 IO_PAD266 +salias IO_P8 IO_PAD264 +salias IO_R7 IO_PAD262 +salias IO_T7 IO_PAD260 +salias IO_D6 IO_PAD36 +salias IO_P6 IO_PAD258 +salias IO_P7 IO_PAD257 +salias IO_U6 IO_PAD256 +salias IO_V5 IO_PAD242 +salias IO_U5 IO_PAD241 +salias IO_R6 IO_PAD240 +salias IO_R5 IO_PAD239 +salias IO_V4 IO_PAD236 +salias IO_U4 IO_PAD234 +salias IO_T5 IO_PAD221 +salias IO_T4 IO_PAD220 +salias IO_V3 IO_PAD211 +salias IO_V2 IO_PAD210 +salias IO_T3 IO_PAD204 +salias IO_T2 IO_PAD203 +salias IO_A3 IO_PAD67 +salias IO_U1 IO_PAD195 +salias IO_T1 IO_PAD193 +salias IO_R2 IO_PAD192 +salias IO_R1 IO_PAD191 +salias IO_R3 IO_PAD189 +salias IO_P3 IO_PAD187 +salias IO_P2 IO_PAD186 +salias IO_P1 IO_PAD185 +salias IO_N4 IO_PAD184 +salias IO_P4 IO_PAD183 +salias IO_N5 IO_PAD181 +salias IO_M5 IO_PAD179 +salias IO_M3 IO_PAD177 +salias IO_M4 IO_PAD175 +salias IO_N2 IO_PAD174 +salias IO_M1 IO_PAD173 +salias IO_L6 IO_PAD168 +salias IO_L5 IO_PAD167 +salias IO_L3 IO_PAD155 +salias IO_L4 IO_PAD153 +salias IO_L2 IO_PAD151 +salias IO_L1 IO_PAD149 +salias IO_B5 IO_PAD26 +salias IO_K6 IO_PAD146 +salias IO_K5 IO_PAD145 +salias IO_K4 IO_PAD143 +salias IO_K1 IO_PAD141 +salias IO_K2 IO_PAD140 +salias IO_J5 IO_PAD139 +salias IO_J4 IO_PAD138 +salias IO_J1 IO_PAD136 +salias IO_J2 IO_PAD134 +salias IO_J6 IO_PAD133 +salias IO_B6 IO_PAD24 +salias IO_H1 IO_PAD130 +salias IO_H2 IO_PAD128 +salias IO_H4 IO_PAD126 +salias IO_H3 IO_PAD124 +salias IO_E7 IO_PAD23 +salias IO_H5 IO_PAD112 +salias IO_H6 IO_PAD111 +salias IO_G4 IO_PAD106 +salias IO_C7 IO_PAD22 +salias IO_G3 IO_PAD105 +salias IO_G1 IO_PAD104 +salias IO_F2 IO_PAD102 +salias IO_G5 IO_PAD100 +salias IO_F5 IO_PAD98 +salias IO_E4 IO_PAD96 +salias IO_F4 IO_PAD95 +salias IO_E2 IO_PAD94 +salias IO_E1 IO_PAD93 +salias IO_E3 IO_PAD92 +salias IO_D7 IO_PAD20 +salias IO_D3 IO_PAD90 +salias IO_D1 IO_PAD88 +salias IO_D2 IO_PAD87 +salias IO_C1 IO_PAD86 +salias IO_B1 IO_PAD84 +salias IO_C8 IO_PAD18 +salias IO_C3 IO_PAD76 +salias IO_C2 IO_PAD75 +salias IO_D8 IO_PAD16 +salias IO_E8 IO_PAD13 +salias IO_F8 IO_PAD12 +salias IO_A7 IO_PAD10 +salias IO_A8 IO_PAD9 +salias IO_D9 IO_PAD8 +salias IO_B9 IO_PAD6 +salias IO_A9 IO_PAD4 +salias IO_E9 IO_PAD3 +salias IO_F9 IO_PAD2 +salias IO_D10 IO_PAD555 +salias IO_E10 IO_PAD554 +salias IO_F10 IO_PAD553 +salias IO_A10 IO_PAD551 +salias IO_B10 IO_PAD549 +salias IO_A11 IO_PAD548 +salias IO_C11 IO_PAD547 +salias IO_D11 IO_PAD545 +salias IO_F11 IO_PAD544 +salias IO_E11 IO_PAD543 +salias IO_A12 IO_PAD541 +salias IO_C12 IO_PAD539 +salias IO_D12 IO_PAD537 +salias IO_E13 IO_PAD535 +salias IO_E12 IO_PAD534 +salias IO_B13 IO_PAD533 +salias IO_D14 IO_PAD528 +salias IO_D13 IO_PAD527 +salias IO_A14 IO_PAD519 +salias IO_B14 IO_PAD518 +salias IO_C14 IO_PAD517 +salias IO_C15 IO_PAD516 +salias IO_A15 IO_PAD513 +salias IO_B15 IO_PAD511 +salias IO_A16 IO_PAD489 +salias M0_P5 M0_PAD412 +salias M1_U3 M1_PAD411 +salias M2_R4 M2_PAD413 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg456 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg456 new file mode 100644 index 0000000..aa492c9 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg456 @@ -0,0 +1,362 @@ +# +# $Id: fg456 747 2007-11-10 00:31:50Z arniml $ +# +# JTAG package script for XC3S1000-FG456 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias CCLK_AA22 CCLK_PAD349 +salias DONE_AB21 DONE_PAD348 +salias HSWAP_EN_B3 HSWAP_EN_PAD70 +salias IO_A3 IO_PAD69 +salias IO_C5 IO_PAD58 +salias IO_B20 IO_PAD488 +salias IO_C20 IO_PAD482 +salias IO_C21 IO_PAD481 +salias IO_C22 IO_PAD474 +salias IO_D20 IO_PAD473 +salias IO_D19 IO_PAD471 +salias IO_D21 IO_PAD470 +salias IO_D22 IO_PAD469 +salias IO_E18 IO_PAD467 +salias IO_F18 IO_PAD465 +salias IO_E19 IO_PAD464 +salias IO_E20 IO_PAD463 +salias IO_E21 IO_PAD462 +salias IO_E22 IO_PAD461 +salias IO_G17 IO_PAD459 +salias IO_G18 IO_PAD457 +salias IO_F19 IO_PAD455 +salias IO_G19 IO_PAD453 +salias IO_F20 IO_PAD452 +salias IO_F21 IO_PAD451 +salias IO_G20 IO_PAD449 +salias IO_H19 IO_PAD447 +salias IO_G21 IO_PAD446 +salias IO_G22 IO_PAD445 +salias IO_H18 IO_PAD444 +salias IO_J17 IO_PAD443 +salias IO_H21 IO_PAD442 +salias IO_H22 IO_PAD441 +salias IO_J18 IO_PAD440 +salias IO_J19 IO_PAD439 +salias IO_J21 IO_PAD438 +salias IO_J22 IO_PAD437 +salias IO_K17 IO_PAD436 +salias IO_K18 IO_PAD435 +salias IO_K19 IO_PAD433 +salias IO_K20 IO_PAD431 +salias IO_K21 IO_PAD429 +salias IO_K22 IO_PAD427 +salias IO_L17 IO_PAD425 +salias IO_L18 IO_PAD424 +salias IO_L19 IO_PAD423 +salias IO_L20 IO_PAD421 +salias IO_L21 IO_PAD419 +salias IO_L22 IO_PAD418 +salias IO_M22 IO_PAD417 +salias IO_M21 IO_PAD416 +salias IO_M20 IO_PAD414 +salias IO_M19 IO_PAD412 +salias IO_M18 IO_PAD411 +salias IO_M17 IO_PAD410 +salias IO_N22 IO_PAD408 +salias IO_N21 IO_PAD406 +salias IO_N20 IO_PAD404 +salias IO_N19 IO_PAD402 +salias IO_N18 IO_PAD400 +salias IO_F6 IO_PAD50 +salias IO_N17 IO_PAD399 +salias IO_P22 IO_PAD398 +salias IO_P21 IO_PAD397 +salias IO_P18 IO_PAD396 +salias IO_P17 IO_PAD395 +salias IO_P19 IO_PAD394 +salias IO_R19 IO_PAD393 +salias IO_R22 IO_PAD392 +salias IO_R21 IO_PAD391 +salias IO_T22 IO_PAD390 +salias IO_B5 IO_PAD48 +salias IO_T21 IO_PAD389 +salias IO_T20 IO_PAD388 +salias IO_T19 IO_PAD386 +salias IO_R18 IO_PAD384 +salias IO_T18 IO_PAD383 +salias IO_U21 IO_PAD382 +salias IO_U20 IO_PAD380 +salias IO_T17 IO_PAD378 +salias IO_U18 IO_PAD376 +salias IO_V22 IO_PAD374 +salias IO_A5 IO_PAD46 +salias IO_V21 IO_PAD373 +salias IO_U19 IO_PAD372 +salias IO_V20 IO_PAD371 +salias IO_W21 IO_PAD370 +salias IO_W20 IO_PAD368 +salias IO_V19 IO_PAD366 +salias IO_W19 IO_PAD365 +salias IO_W22 IO_PAD364 +salias IO_Y22 IO_PAD362 +salias IO_Y21 IO_PAD361 +salias IO_E6 IO_PAD44 +salias IO_Y20 IO_PAD354 +salias IO_Y19 IO_PAD353 +salias IO_V18 IO_PAD347 +salias IO_AA20 IO_PAD346 +salias IO_AB20 IO_PAD345 +salias IO_B4 IO_PAD68 +salias IO_D6 IO_PAD42 +salias IO_AA19 IO_PAD339 +salias IO_AB19 IO_PAD338 +salias IO_W18 IO_PAD337 +salias IO_Y18 IO_PAD336 +salias IO_F7 IO_PAD41 +salias IO_U17 IO_PAD328 +salias IO_AA18 IO_PAD326 +salias IO_AB18 IO_PAD324 +salias IO_V17 IO_PAD322 +salias IO_W17 IO_PAD320 +salias IO_U16 IO_PAD319 +salias IO_Y17 IO_PAD318 +salias IO_AA17 IO_PAD317 +salias IO_C6 IO_PAD40 +salias IO_V16 IO_PAD316 +salias IO_W16 IO_PAD315 +salias IO_Y16 IO_PAD314 +salias IO_AA16 IO_PAD313 +salias IO_AB16 IO_PAD312 +salias IO_V15 IO_PAD310 +salias IO_W15 IO_PAD309 +salias IO_AA15 IO_PAD307 +salias IO_AB15 IO_PAD306 +salias IO_B6 IO_PAD39 +salias IO_U14 IO_PAD304 +salias IO_V14 IO_PAD302 +salias IO_W14 IO_PAD301 +salias IO_AA14 IO_PAD300 +salias IO_AB14 IO_PAD298 +salias IO_U13 IO_PAD296 +salias IO_V13 IO_PAD294 +salias IO_W13 IO_PAD292 +salias IO_Y13 IO_PAD291 +salias IO_AA13 IO_PAD290 +salias IO_E7 IO_PAD38 +salias IO_U12 IO_PAD288 +salias IO_V12 IO_PAD287 +salias IO_AB13 IO_PAD286 +salias IO_W12 IO_PAD284 +salias IO_Y12 IO_PAD282 +salias IO_AA12 IO_PAD281 +salias IO_AB12 IO_PAD280 +salias IO_AB11 IO_PAD278 +salias IO_AA11 IO_PAD277 +salias IO_Y11 IO_PAD276 +salias IO_D7 IO_PAD37 +salias IO_W11 IO_PAD274 +salias IO_V11 IO_PAD272 +salias IO_U11 IO_PAD271 +salias IO_AB10 IO_PAD270 +salias IO_AA10 IO_PAD268 +salias IO_Y10 IO_PAD267 +salias IO_W10 IO_PAD266 +salias IO_V10 IO_PAD264 +salias IO_AB9 IO_PAD262 +salias IO_AA9 IO_PAD260 +salias IO_C7 IO_PAD36 +salias IO_W9 IO_PAD258 +salias IO_V9 IO_PAD257 +salias IO_U10 IO_PAD256 +salias IO_AB8 IO_PAD254 +salias IO_AA8 IO_PAD252 +salias IO_W8 IO_PAD251 +salias IO_V8 IO_PAD250 +salias IO_U9 IO_PAD249 +salias IO_AB7 IO_PAD248 +salias IO_AA7 IO_PAD246 +salias IO_B7 IO_PAD35 +salias IO_Y7 IO_PAD245 +salias IO_W7 IO_PAD244 +salias IO_V7 IO_PAD243 +salias IO_AA6 IO_PAD242 +salias IO_Y6 IO_PAD241 +salias IO_W6 IO_PAD240 +salias IO_V6 IO_PAD239 +salias IO_U7 IO_PAD238 +salias IO_AB5 IO_PAD236 +salias IO_AA5 IO_PAD234 +salias IO_A7 IO_PAD34 +salias IO_Y5 IO_PAD232 +salias IO_W5 IO_PAD230 +salias IO_U6 IO_PAD222 +salias IO_AB4 IO_PAD221 +salias IO_AA4 IO_PAD220 +salias IO_E8 IO_PAD32 +salias IO_Y4 IO_PAD211 +salias IO_AA3 IO_PAD210 +salias IO_Y3 IO_PAD204 +salias IO_Y2 IO_PAD203 +salias IO_A4 IO_PAD67 +salias IO_D8 IO_PAD31 +salias IO_Y1 IO_PAD196 +salias IO_W4 IO_PAD195 +salias IO_W3 IO_PAD193 +salias IO_W2 IO_PAD192 +salias IO_W1 IO_PAD191 +salias IO_V5 IO_PAD189 +salias IO_U5 IO_PAD187 +salias IO_V4 IO_PAD186 +salias IO_V3 IO_PAD185 +salias IO_V2 IO_PAD184 +salias IO_V1 IO_PAD183 +salias IO_T6 IO_PAD181 +salias IO_T5 IO_PAD179 +salias IO_U4 IO_PAD177 +salias IO_T4 IO_PAD175 +salias IO_U3 IO_PAD174 +salias IO_B8 IO_PAD29 +salias IO_U2 IO_PAD173 +salias IO_T3 IO_PAD171 +salias IO_R4 IO_PAD169 +salias IO_T2 IO_PAD168 +salias IO_T1 IO_PAD167 +salias IO_R5 IO_PAD166 +salias IO_P6 IO_PAD165 +salias IO_R2 IO_PAD164 +salias IO_R1 IO_PAD163 +salias IO_P5 IO_PAD162 +salias IO_A8 IO_PAD28 +salias IO_P4 IO_PAD161 +salias IO_P2 IO_PAD160 +salias IO_P1 IO_PAD159 +salias IO_N6 IO_PAD158 +salias IO_N5 IO_PAD157 +salias IO_N4 IO_PAD155 +salias IO_N3 IO_PAD153 +salias IO_N2 IO_PAD151 +salias IO_N1 IO_PAD149 +salias IO_M6 IO_PAD147 +salias IO_F9 IO_PAD26 +salias IO_M5 IO_PAD146 +salias IO_M4 IO_PAD145 +salias IO_M3 IO_PAD143 +salias IO_M2 IO_PAD141 +salias IO_M1 IO_PAD140 +salias IO_L1 IO_PAD139 +salias IO_L2 IO_PAD138 +salias IO_L3 IO_PAD136 +salias IO_L4 IO_PAD134 +salias IO_L5 IO_PAD133 +salias IO_E9 IO_PAD24 +salias IO_L6 IO_PAD132 +salias IO_K1 IO_PAD130 +salias IO_K2 IO_PAD128 +salias IO_K3 IO_PAD126 +salias IO_K4 IO_PAD124 +salias IO_K5 IO_PAD122 +salias IO_K6 IO_PAD121 +salias IO_J1 IO_PAD120 +salias IO_J2 IO_PAD119 +salias IO_J5 IO_PAD118 +salias IO_D9 IO_PAD23 +salias IO_J6 IO_PAD117 +salias IO_J4 IO_PAD116 +salias IO_H4 IO_PAD115 +salias IO_H1 IO_PAD114 +salias IO_H2 IO_PAD113 +salias IO_G1 IO_PAD112 +salias IO_G2 IO_PAD111 +salias IO_G3 IO_PAD110 +salias IO_G4 IO_PAD108 +salias IO_H5 IO_PAD106 +salias IO_B9 IO_PAD22 +salias IO_G5 IO_PAD105 +salias IO_F2 IO_PAD104 +salias IO_F3 IO_PAD102 +salias IO_G6 IO_PAD100 +salias IO_F5 IO_PAD98 +salias IO_E1 IO_PAD96 +salias IO_E2 IO_PAD95 +salias IO_F4 IO_PAD94 +salias IO_E3 IO_PAD93 +salias IO_D3 IO_PAD92 +salias IO_A9 IO_PAD20 +salias IO_D2 IO_PAD90 +salias IO_E4 IO_PAD88 +salias IO_D4 IO_PAD87 +salias IO_D1 IO_PAD86 +salias IO_C1 IO_PAD84 +salias IO_C2 IO_PAD83 +salias IO_F10 IO_PAD18 +salias IO_C3 IO_PAD76 +salias IO_C4 IO_PAD75 +salias IO_E10 IO_PAD16 +salias IO_D10 IO_PAD14 +salias IO_C10 IO_PAD13 +salias IO_B10 IO_PAD12 +salias IO_F11 IO_PAD10 +salias IO_E11 IO_PAD9 +salias IO_A10 IO_PAD8 +salias IO_D11 IO_PAD6 +salias IO_C11 IO_PAD4 +salias IO_B11 IO_PAD3 +salias IO_A11 IO_PAD2 +salias IO_A12 IO_PAD555 +salias IO_B12 IO_PAD554 +salias IO_C12 IO_PAD553 +salias IO_D12 IO_PAD551 +salias IO_E12 IO_PAD549 +salias IO_F12 IO_PAD548 +salias IO_A13 IO_PAD547 +salias IO_B13 IO_PAD545 +salias IO_C13 IO_PAD544 +salias IO_D13 IO_PAD543 +salias IO_E13 IO_PAD541 +salias IO_A14 IO_PAD539 +salias IO_B14 IO_PAD537 +salias IO_D14 IO_PAD535 +salias IO_E14 IO_PAD534 +salias IO_F13 IO_PAD533 +salias IO_B15 IO_PAD531 +salias IO_A15 IO_PAD529 +salias IO_D15 IO_PAD528 +salias IO_E15 IO_PAD527 +salias IO_F14 IO_PAD526 +salias IO_A16 IO_PAD525 +salias IO_B16 IO_PAD523 +salias IO_C16 IO_PAD522 +salias IO_D16 IO_PAD521 +salias IO_E16 IO_PAD520 +salias IO_B17 IO_PAD519 +salias IO_C17 IO_PAD518 +salias IO_D17 IO_PAD517 +salias IO_E5 IO_PAD60 +salias IO_E17 IO_PAD516 +salias IO_F16 IO_PAD515 +salias IO_A18 IO_PAD513 +salias IO_B18 IO_PAD511 +salias IO_C18 IO_PAD509 +salias IO_D18 IO_PAD507 +salias IO_D5 IO_PAD59 +salias IO_F17 IO_PAD499 +salias IO_A19 IO_PAD498 +salias IO_B19 IO_PAD497 +salias IO_C19 IO_PAD489 +salias M0_AB2 M0_PAD412 +salias M1_AA1 M1_PAD411 +salias M2_AB3 M2_PAD413 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg676 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg676 new file mode 100644 index 0000000..26a3684 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/fg676 @@ -0,0 +1,420 @@ +# +# $Id: fg676 747 2007-11-10 00:31:50Z arniml $ +# +# JTAG package script for XC3S1000-FG676 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias CCLK_AD26 CCLK_PAD349 +salias DONE_AC24 DONE_PAD348 +salias HSWAP_EN_C2 HSWAP_EN_PAD70 +salias IO_B3 IO_PAD69 +salias IO_B5 IO_PAD58 +salias IO_E22 IO_PAD488 +salias IO_C25 IO_PAD482 +salias IO_C26 IO_PAD481 +salias IO_E23 IO_PAD480 +salias IO_E24 IO_PAD479 +salias IO_D25 IO_PAD478 +salias IO_D26 IO_PAD477 +salias IO_H20 IO_PAD475 +salias IO_H21 IO_PAD474 +salias IO_H22 IO_PAD473 +salias IO_A5 IO_PAD56 +salias IO_J21 IO_PAD471 +salias IO_H23 IO_PAD470 +salias IO_H24 IO_PAD469 +salias IO_H25 IO_PAD467 +salias IO_H26 IO_PAD465 +salias IO_J20 IO_PAD464 +salias IO_K20 IO_PAD463 +salias IO_J22 IO_PAD462 +salias IO_J23 IO_PAD461 +salias IO_J24 IO_PAD459 +salias IO_E6 IO_PAD54 +salias IO_J25 IO_PAD457 +salias IO_K21 IO_PAD455 +salias IO_K22 IO_PAD453 +salias IO_K23 IO_PAD452 +salias IO_K24 IO_PAD451 +salias IO_K25 IO_PAD449 +salias IO_K26 IO_PAD447 +salias IO_L19 IO_PAD446 +salias IO_L20 IO_PAD445 +salias IO_L21 IO_PAD444 +salias IO_D6 IO_PAD53 +salias IO_L22 IO_PAD443 +salias IO_L25 IO_PAD442 +salias IO_L26 IO_PAD441 +salias IO_M19 IO_PAD440 +salias IO_M20 IO_PAD439 +salias IO_M21 IO_PAD438 +salias IO_M22 IO_PAD437 +salias IO_L23 IO_PAD436 +salias IO_M24 IO_PAD435 +salias IO_M25 IO_PAD433 +salias IO_C6 IO_PAD52 +salias IO_M26 IO_PAD431 +salias IO_N19 IO_PAD429 +salias IO_N20 IO_PAD427 +salias IO_N21 IO_PAD425 +salias IO_N22 IO_PAD424 +salias IO_N23 IO_PAD423 +salias IO_N24 IO_PAD421 +salias IO_N25 IO_PAD419 +salias IO_N26 IO_PAD418 +salias IO_P26 IO_PAD417 +salias IO_B6 IO_PAD51 +salias IO_P25 IO_PAD416 +salias IO_P24 IO_PAD414 +salias IO_P23 IO_PAD412 +salias IO_P22 IO_PAD411 +salias IO_P21 IO_PAD410 +salias IO_P20 IO_PAD408 +salias IO_P19 IO_PAD406 +salias IO_R26 IO_PAD404 +salias IO_R25 IO_PAD402 +salias IO_R24 IO_PAD400 +salias IO_A6 IO_PAD50 +salias IO_T23 IO_PAD399 +salias IO_R22 IO_PAD398 +salias IO_R21 IO_PAD397 +salias IO_R20 IO_PAD396 +salias IO_R19 IO_PAD395 +salias IO_T26 IO_PAD394 +salias IO_T25 IO_PAD393 +salias IO_T22 IO_PAD392 +salias IO_T21 IO_PAD391 +salias IO_T20 IO_PAD390 +salias IO_E7 IO_PAD48 +salias IO_T19 IO_PAD389 +salias IO_U26 IO_PAD388 +salias IO_U25 IO_PAD386 +salias IO_U24 IO_PAD384 +salias IO_U23 IO_PAD383 +salias IO_U22 IO_PAD382 +salias IO_U21 IO_PAD380 +salias IO_V25 IO_PAD378 +salias IO_V24 IO_PAD376 +salias IO_V23 IO_PAD374 +salias IO_D7 IO_PAD46 +salias IO_V22 IO_PAD373 +salias IO_U20 IO_PAD372 +salias IO_V20 IO_PAD371 +salias IO_W26 IO_PAD370 +salias IO_W25 IO_PAD368 +salias IO_W24 IO_PAD366 +salias IO_W23 IO_PAD365 +salias IO_V21 IO_PAD364 +salias IO_W22 IO_PAD362 +salias IO_Y26 IO_PAD361 +salias IO_B7 IO_PAD44 +salias IO_Y25 IO_PAD360 +salias IO_AC26 IO_PAD358 +salias IO_AC25 IO_PAD357 +salias IO_AB24 IO_PAD356 +salias IO_AB23 IO_PAD355 +salias IO_AA22 IO_PAD354 +salias IO_AA21 IO_PAD353 +salias IO_AD25 IO_PAD347 +salias IO_AB22 IO_PAD346 +salias IO_AC22 IO_PAD345 +salias IO_E5 IO_PAD68 +salias IO_A7 IO_PAD42 +salias IO_AE24 IO_PAD343 +salias IO_AF24 IO_PAD342 +salias IO_AD23 IO_PAD340 +salias IO_AE23 IO_PAD339 +salias IO_AF23 IO_PAD338 +salias IO_AD22 IO_PAD337 +salias IO_AE22 IO_PAD336 +salias IO_AF22 IO_PAD334 +salias IO_AB21 IO_PAD332 +salias IO_AC21 IO_PAD331 +salias IO_F7 IO_PAD41 +salias IO_AD21 IO_PAD330 +salias IO_AE21 IO_PAD329 +salias IO_AF21 IO_PAD328 +salias IO_AB20 IO_PAD326 +salias IO_AC20 IO_PAD324 +salias IO_AE20 IO_PAD322 +salias IO_AF20 IO_PAD320 +salias IO_AA20 IO_PAD319 +salias IO_AE19 IO_PAD318 +salias IO_AF19 IO_PAD317 +salias IO_B8 IO_PAD40 +salias IO_Y18 IO_PAD316 +salias IO_AA18 IO_PAD315 +salias IO_Y17 IO_PAD314 +salias IO_AC17 IO_PAD313 +salias IO_AA17 IO_PAD312 +salias IO_AD17 IO_PAD310 +salias IO_AB17 IO_PAD309 +salias IO_W16 IO_PAD308 +salias IO_Y16 IO_PAD307 +salias IO_AA16 IO_PAD306 +salias IO_A8 IO_PAD39 +salias IO_AB16 IO_PAD304 +salias IO_AC16 IO_PAD302 +salias IO_W15 IO_PAD301 +salias IO_Y15 IO_PAD300 +salias IO_W14 IO_PAD298 +salias IO_AA15 IO_PAD296 +salias IO_AB15 IO_PAD294 +salias IO_AD15 IO_PAD292 +salias IO_AE15 IO_PAD291 +salias IO_AF15 IO_PAD290 +salias IO_G9 IO_PAD38 +salias IO_Y14 IO_PAD288 +salias IO_AA14 IO_PAD287 +salias IO_AB14 IO_PAD286 +salias IO_AC14 IO_PAD284 +salias IO_AD14 IO_PAD282 +salias IO_AE14 IO_PAD281 +salias IO_AF14 IO_PAD280 +salias IO_AF13 IO_PAD278 +salias IO_AE13 IO_PAD277 +salias IO_AD13 IO_PAD276 +salias IO_F9 IO_PAD37 +salias IO_AC13 IO_PAD274 +salias IO_AB13 IO_PAD272 +salias IO_AA13 IO_PAD271 +salias IO_Y13 IO_PAD270 +salias IO_W13 IO_PAD268 +salias IO_AF12 IO_PAD267 +salias IO_AE12 IO_PAD266 +salias IO_AD12 IO_PAD264 +salias IO_AB12 IO_PAD262 +salias IO_AA12 IO_PAD260 +salias IO_G10 IO_PAD36 +salias IO_Y12 IO_PAD258 +salias IO_W12 IO_PAD257 +salias IO_AC11 IO_PAD256 +salias IO_AB11 IO_PAD254 +salias IO_AA11 IO_PAD252 +salias IO_Y11 IO_PAD251 +salias IO_W11 IO_PAD250 +salias IO_AD10 IO_PAD249 +salias IO_AC10 IO_PAD248 +salias IO_AB10 IO_PAD246 +salias IO_F10 IO_PAD35 +salias IO_AA10 IO_PAD245 +salias IO_Y10 IO_PAD244 +salias IO_AB9 IO_PAD243 +salias IO_AA9 IO_PAD242 +salias IO_Y9 IO_PAD241 +salias IO_AF8 IO_PAD240 +salias IO_AE8 IO_PAD239 +salias IO_Y8 IO_PAD238 +salias IO_AF7 IO_PAD236 +salias IO_AE7 IO_PAD234 +salias IO_E10 IO_PAD34 +salias IO_AC7 IO_PAD232 +salias IO_AB7 IO_PAD230 +salias IO_AA7 IO_PAD229 +salias IO_AF6 IO_PAD228 +salias IO_AE6 IO_PAD227 +salias IO_AD6 IO_PAD226 +salias IO_AC6 IO_PAD224 +salias IO_AF5 IO_PAD222 +salias IO_AE5 IO_PAD221 +salias IO_AD5 IO_PAD220 +salias IO_D10 IO_PAD32 +salias IO_AB6 IO_PAD219 +salias IO_AA6 IO_PAD218 +salias IO_AF4 IO_PAD216 +salias IO_AE4 IO_PAD215 +salias IO_AD4 IO_PAD214 +salias IO_AC5 IO_PAD211 +salias IO_AB5 IO_PAD210 +salias IO_AD2 IO_PAD204 +salias IO_AD1 IO_PAD203 +salias IO_AB4 IO_PAD202 +salias IO_D5 IO_PAD67 +salias IO_C10 IO_PAD31 +salias IO_AB3 IO_PAD201 +salias IO_AC2 IO_PAD200 +salias IO_AC1 IO_PAD199 +salias IO_W7 IO_PAD197 +salias IO_W6 IO_PAD196 +salias IO_V6 IO_PAD195 +salias IO_W5 IO_PAD193 +salias IO_W4 IO_PAD192 +salias IO_W3 IO_PAD191 +salias IO_W2 IO_PAD189 +salias IO_H11 IO_PAD30 +salias IO_W1 IO_PAD187 +salias IO_V7 IO_PAD186 +salias IO_U7 IO_PAD185 +salias IO_V5 IO_PAD184 +salias IO_V4 IO_PAD183 +salias IO_V3 IO_PAD181 +salias IO_V2 IO_PAD179 +salias IO_U6 IO_PAD177 +salias IO_U5 IO_PAD175 +salias IO_U4 IO_PAD174 +salias IO_G11 IO_PAD29 +salias IO_U3 IO_PAD173 +salias IO_U2 IO_PAD171 +salias IO_U1 IO_PAD169 +salias IO_T8 IO_PAD168 +salias IO_T7 IO_PAD167 +salias IO_T6 IO_PAD166 +salias IO_T5 IO_PAD165 +salias IO_T2 IO_PAD164 +salias IO_T1 IO_PAD163 +salias IO_R8 IO_PAD162 +salias IO_F11 IO_PAD28 +salias IO_R7 IO_PAD161 +salias IO_R6 IO_PAD160 +salias IO_R5 IO_PAD159 +salias IO_T4 IO_PAD158 +salias IO_R3 IO_PAD157 +salias IO_R2 IO_PAD155 +salias IO_R1 IO_PAD153 +salias IO_P8 IO_PAD151 +salias IO_P7 IO_PAD149 +salias IO_P6 IO_PAD147 +salias IO_E11 IO_PAD26 +salias IO_P5 IO_PAD146 +salias IO_P4 IO_PAD145 +salias IO_P3 IO_PAD143 +salias IO_P2 IO_PAD141 +salias IO_P1 IO_PAD140 +salias IO_N1 IO_PAD139 +salias IO_N2 IO_PAD138 +salias IO_N3 IO_PAD136 +salias IO_N4 IO_PAD134 +salias IO_N5 IO_PAD133 +salias IO_D11 IO_PAD24 +salias IO_N6 IO_PAD132 +salias IO_N7 IO_PAD130 +salias IO_N8 IO_PAD128 +salias IO_M1 IO_PAD126 +salias IO_M2 IO_PAD124 +salias IO_M3 IO_PAD122 +salias IO_L4 IO_PAD121 +salias IO_M6 IO_PAD120 +salias IO_M5 IO_PAD119 +salias IO_M7 IO_PAD118 +salias IO_H12 IO_PAD23 +salias IO_M8 IO_PAD117 +salias IO_L1 IO_PAD116 +salias IO_L2 IO_PAD115 +salias IO_L5 IO_PAD114 +salias IO_L6 IO_PAD113 +salias IO_L7 IO_PAD112 +salias IO_L8 IO_PAD111 +salias IO_K1 IO_PAD110 +salias IO_K2 IO_PAD108 +salias IO_K3 IO_PAD106 +salias IO_G12 IO_PAD22 +salias IO_K4 IO_PAD105 +salias IO_K5 IO_PAD104 +salias IO_K6 IO_PAD102 +salias IO_J2 IO_PAD100 +salias IO_J3 IO_PAD98 +salias IO_J4 IO_PAD96 +salias IO_J5 IO_PAD95 +salias IO_K7 IO_PAD94 +salias IO_J7 IO_PAD93 +salias IO_H1 IO_PAD92 +salias IO_H13 IO_PAD20 +salias IO_H2 IO_PAD90 +salias IO_H3 IO_PAD88 +salias IO_H4 IO_PAD87 +salias IO_J6 IO_PAD86 +salias IO_H5 IO_PAD84 +salias IO_G1 IO_PAD83 +salias IO_G2 IO_PAD82 +salias IO_D1 IO_PAD80 +salias IO_D2 IO_PAD79 +salias IO_E3 IO_PAD78 +salias IO_F12 IO_PAD18 +salias IO_E4 IO_PAD77 +salias IO_F5 IO_PAD76 +salias IO_F6 IO_PAD75 +salias IO_E12 IO_PAD16 +salias IO_C12 IO_PAD14 +salias IO_B12 IO_PAD13 +salias IO_A12 IO_PAD12 +salias IO_G13 IO_PAD10 +salias IO_F13 IO_PAD9 +salias IO_E13 IO_PAD8 +salias IO_D13 IO_PAD6 +salias IO_C13 IO_PAD4 +salias IO_B13 IO_PAD3 +salias IO_A3 IO_PAD64 +salias IO_A13 IO_PAD2 +salias IO_A14 IO_PAD555 +salias IO_B14 IO_PAD554 +salias IO_C14 IO_PAD553 +salias IO_D14 IO_PAD551 +salias IO_E14 IO_PAD549 +salias IO_F14 IO_PAD548 +salias IO_G14 IO_PAD547 +salias IO_H14 IO_PAD545 +salias IO_A15 IO_PAD544 +salias IO_C4 IO_PAD62 +salias IO_B15 IO_PAD543 +salias IO_C15 IO_PAD541 +salias IO_E15 IO_PAD539 +salias IO_F15 IO_PAD537 +salias IO_G15 IO_PAD535 +salias IO_H15 IO_PAD534 +salias IO_D16 IO_PAD533 +salias IO_E16 IO_PAD531 +salias IO_F16 IO_PAD529 +salias IO_G16 IO_PAD528 +salias IO_B4 IO_PAD61 +salias IO_H16 IO_PAD527 +salias IO_C17 IO_PAD526 +salias IO_D17 IO_PAD525 +salias IO_E17 IO_PAD523 +salias IO_F17 IO_PAD522 +salias IO_G17 IO_PAD521 +salias IO_E18 IO_PAD520 +salias IO_F18 IO_PAD519 +salias IO_G18 IO_PAD518 +salias IO_A19 IO_PAD517 +salias IO_A4 IO_PAD60 +salias IO_B19 IO_PAD516 +salias IO_G19 IO_PAD515 +salias IO_A20 IO_PAD513 +salias IO_B20 IO_PAD511 +salias IO_D20 IO_PAD509 +salias IO_E20 IO_PAD507 +salias IO_F20 IO_PAD506 +salias IO_A21 IO_PAD505 +salias IO_B21 IO_PAD504 +salias IO_C21 IO_PAD503 +salias IO_C5 IO_PAD59 +salias IO_D21 IO_PAD501 +salias IO_A22 IO_PAD499 +salias IO_B22 IO_PAD498 +salias IO_C22 IO_PAD497 +salias IO_E21 IO_PAD496 +salias IO_F21 IO_PAD495 +salias IO_A23 IO_PAD493 +salias IO_B23 IO_PAD492 +salias IO_C23 IO_PAD491 +salias IO_D22 IO_PAD489 +salias M0_AE3 M0_PAD412 +salias M1_AC3 M1_PAD411 +salias M2_AF3 M2_PAD413 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/ft256 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/ft256 new file mode 100644 index 0000000..030dd0c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/ft256 @@ -0,0 +1,202 @@ +# +# $Id: ft256 747 2007-11-10 00:31:50Z arniml $ +# +# JTAG package script for XC3S1000-FT256 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# + +salias CCLK_T15 CCLK_PAD349 +salias DONE_R14 DONE_PAD348 +salias HSWAP_EN_C4 HSWAP_EN_PAD70 +salias IO_A3 IO_PAD69 +salias IO_B14 IO_PAD488 +salias IO_B16 IO_PAD482 +salias IO_C16 IO_PAD481 +salias IO_C15 IO_PAD473 +salias IO_D14 IO_PAD471 +salias IO_D15 IO_PAD470 +salias IO_D16 IO_PAD469 +salias IO_E13 IO_PAD467 +salias IO_E14 IO_PAD465 +salias IO_E15 IO_PAD464 +salias IO_E16 IO_PAD463 +salias IO_F12 IO_PAD462 +salias IO_F13 IO_PAD461 +salias IO_F14 IO_PAD459 +salias IO_F15 IO_PAD457 +salias IO_G12 IO_PAD455 +salias IO_G13 IO_PAD453 +salias IO_G14 IO_PAD452 +salias IO_G15 IO_PAD451 +salias IO_G16 IO_PAD424 +salias IO_H13 IO_PAD423 +salias IO_H14 IO_PAD421 +salias IO_H15 IO_PAD419 +salias IO_H16 IO_PAD418 +salias IO_J16 IO_PAD417 +salias IO_K16 IO_PAD416 +salias IO_J14 IO_PAD414 +salias IO_J13 IO_PAD412 +salias IO_K15 IO_PAD411 +salias IO_K14 IO_PAD384 +salias IO_K13 IO_PAD383 +salias IO_K12 IO_PAD382 +salias IO_L12 IO_PAD380 +salias IO_L15 IO_PAD378 +salias IO_L14 IO_PAD376 +salias IO_L13 IO_PAD374 +salias IO_M13 IO_PAD373 +salias IO_M16 IO_PAD372 +salias IO_M15 IO_PAD371 +salias IO_M14 IO_PAD370 +salias IO_N14 IO_PAD368 +salias IO_N16 IO_PAD366 +salias IO_N15 IO_PAD365 +salias IO_P15 IO_PAD364 +salias IO_P14 IO_PAD362 +salias IO_P16 IO_PAD354 +salias IO_R16 IO_PAD353 +salias IO_P13 IO_PAD347 +salias IO_R13 IO_PAD346 +salias IO_T13 IO_PAD345 +salias IO_B4 IO_PAD68 +salias IO_T14 IO_PAD316 +salias IO_N12 IO_PAD314 +salias IO_P12 IO_PAD304 +salias IO_R12 IO_PAD302 +salias IO_T12 IO_PAD301 +salias IO_M11 IO_PAD300 +salias IO_N11 IO_PAD298 +salias IO_P11 IO_PAD296 +salias IO_R11 IO_PAD294 +salias IO_M10 IO_PAD291 +salias IO_N10 IO_PAD290 +salias IO_P10 IO_PAD288 +salias IO_R10 IO_PAD287 +salias IO_T10 IO_PAD286 +salias IO_N9 IO_PAD284 +salias IO_P9 IO_PAD282 +salias IO_R9 IO_PAD281 +salias IO_T9 IO_PAD280 +salias IO_T8 IO_PAD278 +salias IO_P8 IO_PAD277 +salias IO_N8 IO_PAD276 +salias IO_T7 IO_PAD274 +salias IO_R7 IO_PAD272 +salias IO_P7 IO_PAD271 +salias IO_N7 IO_PAD270 +salias IO_M7 IO_PAD268 +salias IO_R6 IO_PAD267 +salias IO_P6 IO_PAD266 +salias IO_N5 IO_PAD264 +salias IO_N6 IO_PAD262 +salias IO_M6 IO_PAD260 +salias IO_D5 IO_PAD36 +salias IO_R5 IO_PAD258 +salias IO_P5 IO_PAD257 +salias IO_T5 IO_PAD256 +salias IO_T4 IO_PAD236 +salias IO_R4 IO_PAD234 +salias IO_T3 IO_PAD211 +salias IO_R3 IO_PAD210 +salias IO_R1 IO_PAD204 +salias IO_P1 IO_PAD203 +salias IO_A4 IO_PAD67 +salias IO_P2 IO_PAD195 +salias IO_N3 IO_PAD193 +salias IO_N2 IO_PAD192 +salias IO_N1 IO_PAD191 +salias IO_M4 IO_PAD189 +salias IO_M3 IO_PAD187 +salias IO_M2 IO_PAD186 +salias IO_M1 IO_PAD185 +salias IO_L5 IO_PAD184 +salias IO_L4 IO_PAD183 +salias IO_L3 IO_PAD181 +salias IO_L2 IO_PAD179 +salias IO_K5 IO_PAD177 +salias IO_K4 IO_PAD175 +salias IO_K3 IO_PAD174 +salias IO_K2 IO_PAD173 +salias IO_C5 IO_PAD26 +salias IO_K1 IO_PAD146 +salias IO_J4 IO_PAD145 +salias IO_J3 IO_PAD143 +salias IO_J2 IO_PAD141 +salias IO_J1 IO_PAD140 +salias IO_H1 IO_PAD139 +salias IO_G1 IO_PAD138 +salias IO_H3 IO_PAD136 +salias IO_H4 IO_PAD134 +salias IO_G2 IO_PAD133 +salias IO_B5 IO_PAD24 +salias IO_A5 IO_PAD23 +salias IO_G3 IO_PAD106 +salias IO_E6 IO_PAD22 +salias IO_G4 IO_PAD105 +salias IO_G5 IO_PAD104 +salias IO_F5 IO_PAD102 +salias IO_F2 IO_PAD100 +salias IO_F3 IO_PAD98 +salias IO_F4 IO_PAD96 +salias IO_E4 IO_PAD95 +salias IO_E1 IO_PAD94 +salias IO_E2 IO_PAD93 +salias IO_E3 IO_PAD92 +salias IO_D6 IO_PAD20 +salias IO_D3 IO_PAD90 +salias IO_D1 IO_PAD88 +salias IO_D2 IO_PAD87 +salias IO_C2 IO_PAD86 +salias IO_C3 IO_PAD84 +salias IO_C6 IO_PAD18 +salias IO_C1 IO_PAD76 +salias IO_B1 IO_PAD75 +salias IO_B6 IO_PAD16 +salias IO_E7 IO_PAD13 +salias IO_D7 IO_PAD12 +salias IO_C7 IO_PAD10 +salias IO_B7 IO_PAD9 +salias IO_A7 IO_PAD8 +salias IO_D8 IO_PAD6 +salias IO_C8 IO_PAD4 +salias IO_B8 IO_PAD3 +salias IO_A8 IO_PAD2 +salias IO_A9 IO_PAD555 +salias IO_C9 IO_PAD554 +salias IO_D9 IO_PAD553 +salias IO_A10 IO_PAD551 +salias IO_B10 IO_PAD549 +salias IO_C10 IO_PAD548 +salias IO_D10 IO_PAD547 +salias IO_E10 IO_PAD545 +salias IO_B11 IO_PAD544 +salias IO_C11 IO_PAD543 +salias IO_D12 IO_PAD541 +salias IO_D11 IO_PAD539 +salias IO_E11 IO_PAD537 +salias IO_B12 IO_PAD535 +salias IO_C12 IO_PAD534 +salias IO_A12 IO_PAD533 +salias IO_A13 IO_PAD513 +salias IO_B13 IO_PAD511 +salias IO_A14 IO_PAD489 +salias M0_P3 M0_PAD412 +salias M1_T2 M1_PAD411 +salias M2_P4 M2_PAD413 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/xc3s1000 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/xc3s1000 new file mode 100644 index 0000000..ed14d9a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1000/xc3s1000 @@ -0,0 +1,1629 @@ +# +# $Id: xc3s1000 747 2007-11-10 00:31:50Z arniml $ +# +# JTAG declarations for XC3S1000 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Arnim Laeuger +# +# Note: +# The signals defined in this file are generic for any XC3S1000. +# Signalnames according to Xilinx' package tables are set in the +# package specific scripts. +# + +signal CCLK_PAD349 +signal DONE_PAD348 +signal HSWAP_EN_PAD70 +signal IO_PAD10 +signal IO_PAD100 +signal IO_PAD102 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD106 +signal IO_PAD108 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD112 +signal IO_PAD113 +signal IO_PAD114 +signal IO_PAD115 +signal IO_PAD116 +signal IO_PAD117 +signal IO_PAD118 +signal IO_PAD119 +signal IO_PAD12 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD124 +signal IO_PAD126 +signal IO_PAD128 +signal IO_PAD13 +signal IO_PAD130 +signal IO_PAD132 +signal IO_PAD133 +signal IO_PAD134 +signal IO_PAD136 +signal IO_PAD138 +signal IO_PAD139 +signal IO_PAD14 +signal IO_PAD140 +signal IO_PAD141 +signal IO_PAD143 +signal IO_PAD145 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD149 +signal IO_PAD151 +signal IO_PAD153 +signal IO_PAD155 +signal IO_PAD157 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD16 +signal IO_PAD160 +signal IO_PAD161 +signal IO_PAD162 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD165 +signal IO_PAD166 +signal IO_PAD167 +signal IO_PAD168 +signal IO_PAD169 +signal IO_PAD171 +signal IO_PAD173 +signal IO_PAD174 +signal IO_PAD175 +signal IO_PAD177 +signal IO_PAD179 +signal IO_PAD18 +signal IO_PAD181 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD185 +signal IO_PAD186 +signal IO_PAD187 +signal IO_PAD189 +signal IO_PAD191 +signal IO_PAD192 +signal IO_PAD193 +signal IO_PAD195 +signal IO_PAD196 +signal IO_PAD197 +signal IO_PAD199 +signal IO_PAD2 +signal IO_PAD20 +signal IO_PAD200 +signal IO_PAD201 +signal IO_PAD202 +signal IO_PAD203 +signal IO_PAD204 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD214 +signal IO_PAD215 +signal IO_PAD216 +signal IO_PAD218 +signal IO_PAD219 +signal IO_PAD22 +signal IO_PAD220 +signal IO_PAD221 +signal IO_PAD222 +signal IO_PAD224 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD228 +signal IO_PAD229 +signal IO_PAD23 +signal IO_PAD230 +signal IO_PAD232 +signal IO_PAD234 +signal IO_PAD236 +signal IO_PAD238 +signal IO_PAD239 +signal IO_PAD24 +signal IO_PAD240 +signal IO_PAD241 +signal IO_PAD242 +signal IO_PAD243 +signal IO_PAD244 +signal IO_PAD245 +signal IO_PAD246 +signal IO_PAD248 +signal IO_PAD249 +signal IO_PAD250 +signal IO_PAD251 +signal IO_PAD252 +signal IO_PAD254 +signal IO_PAD256 +signal IO_PAD257 +signal IO_PAD258 +signal IO_PAD26 +signal IO_PAD260 +signal IO_PAD262 +signal IO_PAD264 +signal IO_PAD266 +signal IO_PAD267 +signal IO_PAD268 +signal IO_PAD270 +signal IO_PAD271 +signal IO_PAD272 +signal IO_PAD274 +signal IO_PAD276 +signal IO_PAD277 +signal IO_PAD278 +signal IO_PAD28 +signal IO_PAD280 +signal IO_PAD281 +signal IO_PAD282 +signal IO_PAD284 +signal IO_PAD286 +signal IO_PAD287 +signal IO_PAD288 +signal IO_PAD29 +signal IO_PAD290 +signal IO_PAD291 +signal IO_PAD292 +signal IO_PAD294 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD3 +signal IO_PAD30 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD302 +signal IO_PAD304 +signal IO_PAD306 +signal IO_PAD307 +signal IO_PAD308 +signal IO_PAD309 +signal IO_PAD31 +signal IO_PAD310 +signal IO_PAD312 +signal IO_PAD313 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD316 +signal IO_PAD317 +signal IO_PAD318 +signal IO_PAD319 +signal IO_PAD32 +signal IO_PAD320 +signal IO_PAD322 +signal IO_PAD324 +signal IO_PAD326 +signal IO_PAD328 +signal IO_PAD329 +signal IO_PAD330 +signal IO_PAD331 +signal IO_PAD332 +signal IO_PAD334 +signal IO_PAD336 +signal IO_PAD337 +signal IO_PAD338 +signal IO_PAD339 +signal IO_PAD34 +signal IO_PAD340 +signal IO_PAD342 +signal IO_PAD343 +signal IO_PAD345 +signal IO_PAD346 +signal IO_PAD347 +signal IO_PAD35 +signal IO_PAD353 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD356 +signal IO_PAD357 +signal IO_PAD358 +signal IO_PAD36 +signal IO_PAD360 +signal IO_PAD361 +signal IO_PAD362 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD366 +signal IO_PAD368 +signal IO_PAD37 +signal IO_PAD370 +signal IO_PAD371 +signal IO_PAD372 +signal IO_PAD373 +signal IO_PAD374 +signal IO_PAD376 +signal IO_PAD378 +signal IO_PAD38 +signal IO_PAD380 +signal IO_PAD382 +signal IO_PAD383 +signal IO_PAD384 +signal IO_PAD386 +signal IO_PAD388 +signal IO_PAD389 +signal IO_PAD39 +signal IO_PAD390 +signal IO_PAD391 +signal IO_PAD392 +signal IO_PAD393 +signal IO_PAD394 +signal IO_PAD395 +signal IO_PAD396 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD399 +signal IO_PAD4 +signal IO_PAD40 +signal IO_PAD400 +signal IO_PAD402 +signal IO_PAD404 +signal IO_PAD406 +signal IO_PAD408 +signal IO_PAD41 +signal IO_PAD410 +signal IO_PAD411 +signal IO_PAD412 +signal IO_PAD414 +signal IO_PAD416 +signal IO_PAD417 +signal IO_PAD418 +signal IO_PAD419 +signal IO_PAD42 +signal IO_PAD421 +signal IO_PAD423 +signal IO_PAD424 +signal IO_PAD425 +signal IO_PAD427 +signal IO_PAD429 +signal IO_PAD431 +signal IO_PAD433 +signal IO_PAD435 +signal IO_PAD436 +signal IO_PAD437 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD44 +signal IO_PAD440 +signal IO_PAD441 +signal IO_PAD442 +signal IO_PAD443 +signal IO_PAD444 +signal IO_PAD445 +signal IO_PAD446 +signal IO_PAD447 +signal IO_PAD449 +signal IO_PAD451 +signal IO_PAD452 +signal IO_PAD453 +signal IO_PAD455 +signal IO_PAD457 +signal IO_PAD459 +signal IO_PAD46 +signal IO_PAD461 +signal IO_PAD462 +signal IO_PAD463 +signal IO_PAD464 +signal IO_PAD465 +signal IO_PAD467 +signal IO_PAD469 +signal IO_PAD470 +signal IO_PAD471 +signal IO_PAD473 +signal IO_PAD474 +signal IO_PAD475 +signal IO_PAD477 +signal IO_PAD478 +signal IO_PAD479 +signal IO_PAD48 +signal IO_PAD480 +signal IO_PAD481 +signal IO_PAD482 +signal IO_PAD488 +signal IO_PAD489 +signal IO_PAD491 +signal IO_PAD492 +signal IO_PAD493 +signal IO_PAD495 +signal IO_PAD496 +signal IO_PAD497 +signal IO_PAD498 +signal IO_PAD499 +signal IO_PAD50 +signal IO_PAD501 +signal IO_PAD503 +signal IO_PAD504 +signal IO_PAD505 +signal IO_PAD506 +signal IO_PAD507 +signal IO_PAD509 +signal IO_PAD51 +signal IO_PAD511 +signal IO_PAD513 +signal IO_PAD515 +signal IO_PAD516 +signal IO_PAD517 +signal IO_PAD518 +signal IO_PAD519 +signal IO_PAD52 +signal IO_PAD520 +signal IO_PAD521 +signal IO_PAD522 +signal IO_PAD523 +signal IO_PAD525 +signal IO_PAD526 +signal IO_PAD527 +signal IO_PAD528 +signal IO_PAD529 +signal IO_PAD53 +signal IO_PAD531 +signal IO_PAD533 +signal IO_PAD534 +signal IO_PAD535 +signal IO_PAD537 +signal IO_PAD539 +signal IO_PAD54 +signal IO_PAD541 +signal IO_PAD543 +signal IO_PAD544 +signal IO_PAD545 +signal IO_PAD547 +signal IO_PAD548 +signal IO_PAD549 +signal IO_PAD551 +signal IO_PAD553 +signal IO_PAD554 +signal IO_PAD555 +signal IO_PAD56 +signal IO_PAD58 +signal IO_PAD59 +signal IO_PAD6 +signal IO_PAD60 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD64 +signal IO_PAD65 +signal IO_PAD67 +signal IO_PAD68 +signal IO_PAD69 +signal IO_PAD75 +signal IO_PAD76 +signal IO_PAD77 +signal IO_PAD78 +signal IO_PAD79 +signal IO_PAD8 +signal IO_PAD80 +signal IO_PAD82 +signal IO_PAD83 +signal IO_PAD84 +signal IO_PAD86 +signal IO_PAD87 +signal IO_PAD88 +signal IO_PAD9 +signal IO_PAD90 +signal IO_PAD92 +signal IO_PAD93 +signal IO_PAD94 +signal IO_PAD95 +signal IO_PAD96 +signal IO_PAD98 +signal M0_PAD412 +signal M1_PAD411 +signal M2_PAD413 + + +register BYPASS 1 +register BSR 1187 +register DEVICE_ID 32 + +instruction length 6 + +instruction IDCODE 001001 DEVICE_ID +instruction SAMPLE/PRELOAD 000001 BSR +instruction INTEST 000111 BSR +instruction HIGHZ 001010 BYPASS +instruction BYPASS 111111 BYPASS +instruction USERCODE 001000 DEVICE_ID +instruction EXTEST 000000 BSR + +bit 0 C 1 * +bit 1 O ? IO_PAD488 0 1 Z +bit 2 I ? IO_PAD488 +bit 3 C 1 * +bit 4 O ? IO_PAD489 3 1 Z +bit 5 I ? IO_PAD489 +bit 6 C 1 * +bit 7 O ? IO_PAD491 6 1 Z +bit 8 I ? IO_PAD491 +bit 9 C 1 * +bit 10 O ? IO_PAD492 9 1 Z +bit 11 I ? IO_PAD492 +bit 12 C 1 * +bit 13 O ? IO_PAD493 12 1 Z +bit 14 I ? IO_PAD493 +bit 15 C 1 * +bit 16 O ? IO_PAD495 15 1 Z +bit 17 I ? IO_PAD495 +bit 18 C 1 * +bit 19 O ? IO_PAD496 18 1 Z +bit 20 I ? IO_PAD496 +bit 21 C 1 * +bit 22 O ? IO_PAD497 21 1 Z +bit 23 I ? IO_PAD497 +bit 24 C 1 * +bit 25 O ? IO_PAD498 24 1 Z +bit 26 I ? IO_PAD498 +bit 27 C 1 * +bit 28 O ? IO_PAD499 27 1 Z +bit 29 I ? IO_PAD499 +bit 30 C 1 * +bit 31 O ? IO_PAD501 30 1 Z +bit 32 I ? IO_PAD501 +bit 33 C 1 * +bit 34 O ? IO_PAD503 33 1 Z +bit 35 I ? IO_PAD503 +bit 36 C 1 * +bit 37 O ? IO_PAD504 36 1 Z +bit 38 I ? IO_PAD504 +bit 39 C 1 * +bit 40 O ? IO_PAD505 39 1 Z +bit 41 I ? IO_PAD505 +bit 42 C 1 * +bit 43 O ? IO_PAD506 42 1 Z +bit 44 I ? IO_PAD506 +bit 45 C 1 * +bit 46 O ? IO_PAD507 45 1 Z +bit 47 I ? IO_PAD507 +bit 48 C 1 * +bit 49 O ? IO_PAD509 48 1 Z +bit 50 I ? IO_PAD509 +bit 51 C 1 * +bit 52 O ? IO_PAD511 51 1 Z +bit 53 I ? IO_PAD511 +bit 54 C 1 * +bit 55 O ? IO_PAD513 54 1 Z +bit 56 I ? IO_PAD513 +bit 57 C 1 * +bit 58 O ? IO_PAD515 57 1 Z +bit 59 I ? IO_PAD515 +bit 60 C 1 * +bit 61 O ? IO_PAD516 60 1 Z +bit 62 I ? IO_PAD516 +bit 63 C 1 * +bit 64 O ? IO_PAD517 63 1 Z +bit 65 I ? IO_PAD517 +bit 66 C 1 * +bit 67 O ? IO_PAD518 66 1 Z +bit 68 I ? IO_PAD518 +bit 69 C 1 * +bit 70 O ? IO_PAD519 69 1 Z +bit 71 I ? IO_PAD519 +bit 72 C 1 * +bit 73 O ? IO_PAD520 72 1 Z +bit 74 I ? IO_PAD520 +bit 75 C 1 * +bit 76 O ? IO_PAD521 75 1 Z +bit 77 I ? IO_PAD521 +bit 78 C 1 * +bit 79 O ? IO_PAD522 78 1 Z +bit 80 I ? IO_PAD522 +bit 81 C 1 * +bit 82 O ? IO_PAD523 81 1 Z +bit 83 I ? IO_PAD523 +bit 84 C 1 * +bit 85 O ? IO_PAD525 84 1 Z +bit 86 I ? IO_PAD525 +bit 87 C 1 * +bit 88 O ? IO_PAD526 87 1 Z +bit 89 I ? IO_PAD526 +bit 90 C 1 * +bit 91 O ? IO_PAD527 90 1 Z +bit 92 I ? IO_PAD527 +bit 93 C 1 * +bit 94 O ? IO_PAD528 93 1 Z +bit 95 I ? IO_PAD528 +bit 96 C 1 * +bit 97 O ? IO_PAD529 96 1 Z +bit 98 I ? IO_PAD529 +bit 99 C 1 * +bit 100 O ? IO_PAD531 99 1 Z +bit 101 I ? IO_PAD531 +bit 102 C 1 * +bit 103 O ? IO_PAD533 102 1 Z +bit 104 I ? IO_PAD533 +bit 105 C 1 * +bit 106 O ? IO_PAD534 105 1 Z +bit 107 I ? IO_PAD534 +bit 108 C 1 * +bit 109 O ? IO_PAD535 108 1 Z +bit 110 I ? IO_PAD535 +bit 111 C 1 * +bit 112 O ? IO_PAD537 111 1 Z +bit 113 I ? IO_PAD537 +bit 114 C 1 * +bit 115 O ? IO_PAD539 114 1 Z +bit 116 I ? IO_PAD539 +bit 117 C 1 * +bit 118 O ? IO_PAD541 117 1 Z +bit 119 I ? IO_PAD541 +bit 120 C 1 * +bit 121 O ? IO_PAD543 120 1 Z +bit 122 I ? IO_PAD543 +bit 123 C 1 * +bit 124 O ? IO_PAD544 123 1 Z +bit 125 I ? IO_PAD544 +bit 126 C 1 * +bit 127 O ? IO_PAD545 126 1 Z +bit 128 I ? IO_PAD545 +bit 129 C 1 * +bit 130 O ? IO_PAD547 129 1 Z +bit 131 I ? IO_PAD547 +bit 132 C 1 * +bit 133 O ? IO_PAD548 132 1 Z +bit 134 I ? IO_PAD548 +bit 135 C 1 * +bit 136 O ? IO_PAD549 135 1 Z +bit 137 I ? IO_PAD549 +bit 138 C 1 * +bit 139 O ? IO_PAD551 138 1 Z +bit 140 I ? IO_PAD551 +bit 141 C 1 * +bit 142 O ? IO_PAD553 141 1 Z +bit 143 I ? IO_PAD553 +bit 144 C 1 * +bit 145 O ? IO_PAD554 144 1 Z +bit 146 I ? IO_PAD554 +bit 147 C 1 * +bit 148 O ? IO_PAD555 147 1 Z +bit 149 I ? IO_PAD555 +bit 150 C 1 * +bit 151 O ? IO_PAD2 150 1 Z +bit 152 I ? IO_PAD2 +bit 153 C 1 * +bit 154 O ? IO_PAD3 153 1 Z +bit 155 I ? IO_PAD3 +bit 156 C 1 * +bit 157 O ? IO_PAD4 156 1 Z +bit 158 I ? IO_PAD4 +bit 159 C 1 * +bit 160 O ? IO_PAD6 159 1 Z +bit 161 I ? IO_PAD6 +bit 162 C 1 * +bit 163 O ? IO_PAD8 162 1 Z +bit 164 I ? IO_PAD8 +bit 165 C 1 * +bit 166 O ? IO_PAD9 165 1 Z +bit 167 I ? IO_PAD9 +bit 168 C 1 * +bit 169 O ? IO_PAD10 168 1 Z +bit 170 I ? IO_PAD10 +bit 171 C 1 * +bit 172 O ? IO_PAD12 171 1 Z +bit 173 I ? IO_PAD12 +bit 174 C 1 * +bit 175 O ? IO_PAD13 174 1 Z +bit 176 I ? IO_PAD13 +bit 177 C 1 * +bit 178 O ? IO_PAD14 177 1 Z +bit 179 I ? IO_PAD14 +bit 180 C 1 * +bit 181 O ? IO_PAD16 180 1 Z +bit 182 I ? IO_PAD16 +bit 183 C 1 * +bit 184 O ? IO_PAD18 183 1 Z +bit 185 I ? IO_PAD18 +bit 186 C 1 * +bit 187 O ? IO_PAD20 186 1 Z +bit 188 I ? IO_PAD20 +bit 189 C 1 * +bit 190 O ? IO_PAD22 189 1 Z +bit 191 I ? IO_PAD22 +bit 192 C 1 * +bit 193 O ? IO_PAD23 192 1 Z +bit 194 I ? IO_PAD23 +bit 195 C 1 * +bit 196 O ? IO_PAD24 195 1 Z +bit 197 I ? IO_PAD24 +bit 198 C 1 * +bit 199 O ? IO_PAD26 198 1 Z +bit 200 I ? IO_PAD26 +bit 201 C 1 * +bit 202 O ? IO_PAD28 201 1 Z +bit 203 I ? IO_PAD28 +bit 204 C 1 * +bit 205 O ? IO_PAD29 204 1 Z +bit 206 I ? IO_PAD29 +bit 207 C 1 * +bit 208 O ? IO_PAD30 207 1 Z +bit 209 I ? IO_PAD30 +bit 210 C 1 * +bit 211 O ? IO_PAD31 210 1 Z +bit 212 I ? IO_PAD31 +bit 213 C 1 * +bit 214 O ? IO_PAD32 213 1 Z +bit 215 I ? IO_PAD32 +bit 216 C 1 * +bit 217 O ? IO_PAD34 216 1 Z +bit 218 I ? IO_PAD34 +bit 219 C 1 * +bit 220 O ? IO_PAD35 219 1 Z +bit 221 I ? IO_PAD35 +bit 222 C 1 * +bit 223 O ? IO_PAD36 222 1 Z +bit 224 I ? IO_PAD36 +bit 225 C 1 * +bit 226 O ? IO_PAD37 225 1 Z +bit 227 I ? IO_PAD37 +bit 228 C 1 * +bit 229 O ? IO_PAD38 228 1 Z +bit 230 I ? IO_PAD38 +bit 231 C 1 * +bit 232 O ? IO_PAD39 231 1 Z +bit 233 I ? IO_PAD39 +bit 234 C 1 * +bit 235 O ? IO_PAD40 234 1 Z +bit 236 I ? IO_PAD40 +bit 237 C 1 * +bit 238 O ? IO_PAD41 237 1 Z +bit 239 I ? IO_PAD41 +bit 240 C 1 * +bit 241 O ? IO_PAD42 240 1 Z +bit 242 I ? IO_PAD42 +bit 243 C 1 * +bit 244 O ? IO_PAD44 243 1 Z +bit 245 I ? IO_PAD44 +bit 246 C 1 * +bit 247 O ? IO_PAD46 246 1 Z +bit 248 I ? IO_PAD46 +bit 249 C 1 * +bit 250 O ? IO_PAD48 249 1 Z +bit 251 I ? IO_PAD48 +bit 252 C 1 * +bit 253 O ? IO_PAD50 252 1 Z +bit 254 I ? IO_PAD50 +bit 255 C 1 * +bit 256 O ? IO_PAD51 255 1 Z +bit 257 I ? IO_PAD51 +bit 258 C 1 * +bit 259 O ? IO_PAD52 258 1 Z +bit 260 I ? IO_PAD52 +bit 261 C 1 * +bit 262 O ? IO_PAD53 261 1 Z +bit 263 I ? IO_PAD53 +bit 264 C 1 * +bit 265 O ? IO_PAD54 264 1 Z +bit 266 I ? IO_PAD54 +bit 267 C 1 * +bit 268 O ? IO_PAD56 267 1 Z +bit 269 I ? IO_PAD56 +bit 270 C 1 * +bit 271 O ? IO_PAD58 270 1 Z +bit 272 I ? IO_PAD58 +bit 273 C 1 * +bit 274 O ? IO_PAD59 273 1 Z +bit 275 I ? IO_PAD59 +bit 276 C 1 * +bit 277 O ? IO_PAD60 276 1 Z +bit 278 I ? IO_PAD60 +bit 279 C 1 * +bit 280 O ? IO_PAD61 279 1 Z +bit 281 I ? IO_PAD61 +bit 282 C 1 * +bit 283 O ? IO_PAD62 282 1 Z +bit 284 I ? IO_PAD62 +bit 285 C 1 * +bit 286 O ? IO_PAD64 285 1 Z +bit 287 I ? IO_PAD64 +bit 288 C 1 * +bit 289 O ? IO_PAD65 288 1 Z +bit 290 I ? IO_PAD65 +bit 291 C 1 * +bit 292 O ? IO_PAD67 291 1 Z +bit 293 I ? IO_PAD67 +bit 294 C 1 * +bit 295 O ? IO_PAD68 294 1 Z +bit 296 I ? IO_PAD68 +bit 297 C 1 * +bit 298 O ? IO_PAD69 297 1 Z +bit 299 I ? IO_PAD69 +bit 300 I ? HSWAP_EN_PAD70 +bit 301 X 1 * +bit 302 C 1 * +bit 303 O ? IO_PAD75 302 1 Z +bit 304 I ? IO_PAD75 +bit 305 C 1 * +bit 306 O ? IO_PAD76 305 1 Z +bit 307 I ? IO_PAD76 +bit 308 C 1 * +bit 309 O ? IO_PAD77 308 1 Z +bit 310 I ? IO_PAD77 +bit 311 C 1 * +bit 312 O ? IO_PAD78 311 1 Z +bit 313 I ? IO_PAD78 +bit 314 C 1 * +bit 315 O ? IO_PAD79 314 1 Z +bit 316 I ? IO_PAD79 +bit 317 C 1 * +bit 318 O ? IO_PAD80 317 1 Z +bit 319 I ? IO_PAD80 +bit 320 C 1 * +bit 321 O ? IO_PAD82 320 1 Z +bit 322 I ? IO_PAD82 +bit 323 C 1 * +bit 324 O ? IO_PAD83 323 1 Z +bit 325 I ? IO_PAD83 +bit 326 C 1 * +bit 327 O ? IO_PAD84 326 1 Z +bit 328 I ? IO_PAD84 +bit 329 C 1 * +bit 330 O ? IO_PAD86 329 1 Z +bit 331 I ? IO_PAD86 +bit 332 C 1 * +bit 333 O ? IO_PAD87 332 1 Z +bit 334 I ? IO_PAD87 +bit 335 C 1 * +bit 336 O ? IO_PAD88 335 1 Z +bit 337 I ? IO_PAD88 +bit 338 C 1 * +bit 339 O ? IO_PAD90 338 1 Z +bit 340 I ? IO_PAD90 +bit 341 C 1 * +bit 342 O ? IO_PAD92 341 1 Z +bit 343 I ? IO_PAD92 +bit 344 C 1 * +bit 345 O ? IO_PAD93 344 1 Z +bit 346 I ? IO_PAD93 +bit 347 C 1 * +bit 348 O ? IO_PAD94 347 1 Z +bit 349 I ? IO_PAD94 +bit 350 C 1 * +bit 351 O ? IO_PAD95 350 1 Z +bit 352 I ? IO_PAD95 +bit 353 C 1 * +bit 354 O ? IO_PAD96 353 1 Z +bit 355 I ? IO_PAD96 +bit 356 C 1 * +bit 357 O ? IO_PAD98 356 1 Z +bit 358 I ? IO_PAD98 +bit 359 C 1 * +bit 360 O ? IO_PAD100 359 1 Z +bit 361 I ? IO_PAD100 +bit 362 C 1 * +bit 363 O ? IO_PAD102 362 1 Z +bit 364 I ? IO_PAD102 +bit 365 C 1 * +bit 366 O ? IO_PAD104 365 1 Z +bit 367 I ? IO_PAD104 +bit 368 C 1 * +bit 369 O ? IO_PAD105 368 1 Z +bit 370 I ? IO_PAD105 +bit 371 C 1 * +bit 372 O ? IO_PAD106 371 1 Z +bit 373 I ? IO_PAD106 +bit 374 C 1 * +bit 375 O ? IO_PAD108 374 1 Z +bit 376 I ? IO_PAD108 +bit 377 C 1 * +bit 378 O ? IO_PAD110 377 1 Z +bit 379 I ? IO_PAD110 +bit 380 C 1 * +bit 381 O ? IO_PAD111 380 1 Z +bit 382 I ? IO_PAD111 +bit 383 C 1 * +bit 384 O ? IO_PAD112 383 1 Z +bit 385 I ? IO_PAD112 +bit 386 C 1 * +bit 387 O ? IO_PAD113 386 1 Z +bit 388 I ? IO_PAD113 +bit 389 C 1 * +bit 390 O ? IO_PAD114 389 1 Z +bit 391 I ? IO_PAD114 +bit 392 C 1 * +bit 393 O ? IO_PAD115 392 1 Z +bit 394 I ? IO_PAD115 +bit 395 C 1 * +bit 396 O ? IO_PAD116 395 1 Z +bit 397 I ? IO_PAD116 +bit 398 C 1 * +bit 399 O ? IO_PAD117 398 1 Z +bit 400 I ? IO_PAD117 +bit 401 C 1 * +bit 402 O ? IO_PAD118 401 1 Z +bit 403 I ? IO_PAD118 +bit 404 C 1 * +bit 405 O ? IO_PAD119 404 1 Z +bit 406 I ? IO_PAD119 +bit 407 C 1 * +bit 408 O ? IO_PAD120 407 1 Z +bit 409 I ? IO_PAD120 +bit 410 C 1 * +bit 411 O ? IO_PAD121 410 1 Z +bit 412 I ? IO_PAD121 +bit 413 C 1 * +bit 414 O ? IO_PAD122 413 1 Z +bit 415 I ? IO_PAD122 +bit 416 C 1 * +bit 417 O ? IO_PAD124 416 1 Z +bit 418 I ? IO_PAD124 +bit 419 C 1 * +bit 420 O ? IO_PAD126 419 1 Z +bit 421 I ? IO_PAD126 +bit 422 C 1 * +bit 423 O ? IO_PAD128 422 1 Z +bit 424 I ? IO_PAD128 +bit 425 C 1 * +bit 426 O ? IO_PAD130 425 1 Z +bit 427 I ? IO_PAD130 +bit 428 C 1 * +bit 429 O ? IO_PAD132 428 1 Z +bit 430 I ? IO_PAD132 +bit 431 C 1 * +bit 432 O ? IO_PAD133 431 1 Z +bit 433 I ? IO_PAD133 +bit 434 C 1 * +bit 435 O ? IO_PAD134 434 1 Z +bit 436 I ? IO_PAD134 +bit 437 C 1 * +bit 438 O ? IO_PAD136 437 1 Z +bit 439 I ? IO_PAD136 +bit 440 C 1 * +bit 441 O ? IO_PAD138 440 1 Z +bit 442 I ? IO_PAD138 +bit 443 C 1 * +bit 444 O ? IO_PAD139 443 1 Z +bit 445 I ? IO_PAD139 +bit 446 C 1 * +bit 447 O ? IO_PAD140 446 1 Z +bit 448 I ? IO_PAD140 +bit 449 C 1 * +bit 450 O ? IO_PAD141 449 1 Z +bit 451 I ? IO_PAD141 +bit 452 C 1 * +bit 453 O ? IO_PAD143 452 1 Z +bit 454 I ? IO_PAD143 +bit 455 C 1 * +bit 456 O ? IO_PAD145 455 1 Z +bit 457 I ? IO_PAD145 +bit 458 C 1 * +bit 459 O ? IO_PAD146 458 1 Z +bit 460 I ? IO_PAD146 +bit 461 C 1 * +bit 462 O ? IO_PAD147 461 1 Z +bit 463 I ? IO_PAD147 +bit 464 C 1 * +bit 465 O ? IO_PAD149 464 1 Z +bit 466 I ? IO_PAD149 +bit 467 C 1 * +bit 468 O ? IO_PAD151 467 1 Z +bit 469 I ? IO_PAD151 +bit 470 C 1 * +bit 471 O ? IO_PAD153 470 1 Z +bit 472 I ? IO_PAD153 +bit 473 C 1 * +bit 474 O ? IO_PAD155 473 1 Z +bit 475 I ? IO_PAD155 +bit 476 C 1 * +bit 477 O ? IO_PAD157 476 1 Z +bit 478 I ? IO_PAD157 +bit 479 C 1 * +bit 480 O ? IO_PAD158 479 1 Z +bit 481 I ? IO_PAD158 +bit 482 C 1 * +bit 483 O ? IO_PAD159 482 1 Z +bit 484 I ? IO_PAD159 +bit 485 C 1 * +bit 486 O ? IO_PAD160 485 1 Z +bit 487 I ? IO_PAD160 +bit 488 C 1 * +bit 489 O ? IO_PAD161 488 1 Z +bit 490 I ? IO_PAD161 +bit 491 C 1 * +bit 492 O ? IO_PAD162 491 1 Z +bit 493 I ? IO_PAD162 +bit 494 C 1 * +bit 495 O ? IO_PAD163 494 1 Z +bit 496 I ? IO_PAD163 +bit 497 C 1 * +bit 498 O ? IO_PAD164 497 1 Z +bit 499 I ? IO_PAD164 +bit 500 C 1 * +bit 501 O ? IO_PAD165 500 1 Z +bit 502 I ? IO_PAD165 +bit 503 C 1 * +bit 504 O ? IO_PAD166 503 1 Z +bit 505 I ? IO_PAD166 +bit 506 C 1 * +bit 507 O ? IO_PAD167 506 1 Z +bit 508 I ? IO_PAD167 +bit 509 C 1 * +bit 510 O ? IO_PAD168 509 1 Z +bit 511 I ? IO_PAD168 +bit 512 C 1 * +bit 513 O ? IO_PAD169 512 1 Z +bit 514 I ? IO_PAD169 +bit 515 C 1 * +bit 516 O ? IO_PAD171 515 1 Z +bit 517 I ? IO_PAD171 +bit 518 C 1 * +bit 519 O ? IO_PAD173 518 1 Z +bit 520 I ? IO_PAD173 +bit 521 C 1 * +bit 522 O ? IO_PAD174 521 1 Z +bit 523 I ? IO_PAD174 +bit 524 C 1 * +bit 525 O ? IO_PAD175 524 1 Z +bit 526 I ? IO_PAD175 +bit 527 C 1 * +bit 528 O ? IO_PAD177 527 1 Z +bit 529 I ? IO_PAD177 +bit 530 C 1 * +bit 531 O ? IO_PAD179 530 1 Z +bit 532 I ? IO_PAD179 +bit 533 C 1 * +bit 534 O ? IO_PAD181 533 1 Z +bit 535 I ? IO_PAD181 +bit 536 C 1 * +bit 537 O ? IO_PAD183 536 1 Z +bit 538 I ? IO_PAD183 +bit 539 C 1 * +bit 540 O ? IO_PAD184 539 1 Z +bit 541 I ? IO_PAD184 +bit 542 C 1 * +bit 543 O ? IO_PAD185 542 1 Z +bit 544 I ? IO_PAD185 +bit 545 C 1 * +bit 546 O ? IO_PAD186 545 1 Z +bit 547 I ? IO_PAD186 +bit 548 C 1 * +bit 549 O ? IO_PAD187 548 1 Z +bit 550 I ? IO_PAD187 +bit 551 C 1 * +bit 552 O ? IO_PAD189 551 1 Z +bit 553 I ? IO_PAD189 +bit 554 C 1 * +bit 555 O ? IO_PAD191 554 1 Z +bit 556 I ? IO_PAD191 +bit 557 C 1 * +bit 558 O ? IO_PAD192 557 1 Z +bit 559 I ? IO_PAD192 +bit 560 C 1 * +bit 561 O ? IO_PAD193 560 1 Z +bit 562 I ? IO_PAD193 +bit 563 C 1 * +bit 564 O ? IO_PAD195 563 1 Z +bit 565 I ? IO_PAD195 +bit 566 C 1 * +bit 567 O ? IO_PAD196 566 1 Z +bit 568 I ? IO_PAD196 +bit 569 C 1 * +bit 570 O ? IO_PAD197 569 1 Z +bit 571 I ? IO_PAD197 +bit 572 C 1 * +bit 573 O ? IO_PAD199 572 1 Z +bit 574 I ? IO_PAD199 +bit 575 C 1 * +bit 576 O ? IO_PAD200 575 1 Z +bit 577 I ? IO_PAD200 +bit 578 C 1 * +bit 579 O ? IO_PAD201 578 1 Z +bit 580 I ? IO_PAD201 +bit 581 C 1 * +bit 582 O ? IO_PAD202 581 1 Z +bit 583 I ? IO_PAD202 +bit 584 C 1 * +bit 585 O ? IO_PAD203 584 1 Z +bit 586 I ? IO_PAD203 +bit 587 C 1 * +bit 588 O ? IO_PAD204 587 1 Z +bit 589 I ? IO_PAD204 +bit 590 I ? M1_PAD207 +bit 591 I ? M0_PAD208 +bit 592 I ? M2_PAD209 +bit 593 C 1 * +bit 594 O ? IO_PAD210 593 1 Z +bit 595 I ? IO_PAD210 +bit 596 C 1 * +bit 597 O ? IO_PAD211 596 1 Z +bit 598 I ? IO_PAD211 +bit 599 C 1 * +bit 600 O ? IO_PAD214 599 1 Z +bit 601 I ? IO_PAD214 +bit 602 C 1 * +bit 603 O ? IO_PAD215 602 1 Z +bit 604 I ? IO_PAD215 +bit 605 C 1 * +bit 606 O ? IO_PAD216 605 1 Z +bit 607 I ? IO_PAD216 +bit 608 C 1 * +bit 609 O ? IO_PAD218 608 1 Z +bit 610 I ? IO_PAD218 +bit 611 C 1 * +bit 612 O ? IO_PAD219 611 1 Z +bit 613 I ? IO_PAD219 +bit 614 C 1 * +bit 615 O ? IO_PAD220 614 1 Z +bit 616 I ? IO_PAD220 +bit 617 C 1 * +bit 618 O ? IO_PAD221 617 1 Z +bit 619 I ? IO_PAD221 +bit 620 C 1 * +bit 621 O ? IO_PAD222 620 1 Z +bit 622 I ? IO_PAD222 +bit 623 C 1 * +bit 624 O ? IO_PAD224 623 1 Z +bit 625 I ? IO_PAD224 +bit 626 C 1 * +bit 627 O ? IO_PAD226 626 1 Z +bit 628 I ? IO_PAD226 +bit 629 C 1 * +bit 630 O ? IO_PAD227 629 1 Z +bit 631 I ? IO_PAD227 +bit 632 C 1 * +bit 633 O ? IO_PAD228 632 1 Z +bit 634 I ? IO_PAD228 +bit 635 C 1 * +bit 636 O ? IO_PAD229 635 1 Z +bit 637 I ? IO_PAD229 +bit 638 C 1 * +bit 639 O ? IO_PAD230 638 1 Z +bit 640 I ? IO_PAD230 +bit 641 C 1 * +bit 642 O ? IO_PAD232 641 1 Z +bit 643 I ? IO_PAD232 +bit 644 C 1 * +bit 645 O ? IO_PAD234 644 1 Z +bit 646 I ? IO_PAD234 +bit 647 C 1 * +bit 648 O ? IO_PAD236 647 1 Z +bit 649 I ? IO_PAD236 +bit 650 C 1 * +bit 651 O ? IO_PAD238 650 1 Z +bit 652 I ? IO_PAD238 +bit 653 C 1 * +bit 654 O ? IO_PAD239 653 1 Z +bit 655 I ? IO_PAD239 +bit 656 C 1 * +bit 657 O ? IO_PAD240 656 1 Z +bit 658 I ? IO_PAD240 +bit 659 C 1 * +bit 660 O ? IO_PAD241 659 1 Z +bit 661 I ? IO_PAD241 +bit 662 C 1 * +bit 663 O ? IO_PAD242 662 1 Z +bit 664 I ? IO_PAD242 +bit 665 C 1 * +bit 666 O ? IO_PAD243 665 1 Z +bit 667 I ? IO_PAD243 +bit 668 C 1 * +bit 669 O ? IO_PAD244 668 1 Z +bit 670 I ? IO_PAD244 +bit 671 C 1 * +bit 672 O ? IO_PAD245 671 1 Z +bit 673 I ? IO_PAD245 +bit 674 C 1 * +bit 675 O ? IO_PAD246 674 1 Z +bit 676 I ? IO_PAD246 +bit 677 C 1 * +bit 678 O ? IO_PAD248 677 1 Z +bit 679 I ? IO_PAD248 +bit 680 C 1 * +bit 681 O ? IO_PAD249 680 1 Z +bit 682 I ? IO_PAD249 +bit 683 C 1 * +bit 684 O ? IO_PAD250 683 1 Z +bit 685 I ? IO_PAD250 +bit 686 C 1 * +bit 687 O ? IO_PAD251 686 1 Z +bit 688 I ? IO_PAD251 +bit 689 C 1 * +bit 690 O ? IO_PAD252 689 1 Z +bit 691 I ? IO_PAD252 +bit 692 C 1 * +bit 693 O ? IO_PAD254 692 1 Z +bit 694 I ? IO_PAD254 +bit 695 C 1 * +bit 696 O ? IO_PAD256 695 1 Z +bit 697 I ? IO_PAD256 +bit 698 C 1 * +bit 699 O ? IO_PAD257 698 1 Z +bit 700 I ? IO_PAD257 +bit 701 C 1 * +bit 702 O ? IO_PAD258 701 1 Z +bit 703 I ? IO_PAD258 +bit 704 C 1 * +bit 705 O ? IO_PAD260 704 1 Z +bit 706 I ? IO_PAD260 +bit 707 C 1 * +bit 708 O ? IO_PAD262 707 1 Z +bit 709 I ? IO_PAD262 +bit 710 C 1 * +bit 711 O ? IO_PAD264 710 1 Z +bit 712 I ? IO_PAD264 +bit 713 C 1 * +bit 714 O ? IO_PAD266 713 1 Z +bit 715 I ? IO_PAD266 +bit 716 C 1 * +bit 717 O ? IO_PAD267 716 1 Z +bit 718 I ? IO_PAD267 +bit 719 C 1 * +bit 720 O ? IO_PAD268 719 1 Z +bit 721 I ? IO_PAD268 +bit 722 C 1 * +bit 723 O ? IO_PAD270 722 1 Z +bit 724 I ? IO_PAD270 +bit 725 C 1 * +bit 726 O ? IO_PAD271 725 1 Z +bit 727 I ? IO_PAD271 +bit 728 C 1 * +bit 729 O ? IO_PAD272 728 1 Z +bit 730 I ? IO_PAD272 +bit 731 C 1 * +bit 732 O ? IO_PAD274 731 1 Z +bit 733 I ? IO_PAD274 +bit 734 C 1 * +bit 735 O ? IO_PAD276 734 1 Z +bit 736 I ? IO_PAD276 +bit 737 C 1 * +bit 738 O ? IO_PAD277 737 1 Z +bit 739 I ? IO_PAD277 +bit 740 C 1 * +bit 741 O ? IO_PAD278 740 1 Z +bit 742 I ? IO_PAD278 +bit 743 C 1 * +bit 744 O ? IO_PAD280 743 1 Z +bit 745 I ? IO_PAD280 +bit 746 C 1 * +bit 747 O ? IO_PAD281 746 1 Z +bit 748 I ? IO_PAD281 +bit 749 C 1 * +bit 750 O ? IO_PAD282 749 1 Z +bit 751 I ? IO_PAD282 +bit 752 C 1 * +bit 753 O ? IO_PAD284 752 1 Z +bit 754 I ? IO_PAD284 +bit 755 C 1 * +bit 756 O ? IO_PAD286 755 1 Z +bit 757 I ? IO_PAD286 +bit 758 C 1 * +bit 759 O ? IO_PAD287 758 1 Z +bit 760 I ? IO_PAD287 +bit 761 C 1 * +bit 762 O ? IO_PAD288 761 1 Z +bit 763 I ? IO_PAD288 +bit 764 C 1 * +bit 765 O ? IO_PAD290 764 1 Z +bit 766 I ? IO_PAD290 +bit 767 C 1 * +bit 768 O ? IO_PAD291 767 1 Z +bit 769 I ? IO_PAD291 +bit 770 C 1 * +bit 771 O ? IO_PAD292 770 1 Z +bit 772 I ? IO_PAD292 +bit 773 C 1 * +bit 774 O ? IO_PAD294 773 1 Z +bit 775 I ? IO_PAD294 +bit 776 C 1 * +bit 777 O ? IO_PAD296 776 1 Z +bit 778 I ? IO_PAD296 +bit 779 C 1 * +bit 780 O ? IO_PAD298 779 1 Z +bit 781 I ? IO_PAD298 +bit 782 C 1 * +bit 783 O ? IO_PAD300 782 1 Z +bit 784 I ? IO_PAD300 +bit 785 C 1 * +bit 786 O ? IO_PAD301 785 1 Z +bit 787 I ? IO_PAD301 +bit 788 C 1 * +bit 789 O ? IO_PAD302 788 1 Z +bit 790 I ? IO_PAD302 +bit 791 C 1 * +bit 792 O ? IO_PAD304 791 1 Z +bit 793 I ? IO_PAD304 +bit 794 C 1 * +bit 795 O ? IO_PAD306 794 1 Z +bit 796 I ? IO_PAD306 +bit 797 C 1 * +bit 798 O ? IO_PAD307 797 1 Z +bit 799 I ? IO_PAD307 +bit 800 C 1 * +bit 801 O ? IO_PAD308 800 1 Z +bit 802 I ? IO_PAD308 +bit 803 C 1 * +bit 804 O ? IO_PAD309 803 1 Z +bit 805 I ? IO_PAD309 +bit 806 C 1 * +bit 807 O ? IO_PAD310 806 1 Z +bit 808 I ? IO_PAD310 +bit 809 C 1 * +bit 810 O ? IO_PAD312 809 1 Z +bit 811 I ? IO_PAD312 +bit 812 C 1 * +bit 813 O ? IO_PAD313 812 1 Z +bit 814 I ? IO_PAD313 +bit 815 C 1 * +bit 816 O ? IO_PAD314 815 1 Z +bit 817 I ? IO_PAD314 +bit 818 C 1 * +bit 819 O ? IO_PAD315 818 1 Z +bit 820 I ? IO_PAD315 +bit 821 C 1 * +bit 822 O ? IO_PAD316 821 1 Z +bit 823 I ? IO_PAD316 +bit 824 C 1 * +bit 825 O ? IO_PAD317 824 1 Z +bit 826 I ? IO_PAD317 +bit 827 C 1 * +bit 828 O ? IO_PAD318 827 1 Z +bit 829 I ? IO_PAD318 +bit 830 C 1 * +bit 831 O ? IO_PAD319 830 1 Z +bit 832 I ? IO_PAD319 +bit 833 C 1 * +bit 834 O ? IO_PAD320 833 1 Z +bit 835 I ? IO_PAD320 +bit 836 C 1 * +bit 837 O ? IO_PAD322 836 1 Z +bit 838 I ? IO_PAD322 +bit 839 C 1 * +bit 840 O ? IO_PAD324 839 1 Z +bit 841 I ? IO_PAD324 +bit 842 C 1 * +bit 843 O ? IO_PAD326 842 1 Z +bit 844 I ? IO_PAD326 +bit 845 C 1 * +bit 846 O ? IO_PAD328 845 1 Z +bit 847 I ? IO_PAD328 +bit 848 C 1 * +bit 849 O ? IO_PAD329 848 1 Z +bit 850 I ? IO_PAD329 +bit 851 C 1 * +bit 852 O ? IO_PAD330 851 1 Z +bit 853 I ? IO_PAD330 +bit 854 C 1 * +bit 855 O ? IO_PAD331 854 1 Z +bit 856 I ? IO_PAD331 +bit 857 C 1 * +bit 858 O ? IO_PAD332 857 1 Z +bit 859 I ? IO_PAD332 +bit 860 C 1 * +bit 861 O ? IO_PAD334 860 1 Z +bit 862 I ? IO_PAD334 +bit 863 C 1 * +bit 864 O ? IO_PAD336 863 1 Z +bit 865 I ? IO_PAD336 +bit 866 C 1 * +bit 867 O ? IO_PAD337 866 1 Z +bit 868 I ? IO_PAD337 +bit 869 C 1 * +bit 870 O ? IO_PAD338 869 1 Z +bit 871 I ? IO_PAD338 +bit 872 C 1 * +bit 873 O ? IO_PAD339 872 1 Z +bit 874 I ? IO_PAD339 +bit 875 C 1 * +bit 876 O ? IO_PAD340 875 1 Z +bit 877 I ? IO_PAD340 +bit 878 C 1 * +bit 879 O ? IO_PAD342 878 1 Z +bit 880 I ? IO_PAD342 +bit 881 C 1 * +bit 882 O ? IO_PAD343 881 1 Z +bit 883 I ? IO_PAD343 +bit 884 C 1 * +bit 885 O ? IO_PAD345 884 1 Z +bit 886 I ? IO_PAD345 +bit 887 C 1 * +bit 888 O ? IO_PAD346 887 1 Z +bit 889 I ? IO_PAD346 +bit 890 C 1 * +bit 891 O ? IO_PAD347 890 1 Z +bit 892 I ? IO_PAD347 +bit 893 C 1 * +bit 894 O ? DONE_PAD348 893 1 Z +bit 895 I ? DONE_PAD348 +bit 896 C 1 * +bit 897 O ? CCLK_PAD349 896 1 Z +bit 898 I ? CCLK_PAD349 +bit 899 C 1 * +bit 900 O ? IO_PAD353 899 1 Z +bit 901 I ? IO_PAD353 +bit 902 C 1 * +bit 903 O ? IO_PAD354 902 1 Z +bit 904 I ? IO_PAD354 +bit 905 C 1 * +bit 906 O ? IO_PAD355 905 1 Z +bit 907 I ? IO_PAD355 +bit 908 C 1 * +bit 909 O ? IO_PAD356 908 1 Z +bit 910 I ? IO_PAD356 +bit 911 C 1 * +bit 912 O ? IO_PAD357 911 1 Z +bit 913 I ? IO_PAD357 +bit 914 C 1 * +bit 915 O ? IO_PAD358 914 1 Z +bit 916 I ? IO_PAD358 +bit 917 C 1 * +bit 918 O ? IO_PAD360 917 1 Z +bit 919 I ? IO_PAD360 +bit 920 C 1 * +bit 921 O ? IO_PAD361 920 1 Z +bit 922 I ? IO_PAD361 +bit 923 C 1 * +bit 924 O ? IO_PAD362 923 1 Z +bit 925 I ? IO_PAD362 +bit 926 C 1 * +bit 927 O ? IO_PAD364 926 1 Z +bit 928 I ? IO_PAD364 +bit 929 C 1 * +bit 930 O ? IO_PAD365 929 1 Z +bit 931 I ? IO_PAD365 +bit 932 C 1 * +bit 933 O ? IO_PAD366 932 1 Z +bit 934 I ? IO_PAD366 +bit 935 C 1 * +bit 936 O ? IO_PAD368 935 1 Z +bit 937 I ? IO_PAD368 +bit 938 C 1 * +bit 939 O ? IO_PAD370 938 1 Z +bit 940 I ? IO_PAD370 +bit 941 C 1 * +bit 942 O ? IO_PAD371 941 1 Z +bit 943 I ? IO_PAD371 +bit 944 C 1 * +bit 945 O ? IO_PAD372 944 1 Z +bit 946 I ? IO_PAD372 +bit 947 C 1 * +bit 948 O ? IO_PAD373 947 1 Z +bit 949 I ? IO_PAD373 +bit 950 C 1 * +bit 951 O ? IO_PAD374 950 1 Z +bit 952 I ? IO_PAD374 +bit 953 C 1 * +bit 954 O ? IO_PAD376 953 1 Z +bit 955 I ? IO_PAD376 +bit 956 C 1 * +bit 957 O ? IO_PAD378 956 1 Z +bit 958 I ? IO_PAD378 +bit 959 C 1 * +bit 960 O ? IO_PAD380 959 1 Z +bit 961 I ? IO_PAD380 +bit 962 C 1 * +bit 963 O ? IO_PAD382 962 1 Z +bit 964 I ? IO_PAD382 +bit 965 C 1 * +bit 966 O ? IO_PAD383 965 1 Z +bit 967 I ? IO_PAD383 +bit 968 C 1 * +bit 969 O ? IO_PAD384 968 1 Z +bit 970 I ? IO_PAD384 +bit 971 C 1 * +bit 972 O ? IO_PAD386 971 1 Z +bit 973 I ? IO_PAD386 +bit 974 C 1 * +bit 975 O ? IO_PAD388 974 1 Z +bit 976 I ? IO_PAD388 +bit 977 C 1 * +bit 978 O ? IO_PAD389 977 1 Z +bit 979 I ? IO_PAD389 +bit 980 C 1 * +bit 981 O ? IO_PAD390 980 1 Z +bit 982 I ? IO_PAD390 +bit 983 C 1 * +bit 984 O ? IO_PAD391 983 1 Z +bit 985 I ? IO_PAD391 +bit 986 C 1 * +bit 987 O ? IO_PAD392 986 1 Z +bit 988 I ? IO_PAD392 +bit 989 C 1 * +bit 990 O ? IO_PAD393 989 1 Z +bit 991 I ? IO_PAD393 +bit 992 C 1 * +bit 993 O ? IO_PAD394 992 1 Z +bit 994 I ? IO_PAD394 +bit 995 C 1 * +bit 996 O ? IO_PAD395 995 1 Z +bit 997 I ? IO_PAD395 +bit 998 C 1 * +bit 999 O ? IO_PAD396 998 1 Z +bit 1000 I ? IO_PAD396 +bit 1001 C 1 * +bit 1002 O ? IO_PAD397 1001 1 Z +bit 1003 I ? IO_PAD397 +bit 1004 C 1 * +bit 1005 O ? IO_PAD398 1004 1 Z +bit 1006 I ? IO_PAD398 +bit 1007 C 1 * +bit 1008 O ? IO_PAD399 1007 1 Z +bit 1009 I ? IO_PAD399 +bit 1010 C 1 * +bit 1011 O ? IO_PAD400 1010 1 Z +bit 1012 I ? IO_PAD400 +bit 1013 C 1 * +bit 1014 O ? IO_PAD402 1013 1 Z +bit 1015 I ? IO_PAD402 +bit 1016 C 1 * +bit 1017 O ? IO_PAD404 1016 1 Z +bit 1018 I ? IO_PAD404 +bit 1019 C 1 * +bit 1020 O ? IO_PAD406 1019 1 Z +bit 1021 I ? IO_PAD406 +bit 1022 C 1 * +bit 1023 O ? IO_PAD408 1022 1 Z +bit 1024 I ? IO_PAD408 +bit 1025 C 1 * +bit 1026 O ? IO_PAD410 1025 1 Z +bit 1027 I ? IO_PAD410 +bit 1028 C 1 * +bit 1029 O ? IO_PAD411 1028 1 Z +bit 1030 I ? IO_PAD411 +bit 1031 C 1 * +bit 1032 O ? IO_PAD412 1031 1 Z +bit 1033 I ? IO_PAD412 +bit 1034 C 1 * +bit 1035 O ? IO_PAD414 1034 1 Z +bit 1036 I ? IO_PAD414 +bit 1037 C 1 * +bit 1038 O ? IO_PAD416 1037 1 Z +bit 1039 I ? IO_PAD416 +bit 1040 C 1 * +bit 1041 O ? IO_PAD417 1040 1 Z +bit 1042 I ? IO_PAD417 +bit 1043 C 1 * +bit 1044 O ? IO_PAD418 1043 1 Z +bit 1045 I ? IO_PAD418 +bit 1046 C 1 * +bit 1047 O ? IO_PAD419 1046 1 Z +bit 1048 I ? IO_PAD419 +bit 1049 C 1 * +bit 1050 O ? IO_PAD421 1049 1 Z +bit 1051 I ? IO_PAD421 +bit 1052 C 1 * +bit 1053 O ? IO_PAD423 1052 1 Z +bit 1054 I ? IO_PAD423 +bit 1055 C 1 * +bit 1056 O ? IO_PAD424 1055 1 Z +bit 1057 I ? IO_PAD424 +bit 1058 C 1 * +bit 1059 O ? IO_PAD425 1058 1 Z +bit 1060 I ? IO_PAD425 +bit 1061 C 1 * +bit 1062 O ? IO_PAD427 1061 1 Z +bit 1063 I ? IO_PAD427 +bit 1064 C 1 * +bit 1065 O ? IO_PAD429 1064 1 Z +bit 1066 I ? IO_PAD429 +bit 1067 C 1 * +bit 1068 O ? IO_PAD431 1067 1 Z +bit 1069 I ? IO_PAD431 +bit 1070 C 1 * +bit 1071 O ? IO_PAD433 1070 1 Z +bit 1072 I ? IO_PAD433 +bit 1073 C 1 * +bit 1074 O ? IO_PAD435 1073 1 Z +bit 1075 I ? IO_PAD435 +bit 1076 C 1 * +bit 1077 O ? IO_PAD436 1076 1 Z +bit 1078 I ? IO_PAD436 +bit 1079 C 1 * +bit 1080 O ? IO_PAD437 1079 1 Z +bit 1081 I ? IO_PAD437 +bit 1082 C 1 * +bit 1083 O ? IO_PAD438 1082 1 Z +bit 1084 I ? IO_PAD438 +bit 1085 C 1 * +bit 1086 O ? IO_PAD439 1085 1 Z +bit 1087 I ? IO_PAD439 +bit 1088 C 1 * +bit 1089 O ? IO_PAD440 1088 1 Z +bit 1090 I ? IO_PAD440 +bit 1091 C 1 * +bit 1092 O ? IO_PAD441 1091 1 Z +bit 1093 I ? IO_PAD441 +bit 1094 C 1 * +bit 1095 O ? IO_PAD442 1094 1 Z +bit 1096 I ? IO_PAD442 +bit 1097 C 1 * +bit 1098 O ? IO_PAD443 1097 1 Z +bit 1099 I ? IO_PAD443 +bit 1100 C 1 * +bit 1101 O ? IO_PAD444 1100 1 Z +bit 1102 I ? IO_PAD444 +bit 1103 C 1 * +bit 1104 O ? IO_PAD445 1103 1 Z +bit 1105 I ? IO_PAD445 +bit 1106 C 1 * +bit 1107 O ? IO_PAD446 1106 1 Z +bit 1108 I ? IO_PAD446 +bit 1109 C 1 * +bit 1110 O ? IO_PAD447 1109 1 Z +bit 1111 I ? IO_PAD447 +bit 1112 C 1 * +bit 1113 O ? IO_PAD449 1112 1 Z +bit 1114 I ? IO_PAD449 +bit 1115 C 1 * +bit 1116 O ? IO_PAD451 1115 1 Z +bit 1117 I ? IO_PAD451 +bit 1118 C 1 * +bit 1119 O ? IO_PAD452 1118 1 Z +bit 1120 I ? IO_PAD452 +bit 1121 C 1 * +bit 1122 O ? IO_PAD453 1121 1 Z +bit 1123 I ? IO_PAD453 +bit 1124 C 1 * +bit 1125 O ? IO_PAD455 1124 1 Z +bit 1126 I ? IO_PAD455 +bit 1127 C 1 * +bit 1128 O ? IO_PAD457 1127 1 Z +bit 1129 I ? IO_PAD457 +bit 1130 C 1 * +bit 1131 O ? IO_PAD459 1130 1 Z +bit 1132 I ? IO_PAD459 +bit 1133 C 1 * +bit 1134 O ? IO_PAD461 1133 1 Z +bit 1135 I ? IO_PAD461 +bit 1136 C 1 * +bit 1137 O ? IO_PAD462 1136 1 Z +bit 1138 I ? IO_PAD462 +bit 1139 C 1 * +bit 1140 O ? IO_PAD463 1139 1 Z +bit 1141 I ? IO_PAD463 +bit 1142 C 1 * +bit 1143 O ? IO_PAD464 1142 1 Z +bit 1144 I ? IO_PAD464 +bit 1145 C 1 * +bit 1146 O ? IO_PAD465 1145 1 Z +bit 1147 I ? IO_PAD465 +bit 1148 C 1 * +bit 1149 O ? IO_PAD467 1148 1 Z +bit 1150 I ? IO_PAD467 +bit 1151 C 1 * +bit 1152 O ? IO_PAD469 1151 1 Z +bit 1153 I ? IO_PAD469 +bit 1154 C 1 * +bit 1155 O ? IO_PAD470 1154 1 Z +bit 1156 I ? IO_PAD470 +bit 1157 C 1 * +bit 1158 O ? IO_PAD471 1157 1 Z +bit 1159 I ? IO_PAD471 +bit 1160 C 1 * +bit 1161 O ? IO_PAD473 1160 1 Z +bit 1162 I ? IO_PAD473 +bit 1163 C 1 * +bit 1164 O ? IO_PAD474 1163 1 Z +bit 1165 I ? IO_PAD474 +bit 1166 C 1 * +bit 1167 O ? IO_PAD475 1166 1 Z +bit 1168 I ? IO_PAD475 +bit 1169 C 1 * +bit 1170 O ? IO_PAD477 1169 1 Z +bit 1171 I ? IO_PAD477 +bit 1172 C 1 * +bit 1173 O ? IO_PAD478 1172 1 Z +bit 1174 I ? IO_PAD478 +bit 1175 C 1 * +bit 1176 O ? IO_PAD479 1175 1 Z +bit 1177 I ? IO_PAD479 +bit 1178 C 1 * +bit 1179 O ? IO_PAD480 1178 1 Z +bit 1180 I ? IO_PAD480 +bit 1181 C 1 * +bit 1182 O ? IO_PAD481 1181 1 Z +bit 1183 I ? IO_PAD481 +bit 1184 C 1 * +bit 1185 O ? IO_PAD482 1184 1 Z +bit 1186 I ? IO_PAD482 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/STEPPINGS new file mode 100644 index 0000000..6b89d84 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s100e_die 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_die b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_die new file mode 100644 index 0000000..80ff073 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_die @@ -0,0 +1,429 @@ +signal TCK +signal TDI +signal TDO +signal TMS +signal PAD38 +signal PAD75 +signal PAD39 +signal PAD76 +signal IPAD41 +signal PAD78 +signal PAD79 +signal IPAD80 +signal IPAD81 +signal IPAD46 +signal IPAD86 +signal IPAD3 +signal IPAD6 +signal PAD40 +signal PROG_B +signal PAD42 +signal PAD43 +signal PAD44 +signal PAD45 +signal PAD82 +signal PAD47 +signal PAD83 +signal IPAD12 +signal PAD48 +signal PAD84 +signal IPAD13 +signal PAD49 +signal PAD85 +signal IPAD50 +signal PAD87 +signal PAD88 +signal DONE +signal PAD89 +signal PAD101 +signal IPAD90 +signal IPAD19 +signal PAD102 +signal PAD103 +signal IPAD57 +signal PAD105 +signal IPAD95 +signal PAD106 +signal PAD107 +signal PAD108 +signal IPAD100 +signal IPAD104 +signal PAD10 +signal PAD11 +signal PAD14 +signal PAD51 +signal PAD15 +signal PAD52 +signal PAD16 +signal PAD53 +signal PAD17 +signal PAD54 +signal PAD18 +signal PAD91 +signal PAD55 +signal IPAD20 +signal PAD92 +signal PAD56 +signal PAD93 +signal PAD94 +signal PAD58 +signal IPAD23 +signal PAD59 +signal PAD96 +signal IPAD60 +signal PAD97 +signal PAD1 +signal IPAD26 +signal PAD98 +signal PAD2 +signal IPAD27 +signal PAD99 +signal PAD4 +signal IPAD66 +signal PAD5 +signal IPAD67 +signal PAD7 +signal PAD8 +signal PAD9 +signal PAD21 +signal PAD22 +signal PAD24 +signal PAD61 +signal PAD25 +signal PAD62 +signal PAD63 +signal PAD64 +signal PAD28 +signal PAD65 +signal PAD29 +signal PAD68 +signal IPAD32 +signal PAD69 +signal IPAD36 +signal IPAD73 +signal IPAD74 +signal IPAD77 +signal PAD30 +signal PAD31 +signal PAD33 +signal PAD70 +signal PAD34 +signal PAD71 +signal PAD35 +signal PAD72 +signal PAD37 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal VDD13 +signal Vaux0 +signal Vaux1 +signal Vaux2 + +register BSR 272 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction SAMPLE/PRELOAD 100000 BSR +instruction IDCODE 100100 DIR +instruction EXTEST 111100 BSR +instruction BYPASS 111111 BR + +bit 271 I 1 IPAD27 +bit 270 I 1 PAD28 +bit 269 O 1 PAD28 268 0 Z +bit 268 C 0 * +bit 267 I 1 PAD29 +bit 266 O 1 PAD29 265 0 Z +bit 265 C 0 * +bit 264 I 1 PAD30 +bit 263 O 1 PAD30 262 0 Z +bit 262 C 0 * +bit 261 I 1 PAD31 +bit 260 O 1 PAD31 259 0 Z +bit 259 C 0 * +bit 258 I 1 IPAD32 +bit 257 I 1 PAD33 +bit 256 O 1 PAD33 255 0 Z +bit 255 C 0 * +bit 254 I 1 PAD34 +bit 253 O 1 PAD34 252 0 Z +bit 252 C 0 * +bit 251 I 1 PAD35 +bit 250 O 1 PAD35 249 0 Z +bit 249 C 0 * +bit 248 I 1 IPAD36 +bit 247 I 1 PAD37 +bit 246 O 1 PAD37 245 0 Z +bit 245 C 0 * +bit 244 I 1 PAD38 +bit 243 O 1 PAD38 242 0 Z +bit 242 C 0 * +bit 241 I 1 PAD39 +bit 240 O 1 PAD39 239 0 Z +bit 239 C 0 * +bit 238 I 1 PAD40 +bit 237 O 1 PAD40 236 0 Z +bit 236 C 0 * +bit 235 I 1 IPAD41 +bit 234 I 1 PAD42 +bit 233 O 1 PAD42 232 0 Z +bit 232 C 0 * +bit 231 I 1 PAD43 +bit 230 O 1 PAD43 229 0 Z +bit 229 C 0 * +bit 228 I 1 PAD44 +bit 227 O 1 PAD44 226 0 Z +bit 226 C 0 * +bit 225 I 1 PAD45 +bit 224 O 1 PAD45 223 0 Z +bit 223 C 0 * +bit 222 I 1 IPAD46 +bit 221 I 1 PAD47 +bit 220 O 1 PAD47 219 0 Z +bit 219 C 0 * +bit 218 I 1 PAD48 +bit 217 O 1 PAD48 216 0 Z +bit 216 C 0 * +bit 215 I 1 PAD49 +bit 214 O 1 PAD49 213 0 Z +bit 213 C 0 * +bit 212 I 1 IPAD50 +bit 211 I 1 PAD51 +bit 210 O 1 PAD51 209 0 Z +bit 209 C 0 * +bit 208 I 1 PAD52 +bit 207 O 1 PAD52 206 0 Z +bit 206 C 0 * +bit 205 I 1 PAD53 +bit 204 O 1 PAD53 203 0 Z +bit 203 C 0 * +bit 202 I 1 PAD54 +bit 201 O 1 PAD54 200 0 Z +bit 200 C 0 * +bit 199 I 1 DONE +bit 198 O 1 * +bit 197 O 1 * +bit 196 I 1 PAD55 +bit 195 O 1 PAD55 194 0 Z +bit 194 C 0 * +bit 193 I 1 PAD56 +bit 192 O 1 PAD56 191 0 Z +bit 191 C 0 * +bit 190 I 1 IPAD57 +bit 189 I 1 PAD58 +bit 188 O 1 PAD58 187 0 Z +bit 187 C 0 * +bit 186 I 1 PAD59 +bit 185 O 1 PAD59 184 0 Z +bit 184 C 0 * +bit 183 I 1 IPAD60 +bit 182 I 1 PAD61 +bit 181 O 1 PAD61 180 0 Z +bit 180 C 0 * +bit 179 I 1 PAD62 +bit 178 O 1 PAD62 177 0 Z +bit 177 C 0 * +bit 176 I 1 PAD63 +bit 175 O 1 PAD63 174 0 Z +bit 174 C 0 * +bit 173 I 1 PAD64 +bit 172 O 1 PAD64 171 0 Z +bit 171 C 0 * +bit 170 I 1 PAD65 +bit 169 O 1 PAD65 168 0 Z +bit 168 C 0 * +bit 167 I 1 IPAD66 +bit 166 I 1 IPAD67 +bit 165 I 1 PAD68 +bit 164 O 1 PAD68 163 0 Z +bit 163 C 0 * +bit 162 I 1 PAD69 +bit 161 O 1 PAD69 160 0 Z +bit 160 C 0 * +bit 159 I 1 PAD70 +bit 158 O 1 PAD70 157 0 Z +bit 157 C 0 * +bit 156 I 1 PAD71 +bit 155 O 1 PAD71 154 0 Z +bit 154 C 0 * +bit 153 I 1 PAD72 +bit 152 O 1 PAD72 151 0 Z +bit 151 C 0 * +bit 150 I 1 IPAD73 +bit 149 I 1 IPAD74 +bit 148 I 1 PAD75 +bit 147 O 1 PAD75 146 0 Z +bit 146 C 0 * +bit 145 I 1 PAD76 +bit 144 O 1 PAD76 143 0 Z +bit 143 C 0 * +bit 142 I 1 IPAD77 +bit 141 I 1 PAD78 +bit 140 O 1 PAD78 139 0 Z +bit 139 C 0 * +bit 138 I 1 PAD79 +bit 137 O 1 PAD79 136 0 Z +bit 136 C 0 * +bit 135 I 1 IPAD80 +bit 134 I 1 IPAD81 +bit 133 I 1 PAD82 +bit 132 O 1 PAD82 131 0 Z +bit 131 C 0 * +bit 130 I 1 PAD83 +bit 129 O 1 PAD83 128 0 Z +bit 128 C 0 * +bit 127 I 1 PAD84 +bit 126 O 1 PAD84 125 0 Z +bit 125 C 0 * +bit 124 I 1 PAD85 +bit 123 O 1 PAD85 122 0 Z +bit 122 C 0 * +bit 121 I 1 IPAD86 +bit 120 I 1 PAD87 +bit 119 O 1 PAD87 118 0 Z +bit 118 C 0 * +bit 117 I 1 PAD88 +bit 116 O 1 PAD88 115 0 Z +bit 115 C 0 * +bit 114 I 1 PAD89 +bit 113 O 1 PAD89 112 0 Z +bit 112 C 0 * +bit 111 I 1 IPAD90 +bit 110 I 1 PAD91 +bit 109 O 1 PAD91 108 0 Z +bit 108 C 0 * +bit 107 I 1 PAD92 +bit 106 O 1 PAD92 105 0 Z +bit 105 C 0 * +bit 104 I 1 PAD93 +bit 103 O 1 PAD93 102 0 Z +bit 102 C 0 * +bit 101 I 1 PAD94 +bit 100 O 1 PAD94 99 0 Z +bit 99 C 0 * +bit 98 I 1 IPAD95 +bit 97 I 1 PAD96 +bit 96 O 1 PAD96 95 0 Z +bit 95 C 0 * +bit 94 I 1 PAD97 +bit 93 O 1 PAD97 92 0 Z +bit 92 C 0 * +bit 91 I 1 PAD98 +bit 90 O 1 PAD98 89 0 Z +bit 89 C 0 * +bit 88 I 1 PAD99 +bit 87 O 1 PAD99 86 0 Z +bit 86 C 0 * +bit 85 I 1 IPAD100 +bit 84 I 1 PAD101 +bit 83 O 1 PAD101 82 0 Z +bit 82 C 0 * +bit 81 I 1 PAD102 +bit 80 O 1 PAD102 79 0 Z +bit 79 C 0 * +bit 78 I 1 PAD103 +bit 77 O 1 PAD103 76 0 Z +bit 76 C 0 * +bit 75 I 1 IPAD104 +bit 74 I 1 PAD105 +bit 73 O 1 PAD105 72 0 Z +bit 72 C 0 * +bit 71 I 1 PAD106 +bit 70 O 1 PAD106 69 0 Z +bit 69 C 0 * +bit 68 I 1 PAD107 +bit 67 O 1 PAD107 66 0 Z +bit 66 C 0 * +bit 65 I 1 PAD108 +bit 64 O 1 PAD108 63 0 Z +bit 63 C 0 * +bit 62 I 1 PROG_B +bit 61 I 1 PAD1 +bit 60 O 1 PAD1 59 0 Z +bit 59 C 0 * +bit 58 I 1 PAD2 +bit 57 O 1 PAD2 56 0 Z +bit 56 C 0 * +bit 55 I 1 IPAD3 +bit 54 I 1 PAD4 +bit 53 O 1 PAD4 52 0 Z +bit 52 C 0 * +bit 51 I 1 PAD5 +bit 50 O 1 PAD5 49 0 Z +bit 49 C 0 * +bit 48 I 1 IPAD6 +bit 47 I 1 PAD7 +bit 46 O 1 PAD7 45 0 Z +bit 45 C 0 * +bit 44 I 1 PAD8 +bit 43 O 1 PAD8 42 0 Z +bit 42 C 0 * +bit 41 I 1 PAD9 +bit 40 O 1 PAD9 39 0 Z +bit 39 C 0 * +bit 38 I 1 PAD10 +bit 37 O 1 PAD10 36 0 Z +bit 36 C 0 * +bit 35 I 1 PAD11 +bit 34 O 1 PAD11 33 0 Z +bit 33 C 0 * +bit 32 I 1 IPAD12 +bit 31 I 1 IPAD13 +bit 30 I 1 PAD14 +bit 29 O 1 PAD14 28 0 Z +bit 28 C 0 * +bit 27 I 1 PAD15 +bit 26 O 1 PAD15 25 0 Z +bit 25 C 0 * +bit 24 I 1 PAD16 +bit 23 O 1 PAD16 22 0 Z +bit 22 C 0 * +bit 21 I 1 PAD17 +bit 20 O 1 PAD17 19 0 Z +bit 19 C 0 * +bit 18 I 1 PAD18 +bit 17 O 1 PAD18 16 0 Z +bit 16 C 0 * +bit 15 I 1 IPAD19 +bit 14 I 1 IPAD20 +bit 13 I 1 PAD21 +bit 12 O 1 PAD21 11 0 Z +bit 11 C 0 * +bit 10 I 1 PAD22 +bit 9 O 1 PAD22 8 0 Z +bit 8 C 0 * +bit 7 I 1 IPAD23 +bit 6 I 1 PAD24 +bit 5 O 1 PAD24 4 0 Z +bit 4 C 0 * +bit 3 I 1 PAD25 +bit 2 O 1 PAD25 1 0 Z +bit 1 C 0 * +bit 0 I 1 IPAD26 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_pq208 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_pq208 new file mode 100644 index 0000000..80ff073 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_pq208 @@ -0,0 +1,429 @@ +signal TCK +signal TDI +signal TDO +signal TMS +signal PAD38 +signal PAD75 +signal PAD39 +signal PAD76 +signal IPAD41 +signal PAD78 +signal PAD79 +signal IPAD80 +signal IPAD81 +signal IPAD46 +signal IPAD86 +signal IPAD3 +signal IPAD6 +signal PAD40 +signal PROG_B +signal PAD42 +signal PAD43 +signal PAD44 +signal PAD45 +signal PAD82 +signal PAD47 +signal PAD83 +signal IPAD12 +signal PAD48 +signal PAD84 +signal IPAD13 +signal PAD49 +signal PAD85 +signal IPAD50 +signal PAD87 +signal PAD88 +signal DONE +signal PAD89 +signal PAD101 +signal IPAD90 +signal IPAD19 +signal PAD102 +signal PAD103 +signal IPAD57 +signal PAD105 +signal IPAD95 +signal PAD106 +signal PAD107 +signal PAD108 +signal IPAD100 +signal IPAD104 +signal PAD10 +signal PAD11 +signal PAD14 +signal PAD51 +signal PAD15 +signal PAD52 +signal PAD16 +signal PAD53 +signal PAD17 +signal PAD54 +signal PAD18 +signal PAD91 +signal PAD55 +signal IPAD20 +signal PAD92 +signal PAD56 +signal PAD93 +signal PAD94 +signal PAD58 +signal IPAD23 +signal PAD59 +signal PAD96 +signal IPAD60 +signal PAD97 +signal PAD1 +signal IPAD26 +signal PAD98 +signal PAD2 +signal IPAD27 +signal PAD99 +signal PAD4 +signal IPAD66 +signal PAD5 +signal IPAD67 +signal PAD7 +signal PAD8 +signal PAD9 +signal PAD21 +signal PAD22 +signal PAD24 +signal PAD61 +signal PAD25 +signal PAD62 +signal PAD63 +signal PAD64 +signal PAD28 +signal PAD65 +signal PAD29 +signal PAD68 +signal IPAD32 +signal PAD69 +signal IPAD36 +signal IPAD73 +signal IPAD74 +signal IPAD77 +signal PAD30 +signal PAD31 +signal PAD33 +signal PAD70 +signal PAD34 +signal PAD71 +signal PAD35 +signal PAD72 +signal PAD37 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal VDD13 +signal Vaux0 +signal Vaux1 +signal Vaux2 + +register BSR 272 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction SAMPLE/PRELOAD 100000 BSR +instruction IDCODE 100100 DIR +instruction EXTEST 111100 BSR +instruction BYPASS 111111 BR + +bit 271 I 1 IPAD27 +bit 270 I 1 PAD28 +bit 269 O 1 PAD28 268 0 Z +bit 268 C 0 * +bit 267 I 1 PAD29 +bit 266 O 1 PAD29 265 0 Z +bit 265 C 0 * +bit 264 I 1 PAD30 +bit 263 O 1 PAD30 262 0 Z +bit 262 C 0 * +bit 261 I 1 PAD31 +bit 260 O 1 PAD31 259 0 Z +bit 259 C 0 * +bit 258 I 1 IPAD32 +bit 257 I 1 PAD33 +bit 256 O 1 PAD33 255 0 Z +bit 255 C 0 * +bit 254 I 1 PAD34 +bit 253 O 1 PAD34 252 0 Z +bit 252 C 0 * +bit 251 I 1 PAD35 +bit 250 O 1 PAD35 249 0 Z +bit 249 C 0 * +bit 248 I 1 IPAD36 +bit 247 I 1 PAD37 +bit 246 O 1 PAD37 245 0 Z +bit 245 C 0 * +bit 244 I 1 PAD38 +bit 243 O 1 PAD38 242 0 Z +bit 242 C 0 * +bit 241 I 1 PAD39 +bit 240 O 1 PAD39 239 0 Z +bit 239 C 0 * +bit 238 I 1 PAD40 +bit 237 O 1 PAD40 236 0 Z +bit 236 C 0 * +bit 235 I 1 IPAD41 +bit 234 I 1 PAD42 +bit 233 O 1 PAD42 232 0 Z +bit 232 C 0 * +bit 231 I 1 PAD43 +bit 230 O 1 PAD43 229 0 Z +bit 229 C 0 * +bit 228 I 1 PAD44 +bit 227 O 1 PAD44 226 0 Z +bit 226 C 0 * +bit 225 I 1 PAD45 +bit 224 O 1 PAD45 223 0 Z +bit 223 C 0 * +bit 222 I 1 IPAD46 +bit 221 I 1 PAD47 +bit 220 O 1 PAD47 219 0 Z +bit 219 C 0 * +bit 218 I 1 PAD48 +bit 217 O 1 PAD48 216 0 Z +bit 216 C 0 * +bit 215 I 1 PAD49 +bit 214 O 1 PAD49 213 0 Z +bit 213 C 0 * +bit 212 I 1 IPAD50 +bit 211 I 1 PAD51 +bit 210 O 1 PAD51 209 0 Z +bit 209 C 0 * +bit 208 I 1 PAD52 +bit 207 O 1 PAD52 206 0 Z +bit 206 C 0 * +bit 205 I 1 PAD53 +bit 204 O 1 PAD53 203 0 Z +bit 203 C 0 * +bit 202 I 1 PAD54 +bit 201 O 1 PAD54 200 0 Z +bit 200 C 0 * +bit 199 I 1 DONE +bit 198 O 1 * +bit 197 O 1 * +bit 196 I 1 PAD55 +bit 195 O 1 PAD55 194 0 Z +bit 194 C 0 * +bit 193 I 1 PAD56 +bit 192 O 1 PAD56 191 0 Z +bit 191 C 0 * +bit 190 I 1 IPAD57 +bit 189 I 1 PAD58 +bit 188 O 1 PAD58 187 0 Z +bit 187 C 0 * +bit 186 I 1 PAD59 +bit 185 O 1 PAD59 184 0 Z +bit 184 C 0 * +bit 183 I 1 IPAD60 +bit 182 I 1 PAD61 +bit 181 O 1 PAD61 180 0 Z +bit 180 C 0 * +bit 179 I 1 PAD62 +bit 178 O 1 PAD62 177 0 Z +bit 177 C 0 * +bit 176 I 1 PAD63 +bit 175 O 1 PAD63 174 0 Z +bit 174 C 0 * +bit 173 I 1 PAD64 +bit 172 O 1 PAD64 171 0 Z +bit 171 C 0 * +bit 170 I 1 PAD65 +bit 169 O 1 PAD65 168 0 Z +bit 168 C 0 * +bit 167 I 1 IPAD66 +bit 166 I 1 IPAD67 +bit 165 I 1 PAD68 +bit 164 O 1 PAD68 163 0 Z +bit 163 C 0 * +bit 162 I 1 PAD69 +bit 161 O 1 PAD69 160 0 Z +bit 160 C 0 * +bit 159 I 1 PAD70 +bit 158 O 1 PAD70 157 0 Z +bit 157 C 0 * +bit 156 I 1 PAD71 +bit 155 O 1 PAD71 154 0 Z +bit 154 C 0 * +bit 153 I 1 PAD72 +bit 152 O 1 PAD72 151 0 Z +bit 151 C 0 * +bit 150 I 1 IPAD73 +bit 149 I 1 IPAD74 +bit 148 I 1 PAD75 +bit 147 O 1 PAD75 146 0 Z +bit 146 C 0 * +bit 145 I 1 PAD76 +bit 144 O 1 PAD76 143 0 Z +bit 143 C 0 * +bit 142 I 1 IPAD77 +bit 141 I 1 PAD78 +bit 140 O 1 PAD78 139 0 Z +bit 139 C 0 * +bit 138 I 1 PAD79 +bit 137 O 1 PAD79 136 0 Z +bit 136 C 0 * +bit 135 I 1 IPAD80 +bit 134 I 1 IPAD81 +bit 133 I 1 PAD82 +bit 132 O 1 PAD82 131 0 Z +bit 131 C 0 * +bit 130 I 1 PAD83 +bit 129 O 1 PAD83 128 0 Z +bit 128 C 0 * +bit 127 I 1 PAD84 +bit 126 O 1 PAD84 125 0 Z +bit 125 C 0 * +bit 124 I 1 PAD85 +bit 123 O 1 PAD85 122 0 Z +bit 122 C 0 * +bit 121 I 1 IPAD86 +bit 120 I 1 PAD87 +bit 119 O 1 PAD87 118 0 Z +bit 118 C 0 * +bit 117 I 1 PAD88 +bit 116 O 1 PAD88 115 0 Z +bit 115 C 0 * +bit 114 I 1 PAD89 +bit 113 O 1 PAD89 112 0 Z +bit 112 C 0 * +bit 111 I 1 IPAD90 +bit 110 I 1 PAD91 +bit 109 O 1 PAD91 108 0 Z +bit 108 C 0 * +bit 107 I 1 PAD92 +bit 106 O 1 PAD92 105 0 Z +bit 105 C 0 * +bit 104 I 1 PAD93 +bit 103 O 1 PAD93 102 0 Z +bit 102 C 0 * +bit 101 I 1 PAD94 +bit 100 O 1 PAD94 99 0 Z +bit 99 C 0 * +bit 98 I 1 IPAD95 +bit 97 I 1 PAD96 +bit 96 O 1 PAD96 95 0 Z +bit 95 C 0 * +bit 94 I 1 PAD97 +bit 93 O 1 PAD97 92 0 Z +bit 92 C 0 * +bit 91 I 1 PAD98 +bit 90 O 1 PAD98 89 0 Z +bit 89 C 0 * +bit 88 I 1 PAD99 +bit 87 O 1 PAD99 86 0 Z +bit 86 C 0 * +bit 85 I 1 IPAD100 +bit 84 I 1 PAD101 +bit 83 O 1 PAD101 82 0 Z +bit 82 C 0 * +bit 81 I 1 PAD102 +bit 80 O 1 PAD102 79 0 Z +bit 79 C 0 * +bit 78 I 1 PAD103 +bit 77 O 1 PAD103 76 0 Z +bit 76 C 0 * +bit 75 I 1 IPAD104 +bit 74 I 1 PAD105 +bit 73 O 1 PAD105 72 0 Z +bit 72 C 0 * +bit 71 I 1 PAD106 +bit 70 O 1 PAD106 69 0 Z +bit 69 C 0 * +bit 68 I 1 PAD107 +bit 67 O 1 PAD107 66 0 Z +bit 66 C 0 * +bit 65 I 1 PAD108 +bit 64 O 1 PAD108 63 0 Z +bit 63 C 0 * +bit 62 I 1 PROG_B +bit 61 I 1 PAD1 +bit 60 O 1 PAD1 59 0 Z +bit 59 C 0 * +bit 58 I 1 PAD2 +bit 57 O 1 PAD2 56 0 Z +bit 56 C 0 * +bit 55 I 1 IPAD3 +bit 54 I 1 PAD4 +bit 53 O 1 PAD4 52 0 Z +bit 52 C 0 * +bit 51 I 1 PAD5 +bit 50 O 1 PAD5 49 0 Z +bit 49 C 0 * +bit 48 I 1 IPAD6 +bit 47 I 1 PAD7 +bit 46 O 1 PAD7 45 0 Z +bit 45 C 0 * +bit 44 I 1 PAD8 +bit 43 O 1 PAD8 42 0 Z +bit 42 C 0 * +bit 41 I 1 PAD9 +bit 40 O 1 PAD9 39 0 Z +bit 39 C 0 * +bit 38 I 1 PAD10 +bit 37 O 1 PAD10 36 0 Z +bit 36 C 0 * +bit 35 I 1 PAD11 +bit 34 O 1 PAD11 33 0 Z +bit 33 C 0 * +bit 32 I 1 IPAD12 +bit 31 I 1 IPAD13 +bit 30 I 1 PAD14 +bit 29 O 1 PAD14 28 0 Z +bit 28 C 0 * +bit 27 I 1 PAD15 +bit 26 O 1 PAD15 25 0 Z +bit 25 C 0 * +bit 24 I 1 PAD16 +bit 23 O 1 PAD16 22 0 Z +bit 22 C 0 * +bit 21 I 1 PAD17 +bit 20 O 1 PAD17 19 0 Z +bit 19 C 0 * +bit 18 I 1 PAD18 +bit 17 O 1 PAD18 16 0 Z +bit 16 C 0 * +bit 15 I 1 IPAD19 +bit 14 I 1 IPAD20 +bit 13 I 1 PAD21 +bit 12 O 1 PAD21 11 0 Z +bit 11 C 0 * +bit 10 I 1 PAD22 +bit 9 O 1 PAD22 8 0 Z +bit 8 C 0 * +bit 7 I 1 IPAD23 +bit 6 I 1 PAD24 +bit 5 O 1 PAD24 4 0 Z +bit 4 C 0 * +bit 3 I 1 PAD25 +bit 2 O 1 PAD25 1 0 Z +bit 1 C 0 * +bit 0 I 1 IPAD26 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_tq144 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_tq144 new file mode 100644 index 0000000..c2fc115 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_tq144 @@ -0,0 +1,427 @@ +signal TCK +signal TDI +signal TDO +signal TMS +signal PAD38 +signal PAD75 +signal PAD39 +signal PAD76 +signal IPAD41 +signal PAD78 +signal PAD79 +signal IPAD80 +signal IPAD81 +signal IPAD46 +signal IPAD86 +signal IPAD3 +signal IPAD6 +signal PAD40 +signal PROG_B +signal PAD42 +signal PAD43 +signal PAD44 +signal PAD45 +signal PAD82 +signal PAD47 +signal PAD83 +signal IPAD12 +signal PAD48 +signal PAD84 +signal IPAD13 +signal PAD49 +signal PAD85 +signal IPAD50 +signal PAD87 +signal PAD88 +signal DONE +signal PAD89 +signal PAD101 +signal IPAD90 +signal IPAD19 +signal PAD102 +signal PAD103 +signal IPAD57 +signal PAD105 +signal IPAD95 +signal PAD106 +signal PAD107 +signal PAD108 +signal IPAD100 +signal IPAD104 +signal PAD10 +signal PAD11 +signal PAD14 +signal PAD51 +signal PAD15 +signal PAD52 +signal PAD16 +signal PAD53 +signal PAD17 +signal PAD54 +signal PAD18 +signal PAD91 +signal PAD55 +signal IPAD20 +signal PAD92 +signal PAD56 +signal PAD93 +signal PAD94 +signal PAD58 +signal IPAD23 +signal PAD59 +signal PAD96 +signal IPAD60 +signal PAD97 +signal PAD1 +signal IPAD26 +signal PAD98 +signal PAD2 +signal IPAD27 +signal PAD99 +signal PAD4 +signal IPAD66 +signal PAD5 +signal IPAD67 +signal PAD7 +signal PAD8 +signal PAD9 +signal PAD21 +signal PAD22 +signal PAD24 +signal PAD61 +signal PAD25 +signal PAD62 +signal PAD63 +signal PAD64 +signal PAD28 +signal PAD65 +signal PAD29 +signal PAD68 +signal IPAD32 +signal PAD69 +signal IPAD36 +signal IPAD73 +signal IPAD74 +signal IPAD77 +signal PAD30 +signal PAD31 +signal PAD33 +signal PAD70 +signal PAD34 +signal PAD71 +signal PAD35 +signal PAD72 +signal PAD37 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal Vaux0 +signal Vaux1 +signal Vaux2 + +register BSR 272 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction SAMPLE/PRELOAD 100000 BSR +instruction IDCODE 100100 DIR +instruction EXTEST 111100 BSR +instruction BYPASS 111111 BR + +bit 271 I 1 IPAD27 +bit 270 I 1 PAD28 +bit 269 O 1 PAD28 268 0 Z +bit 268 C 0 * +bit 267 I 1 PAD29 +bit 266 O 1 PAD29 265 0 Z +bit 265 C 0 * +bit 264 I 1 PAD30 +bit 263 O 1 PAD30 262 0 Z +bit 262 C 0 * +bit 261 I 1 PAD31 +bit 260 O 1 PAD31 259 0 Z +bit 259 C 0 * +bit 258 I 1 IPAD32 +bit 257 I 1 PAD33 +bit 256 O 1 PAD33 255 0 Z +bit 255 C 0 * +bit 254 I 1 PAD34 +bit 253 O 1 PAD34 252 0 Z +bit 252 C 0 * +bit 251 I 1 PAD35 +bit 250 O 1 PAD35 249 0 Z +bit 249 C 0 * +bit 248 I 1 IPAD36 +bit 247 I 1 PAD37 +bit 246 O 1 PAD37 245 0 Z +bit 245 C 0 * +bit 244 I 1 PAD38 +bit 243 O 1 PAD38 242 0 Z +bit 242 C 0 * +bit 241 I 1 PAD39 +bit 240 O 1 PAD39 239 0 Z +bit 239 C 0 * +bit 238 I 1 PAD40 +bit 237 O 1 PAD40 236 0 Z +bit 236 C 0 * +bit 235 I 1 IPAD41 +bit 234 I 1 PAD42 +bit 233 O 1 PAD42 232 0 Z +bit 232 C 0 * +bit 231 I 1 PAD43 +bit 230 O 1 PAD43 229 0 Z +bit 229 C 0 * +bit 228 I 1 PAD44 +bit 227 O 1 PAD44 226 0 Z +bit 226 C 0 * +bit 225 I 1 PAD45 +bit 224 O 1 PAD45 223 0 Z +bit 223 C 0 * +bit 222 I 1 IPAD46 +bit 221 I 1 PAD47 +bit 220 O 1 PAD47 219 0 Z +bit 219 C 0 * +bit 218 I 1 PAD48 +bit 217 O 1 PAD48 216 0 Z +bit 216 C 0 * +bit 215 I 1 PAD49 +bit 214 O 1 PAD49 213 0 Z +bit 213 C 0 * +bit 212 I 1 IPAD50 +bit 211 I 1 PAD51 +bit 210 O 1 PAD51 209 0 Z +bit 209 C 0 * +bit 208 I 1 PAD52 +bit 207 O 1 PAD52 206 0 Z +bit 206 C 0 * +bit 205 I 1 PAD53 +bit 204 O 1 PAD53 203 0 Z +bit 203 C 0 * +bit 202 I 1 PAD54 +bit 201 O 1 PAD54 200 0 Z +bit 200 C 0 * +bit 199 I 1 DONE +bit 198 O 1 * +bit 197 O 1 * +bit 196 I 1 PAD55 +bit 195 O 1 PAD55 194 0 Z +bit 194 C 0 * +bit 193 I 1 PAD56 +bit 192 O 1 PAD56 191 0 Z +bit 191 C 0 * +bit 190 I 1 IPAD57 +bit 189 I 1 PAD58 +bit 188 O 1 PAD58 187 0 Z +bit 187 C 0 * +bit 186 I 1 PAD59 +bit 185 O 1 PAD59 184 0 Z +bit 184 C 0 * +bit 183 I 1 IPAD60 +bit 182 I 1 PAD61 +bit 181 O 1 PAD61 180 0 Z +bit 180 C 0 * +bit 179 I 1 PAD62 +bit 178 O 1 PAD62 177 0 Z +bit 177 C 0 * +bit 176 I 1 PAD63 +bit 175 O 1 PAD63 174 0 Z +bit 174 C 0 * +bit 173 I 1 PAD64 +bit 172 O 1 PAD64 171 0 Z +bit 171 C 0 * +bit 170 I 1 PAD65 +bit 169 O 1 PAD65 168 0 Z +bit 168 C 0 * +bit 167 I 1 IPAD66 +bit 166 I 1 IPAD67 +bit 165 I 1 PAD68 +bit 164 O 1 PAD68 163 0 Z +bit 163 C 0 * +bit 162 I 1 PAD69 +bit 161 O 1 PAD69 160 0 Z +bit 160 C 0 * +bit 159 I 1 PAD70 +bit 158 O 1 PAD70 157 0 Z +bit 157 C 0 * +bit 156 I 1 PAD71 +bit 155 O 1 PAD71 154 0 Z +bit 154 C 0 * +bit 153 I 1 PAD72 +bit 152 O 1 PAD72 151 0 Z +bit 151 C 0 * +bit 150 I 1 IPAD73 +bit 149 I 1 IPAD74 +bit 148 I 1 PAD75 +bit 147 O 1 PAD75 146 0 Z +bit 146 C 0 * +bit 145 I 1 PAD76 +bit 144 O 1 PAD76 143 0 Z +bit 143 C 0 * +bit 142 I 1 IPAD77 +bit 141 I 1 PAD78 +bit 140 O 1 PAD78 139 0 Z +bit 139 C 0 * +bit 138 I 1 PAD79 +bit 137 O 1 PAD79 136 0 Z +bit 136 C 0 * +bit 135 I 1 IPAD80 +bit 134 I 1 IPAD81 +bit 133 I 1 PAD82 +bit 132 O 1 PAD82 131 0 Z +bit 131 C 0 * +bit 130 I 1 PAD83 +bit 129 O 1 PAD83 128 0 Z +bit 128 C 0 * +bit 127 I 1 PAD84 +bit 126 O 1 PAD84 125 0 Z +bit 125 C 0 * +bit 124 I 1 PAD85 +bit 123 O 1 PAD85 122 0 Z +bit 122 C 0 * +bit 121 I 1 IPAD86 +bit 120 I 1 PAD87 +bit 119 O 1 PAD87 118 0 Z +bit 118 C 0 * +bit 117 I 1 PAD88 +bit 116 O 1 PAD88 115 0 Z +bit 115 C 0 * +bit 114 I 1 PAD89 +bit 113 O 1 PAD89 112 0 Z +bit 112 C 0 * +bit 111 I 1 IPAD90 +bit 110 I 1 PAD91 +bit 109 O 1 PAD91 108 0 Z +bit 108 C 0 * +bit 107 I 1 PAD92 +bit 106 O 1 PAD92 105 0 Z +bit 105 C 0 * +bit 104 I 1 PAD93 +bit 103 O 1 PAD93 102 0 Z +bit 102 C 0 * +bit 101 I 1 PAD94 +bit 100 O 1 PAD94 99 0 Z +bit 99 C 0 * +bit 98 I 1 IPAD95 +bit 97 I 1 PAD96 +bit 96 O 1 PAD96 95 0 Z +bit 95 C 0 * +bit 94 I 1 PAD97 +bit 93 O 1 PAD97 92 0 Z +bit 92 C 0 * +bit 91 I 1 PAD98 +bit 90 O 1 PAD98 89 0 Z +bit 89 C 0 * +bit 88 I 1 PAD99 +bit 87 O 1 PAD99 86 0 Z +bit 86 C 0 * +bit 85 I 1 IPAD100 +bit 84 I 1 PAD101 +bit 83 O 1 PAD101 82 0 Z +bit 82 C 0 * +bit 81 I 1 PAD102 +bit 80 O 1 PAD102 79 0 Z +bit 79 C 0 * +bit 78 I 1 PAD103 +bit 77 O 1 PAD103 76 0 Z +bit 76 C 0 * +bit 75 I 1 IPAD104 +bit 74 I 1 PAD105 +bit 73 O 1 PAD105 72 0 Z +bit 72 C 0 * +bit 71 I 1 PAD106 +bit 70 O 1 PAD106 69 0 Z +bit 69 C 0 * +bit 68 I 1 PAD107 +bit 67 O 1 PAD107 66 0 Z +bit 66 C 0 * +bit 65 I 1 PAD108 +bit 64 O 1 PAD108 63 0 Z +bit 63 C 0 * +bit 62 I 1 PROG_B +bit 61 I 1 PAD1 +bit 60 O 1 PAD1 59 0 Z +bit 59 C 0 * +bit 58 I 1 PAD2 +bit 57 O 1 PAD2 56 0 Z +bit 56 C 0 * +bit 55 I 1 IPAD3 +bit 54 I 1 PAD4 +bit 53 O 1 PAD4 52 0 Z +bit 52 C 0 * +bit 51 I 1 PAD5 +bit 50 O 1 PAD5 49 0 Z +bit 49 C 0 * +bit 48 I 1 IPAD6 +bit 47 I 1 PAD7 +bit 46 O 1 PAD7 45 0 Z +bit 45 C 0 * +bit 44 I 1 PAD8 +bit 43 O 1 PAD8 42 0 Z +bit 42 C 0 * +bit 41 I 1 PAD9 +bit 40 O 1 PAD9 39 0 Z +bit 39 C 0 * +bit 38 I 1 PAD10 +bit 37 O 1 PAD10 36 0 Z +bit 36 C 0 * +bit 35 I 1 PAD11 +bit 34 O 1 PAD11 33 0 Z +bit 33 C 0 * +bit 32 I 1 IPAD12 +bit 31 I 1 IPAD13 +bit 30 I 1 PAD14 +bit 29 O 1 PAD14 28 0 Z +bit 28 C 0 * +bit 27 I 1 PAD15 +bit 26 O 1 PAD15 25 0 Z +bit 25 C 0 * +bit 24 I 1 PAD16 +bit 23 O 1 PAD16 22 0 Z +bit 22 C 0 * +bit 21 I 1 PAD17 +bit 20 O 1 PAD17 19 0 Z +bit 19 C 0 * +bit 18 I 1 PAD18 +bit 17 O 1 PAD18 16 0 Z +bit 16 C 0 * +bit 15 I 1 IPAD19 +bit 14 I 1 IPAD20 +bit 13 I 1 PAD21 +bit 12 O 1 PAD21 11 0 Z +bit 11 C 0 * +bit 10 I 1 PAD22 +bit 9 O 1 PAD22 8 0 Z +bit 8 C 0 * +bit 7 I 1 IPAD23 +bit 6 I 1 PAD24 +bit 5 O 1 PAD24 4 0 Z +bit 4 C 0 * +bit 3 I 1 PAD25 +bit 2 O 1 PAD25 1 0 Z +bit 1 C 0 * +bit 0 I 1 IPAD26 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_vq100 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_vq100 new file mode 100644 index 0000000..b0b82bd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s100e_die/xc3s100e_vq100 @@ -0,0 +1,383 @@ +signal TCK +signal TDI +signal TDO +signal TMS +signal PAD56 +signal PAD92 +signal PAD38 +signal PAD93 +signal PAD75 +signal PAD39 +signal PAD58 +signal PAD94 +signal PAD76 +signal PAD59 +signal PAD96 +signal PAD78 +signal PAD97 +signal PAD79 +signal PAD98 +signal PAD1 +signal PAD99 +signal PAD2 +signal IPAD66 +signal IPAD67 +signal PAD7 +signal PAD8 +signal PAD9 +signal PROG_B +signal PAD40 +signal PAD24 +signal PAD42 +signal PAD25 +signal PAD61 +signal PAD43 +signal PAD62 +signal PAD44 +signal PAD63 +signal PAD45 +signal PAD64 +signal PAD82 +signal PAD65 +signal PAD83 +signal PAD48 +signal IPAD12 +signal PAD49 +signal IPAD13 +signal PAD68 +signal PAD69 +signal DONE +signal IPAD36 +signal IPAD73 +signal PAD105 +signal PAD106 +signal IPAD95 +signal PAD107 +signal PAD108 +signal PAD10 +signal PAD11 +signal PAD14 +signal PAD15 +signal PAD34 +signal PAD70 +signal PAD35 +signal PAD71 +signal PAD53 +signal PAD17 +signal PAD72 +signal PAD54 +signal PAD18 +signal PAD37 +signal PAD55 +signal PAD91 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal Vaux0 +signal Vaux1 +signal Vaux2 + +register BSR 272 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction SAMPLE/PRELOAD 100000 BSR +instruction IDCODE 100100 DIR +instruction EXTEST 111100 BSR +instruction BYPASS 111111 BR + +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 O 1 * +bit 259 O 1 * +bit 258 O 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 I 1 PAD34 +bit 253 O 1 PAD34 252 0 Z +bit 252 C 0 * +bit 251 I 1 PAD35 +bit 250 O 1 PAD35 249 0 Z +bit 249 C 0 * +bit 248 I 1 IPAD36 +bit 247 I 1 PAD37 +bit 246 O 1 PAD37 245 0 Z +bit 245 C 0 * +bit 244 I 1 PAD38 +bit 243 O 1 PAD38 242 0 Z +bit 242 C 0 * +bit 241 I 1 PAD39 +bit 240 O 1 PAD39 239 0 Z +bit 239 C 0 * +bit 238 I 1 PAD40 +bit 237 O 1 PAD40 236 0 Z +bit 236 C 0 * +bit 235 O 1 * +bit 234 I 1 PAD42 +bit 233 O 1 PAD42 232 0 Z +bit 232 C 0 * +bit 231 I 1 PAD43 +bit 230 O 1 PAD43 229 0 Z +bit 229 C 0 * +bit 228 I 1 PAD44 +bit 227 O 1 PAD44 226 0 Z +bit 226 C 0 * +bit 225 I 1 PAD45 +bit 224 O 1 PAD45 223 0 Z +bit 223 C 0 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 I 1 PAD48 +bit 217 O 1 PAD48 216 0 Z +bit 216 C 0 * +bit 215 I 1 PAD49 +bit 214 O 1 PAD49 213 0 Z +bit 213 C 0 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 I 1 PAD53 +bit 204 O 1 PAD53 203 0 Z +bit 203 C 0 * +bit 202 I 1 PAD54 +bit 201 O 1 PAD54 200 0 Z +bit 200 C 0 * +bit 199 I 1 DONE +bit 198 O 1 * +bit 197 O 1 * +bit 196 I 1 PAD55 +bit 195 O 1 PAD55 194 0 Z +bit 194 C 0 * +bit 193 I 1 PAD56 +bit 192 O 1 PAD56 191 0 Z +bit 191 C 0 * +bit 190 O 1 * +bit 189 I 1 PAD58 +bit 188 O 1 PAD58 187 0 Z +bit 187 C 0 * +bit 186 I 1 PAD59 +bit 185 O 1 PAD59 184 0 Z +bit 184 C 0 * +bit 183 O 1 * +bit 182 I 1 PAD61 +bit 181 O 1 PAD61 180 0 Z +bit 180 C 0 * +bit 179 I 1 PAD62 +bit 178 O 1 PAD62 177 0 Z +bit 177 C 0 * +bit 176 I 1 PAD63 +bit 175 O 1 PAD63 174 0 Z +bit 174 C 0 * +bit 173 I 1 PAD64 +bit 172 O 1 PAD64 171 0 Z +bit 171 C 0 * +bit 170 I 1 PAD65 +bit 169 O 1 PAD65 168 0 Z +bit 168 C 0 * +bit 167 I 1 IPAD66 +bit 166 I 1 IPAD67 +bit 165 I 1 PAD68 +bit 164 O 1 PAD68 163 0 Z +bit 163 C 0 * +bit 162 I 1 PAD69 +bit 161 O 1 PAD69 160 0 Z +bit 160 C 0 * +bit 159 I 1 PAD70 +bit 158 O 1 PAD70 157 0 Z +bit 157 C 0 * +bit 156 I 1 PAD71 +bit 155 O 1 PAD71 154 0 Z +bit 154 C 0 * +bit 153 I 1 PAD72 +bit 152 O 1 PAD72 151 0 Z +bit 151 C 0 * +bit 150 I 1 IPAD73 +bit 149 O 1 * +bit 148 I 1 PAD75 +bit 147 O 1 PAD75 146 0 Z +bit 146 C 0 * +bit 145 I 1 PAD76 +bit 144 O 1 PAD76 143 0 Z +bit 143 C 0 * +bit 142 O 1 * +bit 141 I 1 PAD78 +bit 140 O 1 PAD78 139 0 Z +bit 139 C 0 * +bit 138 I 1 PAD79 +bit 137 O 1 PAD79 136 0 Z +bit 136 C 0 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 I 1 PAD82 +bit 132 O 1 PAD82 131 0 Z +bit 131 C 0 * +bit 130 I 1 PAD83 +bit 129 O 1 PAD83 128 0 Z +bit 128 C 0 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 I 1 PAD91 +bit 109 O 1 PAD91 108 0 Z +bit 108 C 0 * +bit 107 I 1 PAD92 +bit 106 O 1 PAD92 105 0 Z +bit 105 C 0 * +bit 104 I 1 PAD93 +bit 103 O 1 PAD93 102 0 Z +bit 102 C 0 * +bit 101 I 1 PAD94 +bit 100 O 1 PAD94 99 0 Z +bit 99 C 0 * +bit 98 I 1 IPAD95 +bit 97 I 1 PAD96 +bit 96 O 1 PAD96 95 0 Z +bit 95 C 0 * +bit 94 I 1 PAD97 +bit 93 O 1 PAD97 92 0 Z +bit 92 C 0 * +bit 91 I 1 PAD98 +bit 90 O 1 PAD98 89 0 Z +bit 89 C 0 * +bit 88 I 1 PAD99 +bit 87 O 1 PAD99 86 0 Z +bit 86 C 0 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 O 1 * +bit 82 O 1 * +bit 81 O 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 I 1 PAD105 +bit 73 O 1 PAD105 72 0 Z +bit 72 C 0 * +bit 71 I 1 PAD106 +bit 70 O 1 PAD106 69 0 Z +bit 69 C 0 * +bit 68 I 1 PAD107 +bit 67 O 1 PAD107 66 0 Z +bit 66 C 0 * +bit 65 I 1 PAD108 +bit 64 O 1 PAD108 63 0 Z +bit 63 C 0 * +bit 62 I 1 PROG_B +bit 61 I 1 PAD1 +bit 60 O 1 PAD1 59 0 Z +bit 59 C 0 * +bit 58 I 1 PAD2 +bit 57 O 1 PAD2 56 0 Z +bit 56 C 0 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 I 1 PAD7 +bit 46 O 1 PAD7 45 0 Z +bit 45 C 0 * +bit 44 I 1 PAD8 +bit 43 O 1 PAD8 42 0 Z +bit 42 C 0 * +bit 41 I 1 PAD9 +bit 40 O 1 PAD9 39 0 Z +bit 39 C 0 * +bit 38 I 1 PAD10 +bit 37 O 1 PAD10 36 0 Z +bit 36 C 0 * +bit 35 I 1 PAD11 +bit 34 O 1 PAD11 33 0 Z +bit 33 C 0 * +bit 32 I 1 IPAD12 +bit 31 I 1 IPAD13 +bit 30 I 1 PAD14 +bit 29 O 1 PAD14 28 0 Z +bit 28 C 0 * +bit 27 I 1 PAD15 +bit 26 O 1 PAD15 25 0 Z +bit 25 C 0 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 I 1 PAD17 +bit 20 O 1 PAD17 19 0 Z +bit 19 C 0 * +bit 18 I 1 PAD18 +bit 17 O 1 PAD18 16 0 Z +bit 16 C 0 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 I 1 PAD24 +bit 5 O 1 PAD24 4 0 Z +bit 4 C 0 * +bit 3 I 1 PAD25 +bit 2 O 1 PAD25 1 0 Z +bit 1 C 0 * +bit 0 O 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/STEPPINGS new file mode 100644 index 0000000..9c96360 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s1500 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500 new file mode 100644 index 0000000..bd005d4 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500 @@ -0,0 +1,2282 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal CCLK_PAD458 +signal DONE_PAD457 +signal HSWAP_EN_PAD96 +signal M0_PAD267 +signal M1_PAD266 +signal M2_PAD268 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD6 +signal IO_PAD8 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD12 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD16 +signal IO_PAD18 +signal IO_PAD20 +signal IO_PAD22 +signal IO_PAD23 +signal IO_PAD24 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD28 +signal IO_PAD30 +signal IO_PAD31 +signal IO_PAD32 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD36 +signal IO_PAD38 +signal IO_PAD40 +signal IO_PAD42 +signal IO_PAD44 +signal IO_PAD46 +signal IO_PAD48 +signal IO_PAD49 +signal IO_PAD50 +signal IO_PAD51 +signal IO_PAD52 +signal IO_PAD54 +signal IO_PAD56 +signal IO_PAD57 +signal IO_PAD58 +signal IO_PAD60 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD64 +signal IO_PAD65 +signal IO_PAD66 +signal IO_PAD68 +signal IO_PAD70 +signal IO_PAD72 +signal IO_PAD74 +signal IO_PAD75 +signal IO_PAD76 +signal IO_PAD77 +signal IO_PAD78 +signal IO_PAD80 +signal IO_PAD82 +signal IO_PAD83 +signal IO_PAD84 +signal IO_PAD85 +signal IO_PAD86 +signal IO_PAD88 +signal IO_PAD89 +signal IO_PAD93 +signal IO_PAD94 +signal IO_PAD95 +signal IO_PAD100 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD103 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD106 +signal IO_PAD108 +signal IO_PAD109 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD112 +signal IO_PAD114 +signal IO_PAD116 +signal IO_PAD118 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD124 +signal IO_PAD125 +signal IO_PAD126 +signal IO_PAD128 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD132 +signal IO_PAD134 +signal IO_PAD135 +signal IO_PAD136 +signal IO_PAD137 +signal IO_PAD138 +signal IO_PAD140 +signal IO_PAD142 +signal IO_PAD144 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD148 +signal IO_PAD150 +signal IO_PAD152 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD156 +signal IO_PAD157 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD160 +signal IO_PAD161 +signal IO_PAD162 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD166 +signal IO_PAD168 +signal IO_PAD170 +signal IO_PAD172 +signal IO_PAD174 +signal IO_PAD175 +signal IO_PAD176 +signal IO_PAD178 +signal IO_PAD180 +signal IO_PAD181 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD186 +signal IO_PAD188 +signal IO_PAD189 +signal IO_PAD190 +signal IO_PAD192 +signal IO_PAD194 +signal IO_PAD196 +signal IO_PAD198 +signal IO_PAD200 +signal IO_PAD201 +signal IO_PAD202 +signal IO_PAD203 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD206 +signal IO_PAD207 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD212 +signal IO_PAD214 +signal IO_PAD216 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD220 +signal IO_PAD222 +signal IO_PAD224 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD228 +signal IO_PAD229 +signal IO_PAD230 +signal IO_PAD232 +signal IO_PAD234 +signal IO_PAD235 +signal IO_PAD236 +signal IO_PAD238 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD242 +signal IO_PAD243 +signal IO_PAD244 +signal IO_PAD246 +signal IO_PAD248 +signal IO_PAD250 +signal IO_PAD252 +signal IO_PAD253 +signal IO_PAD254 +signal IO_PAD255 +signal IO_PAD256 +signal IO_PAD258 +signal IO_PAD259 +signal IO_PAD260 +signal IO_PAD261 +signal IO_PAD262 +signal IO_PAD263 +signal IO_PAD264 +signal IO_PAD269 +signal IO_PAD270 +signal IO_PAD274 +signal IO_PAD275 +signal IO_PAD276 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD280 +signal IO_PAD281 +signal IO_PAD282 +signal IO_PAD284 +signal IO_PAD286 +signal IO_PAD287 +signal IO_PAD288 +signal IO_PAD289 +signal IO_PAD290 +signal IO_PAD292 +signal IO_PAD294 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD299 +signal IO_PAD300 +signal IO_PAD302 +signal IO_PAD303 +signal IO_PAD304 +signal IO_PAD306 +signal IO_PAD307 +signal IO_PAD308 +signal IO_PAD310 +signal IO_PAD312 +signal IO_PAD313 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD316 +signal IO_PAD318 +signal IO_PAD320 +signal IO_PAD322 +signal IO_PAD324 +signal IO_PAD326 +signal IO_PAD328 +signal IO_PAD329 +signal IO_PAD330 +signal IO_PAD331 +signal IO_PAD332 +signal IO_PAD333 +signal IO_PAD334 +signal IO_PAD336 +signal IO_PAD338 +signal IO_PAD339 +signal IO_PAD340 +signal IO_PAD341 +signal IO_PAD342 +signal IO_PAD344 +signal IO_PAD346 +signal IO_PAD348 +signal IO_PAD350 +signal IO_PAD351 +signal IO_PAD352 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD356 +signal IO_PAD358 +signal IO_PAD360 +signal IO_PAD361 +signal IO_PAD362 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD366 +signal IO_PAD368 +signal IO_PAD370 +signal IO_PAD371 +signal IO_PAD372 +signal IO_PAD374 +signal IO_PAD375 +signal IO_PAD376 +signal IO_PAD378 +signal IO_PAD380 +signal IO_PAD382 +signal IO_PAD384 +signal IO_PAD385 +signal IO_PAD386 +signal IO_PAD387 +signal IO_PAD388 +signal IO_PAD390 +signal IO_PAD392 +signal IO_PAD393 +signal IO_PAD394 +signal IO_PAD395 +signal IO_PAD396 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD400 +signal IO_PAD402 +signal IO_PAD404 +signal IO_PAD406 +signal IO_PAD408 +signal IO_PAD410 +signal IO_PAD411 +signal IO_PAD412 +signal IO_PAD413 +signal IO_PAD414 +signal IO_PAD416 +signal IO_PAD418 +signal IO_PAD419 +signal IO_PAD420 +signal IO_PAD422 +signal IO_PAD423 +signal IO_PAD424 +signal IO_PAD426 +signal IO_PAD427 +signal IO_PAD428 +signal IO_PAD430 +signal IO_PAD432 +signal IO_PAD434 +signal IO_PAD436 +signal IO_PAD437 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD440 +signal IO_PAD442 +signal IO_PAD444 +signal IO_PAD445 +signal IO_PAD446 +signal IO_PAD447 +signal IO_PAD448 +signal IO_PAD450 +signal IO_PAD451 +signal IO_PAD454 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD461 +signal IO_PAD462 +signal IO_PAD463 +signal IO_PAD464 +signal IO_PAD465 +signal IO_PAD466 +signal IO_PAD467 +signal IO_PAD469 +signal IO_PAD470 +signal IO_PAD471 +signal IO_PAD472 +signal IO_PAD473 +signal IO_PAD475 +signal IO_PAD477 +signal IO_PAD479 +signal IO_PAD481 +signal IO_PAD482 +signal IO_PAD483 +signal IO_PAD485 +signal IO_PAD486 +signal IO_PAD487 +signal IO_PAD489 +signal IO_PAD490 +signal IO_PAD491 +signal IO_PAD493 +signal IO_PAD495 +signal IO_PAD496 +signal IO_PAD497 +signal IO_PAD498 +signal IO_PAD499 +signal IO_PAD501 +signal IO_PAD503 +signal IO_PAD505 +signal IO_PAD507 +signal IO_PAD508 +signal IO_PAD509 +signal IO_PAD511 +signal IO_PAD513 +signal IO_PAD514 +signal IO_PAD515 +signal IO_PAD516 +signal IO_PAD517 +signal IO_PAD518 +signal IO_PAD519 +signal IO_PAD520 +signal IO_PAD521 +signal IO_PAD522 +signal IO_PAD523 +signal IO_PAD524 +signal IO_PAD525 +signal IO_PAD527 +signal IO_PAD529 +signal IO_PAD531 +signal IO_PAD533 +signal IO_PAD535 +signal IO_PAD536 +signal IO_PAD537 +signal IO_PAD539 +signal IO_PAD541 +signal IO_PAD542 +signal IO_PAD544 +signal IO_PAD545 +signal IO_PAD547 +signal IO_PAD549 +signal IO_PAD550 +signal IO_PAD551 +signal IO_PAD553 +signal IO_PAD555 +signal IO_PAD557 +signal IO_PAD559 +signal IO_PAD561 +signal IO_PAD562 +signal IO_PAD563 +signal IO_PAD564 +signal IO_PAD565 +signal IO_PAD566 +signal IO_PAD567 +signal IO_PAD568 +signal IO_PAD569 +signal IO_PAD570 +signal IO_PAD571 +signal IO_PAD572 +signal IO_PAD573 +signal IO_PAD575 +signal IO_PAD577 +signal IO_PAD578 +signal IO_PAD579 +signal IO_PAD581 +signal IO_PAD583 +signal IO_PAD585 +signal IO_PAD587 +signal IO_PAD588 +signal IO_PAD589 +signal IO_PAD590 +signal IO_PAD591 +signal IO_PAD593 +signal IO_PAD595 +signal IO_PAD596 +signal IO_PAD597 +signal IO_PAD599 +signal IO_PAD600 +signal IO_PAD601 +signal IO_PAD603 +signal IO_PAD604 +signal IO_PAD605 +signal IO_PAD607 +signal IO_PAD609 +signal IO_PAD611 +signal IO_PAD613 +signal IO_PAD614 +signal IO_PAD615 +signal IO_PAD616 +signal IO_PAD617 +signal IO_PAD619 +signal IO_PAD620 +signal IO_PAD621 +signal IO_PAD622 +signal IO_PAD623 +signal IO_PAD624 +signal IO_PAD625 +signal IO_PAD630 +signal IO_PAD631 +signal IO_PAD633 +signal IO_PAD634 +signal IO_PAD635 +signal IO_PAD637 +signal IO_PAD638 +signal IO_PAD639 +signal IO_PAD640 +signal IO_PAD641 +signal IO_PAD643 +signal IO_PAD645 +signal IO_PAD646 +signal IO_PAD647 +signal IO_PAD648 +signal IO_PAD649 +signal IO_PAD651 +signal IO_PAD653 +signal IO_PAD655 +signal IO_PAD657 +signal IO_PAD658 +signal IO_PAD659 +signal IO_PAD661 +signal IO_PAD662 +signal IO_PAD663 +signal IO_PAD665 +signal IO_PAD666 +signal IO_PAD667 +signal IO_PAD669 +signal IO_PAD671 +signal IO_PAD672 +signal IO_PAD673 +signal IO_PAD674 +signal IO_PAD675 +signal IO_PAD677 +signal IO_PAD679 +signal IO_PAD681 +signal IO_PAD683 +signal IO_PAD685 +signal IO_PAD687 +signal IO_PAD688 +signal IO_PAD689 +signal IO_PAD690 +signal IO_PAD691 +signal IO_PAD692 +signal IO_PAD693 +signal IO_PAD695 +signal IO_PAD697 +signal IO_PAD698 +signal IO_PAD699 +signal IO_PAD700 +signal IO_PAD701 +signal IO_PAD703 +signal IO_PAD705 +signal IO_PAD707 +signal IO_PAD709 +signal IO_PAD710 +signal IO_PAD711 +signal IO_PAD713 +signal IO_PAD714 +signal IO_PAD715 +signal IO_PAD717 +signal IO_PAD719 +signal IO_PAD720 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_PAD625 +bit 1557 O 1 IO_PAD625 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_PAD624 +bit 1554 O 1 IO_PAD624 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_PAD623 +bit 1551 O 1 IO_PAD623 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_PAD622 +bit 1548 O 1 IO_PAD622 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_PAD621 +bit 1545 O 1 IO_PAD621 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_PAD620 +bit 1542 O 1 IO_PAD620 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_PAD619 +bit 1539 O 1 IO_PAD619 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_PAD617 +bit 1536 O 1 IO_PAD617 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_PAD616 +bit 1533 O 1 IO_PAD616 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_PAD615 +bit 1530 O 1 IO_PAD615 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_PAD614 +bit 1527 O 1 IO_PAD614 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_PAD613 +bit 1524 O 1 IO_PAD613 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_PAD611 +bit 1521 O 1 IO_PAD611 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_PAD609 +bit 1518 O 1 IO_PAD609 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_PAD607 +bit 1515 O 1 IO_PAD607 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_PAD605 +bit 1512 O 1 IO_PAD605 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_PAD604 +bit 1509 O 1 IO_PAD604 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_PAD603 +bit 1506 O 1 IO_PAD603 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_PAD601 +bit 1503 O 1 IO_PAD601 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_PAD600 +bit 1500 O 1 IO_PAD600 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_PAD599 +bit 1497 O 1 IO_PAD599 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_PAD597 +bit 1494 O 1 IO_PAD597 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_PAD596 +bit 1491 O 1 IO_PAD596 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_PAD595 +bit 1488 O 1 IO_PAD595 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_PAD593 +bit 1485 O 1 IO_PAD593 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_PAD591 +bit 1482 O 1 IO_PAD591 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_PAD590 +bit 1479 O 1 IO_PAD590 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_PAD589 +bit 1476 O 1 IO_PAD589 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_PAD588 +bit 1473 O 1 IO_PAD588 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_PAD587 +bit 1470 O 1 IO_PAD587 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_PAD585 +bit 1467 O 1 IO_PAD585 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_PAD583 +bit 1464 O 1 IO_PAD583 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_PAD581 +bit 1461 O 1 IO_PAD581 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_PAD579 +bit 1458 O 1 IO_PAD579 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_PAD578 +bit 1455 O 1 IO_PAD578 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_PAD577 +bit 1452 O 1 IO_PAD577 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_PAD575 +bit 1449 O 1 IO_PAD575 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_PAD573 +bit 1446 O 1 IO_PAD573 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_PAD572 +bit 1443 O 1 IO_PAD572 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_PAD571 +bit 1440 O 1 IO_PAD571 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_PAD570 +bit 1437 O 1 IO_PAD570 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_PAD569 +bit 1434 O 1 IO_PAD569 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_PAD568 +bit 1431 O 1 IO_PAD568 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_PAD567 +bit 1428 O 1 IO_PAD567 1427 1 Z +bit 1427 C 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_PAD566 +bit 1419 O 1 IO_PAD566 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_PAD565 +bit 1416 O 1 IO_PAD565 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_PAD564 +bit 1413 O 1 IO_PAD564 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_PAD563 +bit 1410 O 1 IO_PAD563 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_PAD562 +bit 1407 O 1 IO_PAD562 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_PAD561 +bit 1404 O 1 IO_PAD561 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_PAD559 +bit 1401 O 1 IO_PAD559 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_PAD557 +bit 1398 O 1 IO_PAD557 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_PAD555 +bit 1395 O 1 IO_PAD555 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_PAD553 +bit 1392 O 1 IO_PAD553 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 I 1 IO_PAD551 +bit 1383 O 1 IO_PAD551 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_PAD550 +bit 1380 O 1 IO_PAD550 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_PAD549 +bit 1377 O 1 IO_PAD549 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_PAD547 +bit 1374 O 1 IO_PAD547 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_PAD545 +bit 1371 O 1 IO_PAD545 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_PAD544 +bit 1368 O 1 IO_PAD544 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_PAD542 +bit 1365 O 1 IO_PAD542 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_PAD541 +bit 1362 O 1 IO_PAD541 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_PAD539 +bit 1359 O 1 IO_PAD539 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_PAD537 +bit 1356 O 1 IO_PAD537 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_PAD536 +bit 1353 O 1 IO_PAD536 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_PAD535 +bit 1350 O 1 IO_PAD535 1349 1 Z +bit 1349 C 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_PAD533 +bit 1341 O 1 IO_PAD533 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_PAD531 +bit 1338 O 1 IO_PAD531 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_PAD529 +bit 1335 O 1 IO_PAD529 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_PAD527 +bit 1332 O 1 IO_PAD527 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_PAD525 +bit 1329 O 1 IO_PAD525 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_PAD524 +bit 1326 O 1 IO_PAD524 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_PAD523 +bit 1323 O 1 IO_PAD523 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_PAD522 +bit 1320 O 1 IO_PAD522 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_PAD521 +bit 1317 O 1 IO_PAD521 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_PAD520 +bit 1314 O 1 IO_PAD520 1313 1 Z +bit 1313 C 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_PAD519 +bit 1305 O 1 IO_PAD519 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_PAD518 +bit 1302 O 1 IO_PAD518 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_PAD517 +bit 1299 O 1 IO_PAD517 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_PAD516 +bit 1296 O 1 IO_PAD516 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_PAD515 +bit 1293 O 1 IO_PAD515 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_PAD514 +bit 1290 O 1 IO_PAD514 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_PAD513 +bit 1287 O 1 IO_PAD513 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_PAD511 +bit 1284 O 1 IO_PAD511 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_PAD509 +bit 1281 O 1 IO_PAD509 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_PAD508 +bit 1278 O 1 IO_PAD508 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_PAD507 +bit 1275 O 1 IO_PAD507 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_PAD505 +bit 1272 O 1 IO_PAD505 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_PAD503 +bit 1269 O 1 IO_PAD503 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_PAD501 +bit 1266 O 1 IO_PAD501 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_PAD499 +bit 1263 O 1 IO_PAD499 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_PAD498 +bit 1260 O 1 IO_PAD498 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_PAD497 +bit 1257 O 1 IO_PAD497 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_PAD496 +bit 1254 O 1 IO_PAD496 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_PAD495 +bit 1251 O 1 IO_PAD495 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_PAD493 +bit 1248 O 1 IO_PAD493 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_PAD491 +bit 1245 O 1 IO_PAD491 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_PAD490 +bit 1242 O 1 IO_PAD490 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_PAD489 +bit 1239 O 1 IO_PAD489 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_PAD487 +bit 1236 O 1 IO_PAD487 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_PAD486 +bit 1233 O 1 IO_PAD486 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_PAD485 +bit 1230 O 1 IO_PAD485 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_PAD483 +bit 1227 O 1 IO_PAD483 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_PAD482 +bit 1224 O 1 IO_PAD482 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_PAD481 +bit 1221 O 1 IO_PAD481 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_PAD479 +bit 1218 O 1 IO_PAD479 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_PAD477 +bit 1215 O 1 IO_PAD477 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_PAD475 +bit 1212 O 1 IO_PAD475 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_PAD473 +bit 1209 O 1 IO_PAD473 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_PAD472 +bit 1206 O 1 IO_PAD472 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_PAD471 +bit 1203 O 1 IO_PAD471 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_PAD470 +bit 1200 O 1 IO_PAD470 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_PAD469 +bit 1197 O 1 IO_PAD469 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_PAD467 +bit 1194 O 1 IO_PAD467 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_PAD466 +bit 1191 O 1 IO_PAD466 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_PAD465 +bit 1188 O 1 IO_PAD465 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_PAD464 +bit 1185 O 1 IO_PAD464 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_PAD463 +bit 1182 O 1 IO_PAD463 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_PAD462 +bit 1179 O 1 IO_PAD462 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_PAD461 +bit 1176 O 1 IO_PAD461 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_PAD458 +bit 1173 O 1 CCLK_PAD458 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_PAD457 +bit 1170 O 1 DONE_PAD457 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_PAD456 +bit 1167 O 1 IO_PAD456 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_PAD455 +bit 1164 O 1 IO_PAD455 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD454 +bit 1161 O 1 IO_PAD454 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD451 +bit 1158 O 1 IO_PAD451 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD450 +bit 1155 O 1 IO_PAD450 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD448 +bit 1152 O 1 IO_PAD448 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD447 +bit 1149 O 1 IO_PAD447 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD446 +bit 1146 O 1 IO_PAD446 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD445 +bit 1143 O 1 IO_PAD445 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD444 +bit 1140 O 1 IO_PAD444 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD442 +bit 1137 O 1 IO_PAD442 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD440 +bit 1134 O 1 IO_PAD440 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD439 +bit 1131 O 1 IO_PAD439 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD438 +bit 1128 O 1 IO_PAD438 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD437 +bit 1125 O 1 IO_PAD437 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD436 +bit 1122 O 1 IO_PAD436 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_PAD434 +bit 1119 O 1 IO_PAD434 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_PAD432 +bit 1116 O 1 IO_PAD432 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD430 +bit 1113 O 1 IO_PAD430 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD428 +bit 1110 O 1 IO_PAD428 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD427 +bit 1107 O 1 IO_PAD427 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD426 +bit 1104 O 1 IO_PAD426 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_PAD424 +bit 1101 O 1 IO_PAD424 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_PAD423 +bit 1098 O 1 IO_PAD423 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_PAD422 +bit 1095 O 1 IO_PAD422 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_PAD420 +bit 1092 O 1 IO_PAD420 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD419 +bit 1089 O 1 IO_PAD419 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD418 +bit 1086 O 1 IO_PAD418 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_PAD416 +bit 1083 O 1 IO_PAD416 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_PAD414 +bit 1080 O 1 IO_PAD414 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_PAD413 +bit 1077 O 1 IO_PAD413 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_PAD412 +bit 1074 O 1 IO_PAD412 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_PAD411 +bit 1071 O 1 IO_PAD411 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD410 +bit 1068 O 1 IO_PAD410 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_PAD408 +bit 1065 O 1 IO_PAD408 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_PAD406 +bit 1062 O 1 IO_PAD406 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD404 +bit 1059 O 1 IO_PAD404 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD402 +bit 1056 O 1 IO_PAD402 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD400 +bit 1053 O 1 IO_PAD400 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD398 +bit 1050 O 1 IO_PAD398 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_PAD397 +bit 1047 O 1 IO_PAD397 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_PAD396 +bit 1044 O 1 IO_PAD396 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD395 +bit 1041 O 1 IO_PAD395 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD394 +bit 1038 O 1 IO_PAD394 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD393 +bit 1035 O 1 IO_PAD393 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD392 +bit 1032 O 1 IO_PAD392 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_PAD390 +bit 1029 O 1 IO_PAD390 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_PAD388 +bit 1026 O 1 IO_PAD388 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_PAD387 +bit 1023 O 1 IO_PAD387 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_PAD386 +bit 1020 O 1 IO_PAD386 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD385 +bit 1017 O 1 IO_PAD385 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_PAD384 +bit 1014 O 1 IO_PAD384 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_PAD382 +bit 1011 O 1 IO_PAD382 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_PAD380 +bit 1008 O 1 IO_PAD380 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_PAD378 +bit 1005 O 1 IO_PAD378 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_PAD376 +bit 1002 O 1 IO_PAD376 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_PAD375 +bit 999 O 1 IO_PAD375 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_PAD374 +bit 996 O 1 IO_PAD374 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD372 +bit 993 O 1 IO_PAD372 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_PAD371 +bit 990 O 1 IO_PAD371 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_PAD370 +bit 987 O 1 IO_PAD370 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD368 +bit 984 O 1 IO_PAD368 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD366 +bit 981 O 1 IO_PAD366 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD365 +bit 978 O 1 IO_PAD365 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD364 +bit 975 O 1 IO_PAD364 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_PAD362 +bit 972 O 1 IO_PAD362 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_PAD361 +bit 969 O 1 IO_PAD361 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD360 +bit 966 O 1 IO_PAD360 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_PAD358 +bit 963 O 1 IO_PAD358 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_PAD356 +bit 960 O 1 IO_PAD356 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD355 +bit 957 O 1 IO_PAD355 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_PAD354 +bit 954 O 1 IO_PAD354 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_PAD352 +bit 951 O 1 IO_PAD352 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD351 +bit 948 O 1 IO_PAD351 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_PAD350 +bit 945 O 1 IO_PAD350 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_PAD348 +bit 942 O 1 IO_PAD348 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_PAD346 +bit 939 O 1 IO_PAD346 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_PAD344 +bit 936 O 1 IO_PAD344 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_PAD342 +bit 933 O 1 IO_PAD342 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_PAD341 +bit 930 O 1 IO_PAD341 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD340 +bit 927 O 1 IO_PAD340 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD339 +bit 924 O 1 IO_PAD339 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD338 +bit 921 O 1 IO_PAD338 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_PAD336 +bit 918 O 1 IO_PAD336 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_PAD334 +bit 915 O 1 IO_PAD334 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD333 +bit 912 O 1 IO_PAD333 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD332 +bit 909 O 1 IO_PAD332 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD331 +bit 906 O 1 IO_PAD331 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD330 +bit 903 O 1 IO_PAD330 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD329 +bit 900 O 1 IO_PAD329 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD328 +bit 897 O 1 IO_PAD328 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD326 +bit 894 O 1 IO_PAD326 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD324 +bit 891 O 1 IO_PAD324 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD322 +bit 888 O 1 IO_PAD322 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_PAD320 +bit 885 O 1 IO_PAD320 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_PAD318 +bit 882 O 1 IO_PAD318 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_PAD316 +bit 879 O 1 IO_PAD316 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_PAD315 +bit 876 O 1 IO_PAD315 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_PAD314 +bit 873 O 1 IO_PAD314 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_PAD313 +bit 870 O 1 IO_PAD313 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_PAD312 +bit 867 O 1 IO_PAD312 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_PAD310 +bit 864 O 1 IO_PAD310 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_PAD308 +bit 861 O 1 IO_PAD308 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_PAD307 +bit 858 O 1 IO_PAD307 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_PAD306 +bit 855 O 1 IO_PAD306 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_PAD304 +bit 852 O 1 IO_PAD304 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_PAD303 +bit 849 O 1 IO_PAD303 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_PAD302 +bit 846 O 1 IO_PAD302 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_PAD300 +bit 843 O 1 IO_PAD300 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_PAD299 +bit 840 O 1 IO_PAD299 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_PAD298 +bit 837 O 1 IO_PAD298 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_PAD296 +bit 834 O 1 IO_PAD296 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_PAD294 +bit 831 O 1 IO_PAD294 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_PAD292 +bit 828 O 1 IO_PAD292 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_PAD290 +bit 825 O 1 IO_PAD290 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_PAD289 +bit 822 O 1 IO_PAD289 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_PAD288 +bit 819 O 1 IO_PAD288 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_PAD287 +bit 816 O 1 IO_PAD287 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_PAD286 +bit 813 O 1 IO_PAD286 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_PAD284 +bit 810 O 1 IO_PAD284 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD282 +bit 807 O 1 IO_PAD282 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_PAD281 +bit 804 O 1 IO_PAD281 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_PAD280 +bit 801 O 1 IO_PAD280 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_PAD279 +bit 798 O 1 IO_PAD279 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_PAD278 +bit 795 O 1 IO_PAD278 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD276 +bit 792 O 1 IO_PAD276 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD275 +bit 789 O 1 IO_PAD275 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_PAD274 +bit 786 O 1 IO_PAD274 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_PAD270 +bit 783 O 1 IO_PAD270 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_PAD269 +bit 780 O 1 IO_PAD269 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_PAD268 +bit 777 I 1 M0_PAD267 +bit 776 I 1 M1_PAD266 +bit 775 I 1 IO_PAD264 +bit 774 O 1 IO_PAD264 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD263 +bit 771 O 1 IO_PAD263 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD262 +bit 768 O 1 IO_PAD262 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD261 +bit 765 O 1 IO_PAD261 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD260 +bit 762 O 1 IO_PAD260 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD259 +bit 759 O 1 IO_PAD259 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD258 +bit 756 O 1 IO_PAD258 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD256 +bit 753 O 1 IO_PAD256 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_PAD255 +bit 750 O 1 IO_PAD255 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_PAD254 +bit 747 O 1 IO_PAD254 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_PAD253 +bit 744 O 1 IO_PAD253 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD252 +bit 741 O 1 IO_PAD252 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD250 +bit 738 O 1 IO_PAD250 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD248 +bit 735 O 1 IO_PAD248 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_PAD246 +bit 732 O 1 IO_PAD246 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_PAD244 +bit 729 O 1 IO_PAD244 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_PAD243 +bit 726 O 1 IO_PAD243 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_PAD242 +bit 723 O 1 IO_PAD242 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_PAD240 +bit 720 O 1 IO_PAD240 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD239 +bit 717 O 1 IO_PAD239 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD238 +bit 714 O 1 IO_PAD238 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD236 +bit 711 O 1 IO_PAD236 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD235 +bit 708 O 1 IO_PAD235 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD234 +bit 705 O 1 IO_PAD234 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD232 +bit 702 O 1 IO_PAD232 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD230 +bit 699 O 1 IO_PAD230 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_PAD229 +bit 696 O 1 IO_PAD229 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_PAD228 +bit 693 O 1 IO_PAD228 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_PAD227 +bit 690 O 1 IO_PAD227 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD226 +bit 687 O 1 IO_PAD226 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD224 +bit 684 O 1 IO_PAD224 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD222 +bit 681 O 1 IO_PAD222 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD220 +bit 678 O 1 IO_PAD220 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD218 +bit 675 O 1 IO_PAD218 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD217 +bit 672 O 1 IO_PAD217 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD216 +bit 669 O 1 IO_PAD216 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD214 +bit 666 O 1 IO_PAD214 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD212 +bit 663 O 1 IO_PAD212 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_PAD211 +bit 660 O 1 IO_PAD211 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_PAD210 +bit 657 O 1 IO_PAD210 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_PAD209 +bit 654 O 1 IO_PAD209 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD208 +bit 651 O 1 IO_PAD208 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD207 +bit 648 O 1 IO_PAD207 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD206 +bit 645 O 1 IO_PAD206 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_PAD205 +bit 636 O 1 IO_PAD205 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD204 +bit 633 O 1 IO_PAD204 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_PAD203 +bit 630 O 1 IO_PAD203 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_PAD202 +bit 627 O 1 IO_PAD202 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_PAD201 +bit 624 O 1 IO_PAD201 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_PAD200 +bit 621 O 1 IO_PAD200 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD198 +bit 618 O 1 IO_PAD198 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD196 +bit 615 O 1 IO_PAD196 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD194 +bit 612 O 1 IO_PAD194 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD192 +bit 609 O 1 IO_PAD192 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 I 1 IO_PAD190 +bit 600 O 1 IO_PAD190 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD189 +bit 597 O 1 IO_PAD189 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD188 +bit 594 O 1 IO_PAD188 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD186 +bit 591 O 1 IO_PAD186 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_PAD184 +bit 588 O 1 IO_PAD184 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_PAD183 +bit 585 O 1 IO_PAD183 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_PAD181 +bit 582 O 1 IO_PAD181 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_PAD180 +bit 579 O 1 IO_PAD180 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD178 +bit 576 O 1 IO_PAD178 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD176 +bit 573 O 1 IO_PAD176 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD175 +bit 570 O 1 IO_PAD175 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD174 +bit 567 O 1 IO_PAD174 566 1 Z +bit 566 C 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_PAD172 +bit 558 O 1 IO_PAD172 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD170 +bit 555 O 1 IO_PAD170 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD168 +bit 552 O 1 IO_PAD168 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD166 +bit 549 O 1 IO_PAD166 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD164 +bit 546 O 1 IO_PAD164 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD163 +bit 543 O 1 IO_PAD163 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_PAD162 +bit 540 O 1 IO_PAD162 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_PAD161 +bit 537 O 1 IO_PAD161 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_PAD160 +bit 534 O 1 IO_PAD160 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_PAD159 +bit 531 O 1 IO_PAD159 530 1 Z +bit 530 C 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 I 1 IO_PAD158 +bit 522 O 1 IO_PAD158 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_PAD157 +bit 519 O 1 IO_PAD157 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_PAD156 +bit 516 O 1 IO_PAD156 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_PAD155 +bit 513 O 1 IO_PAD155 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_PAD154 +bit 510 O 1 IO_PAD154 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_PAD153 +bit 507 O 1 IO_PAD153 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_PAD152 +bit 504 O 1 IO_PAD152 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_PAD150 +bit 501 O 1 IO_PAD150 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_PAD148 +bit 498 O 1 IO_PAD148 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_PAD147 +bit 495 O 1 IO_PAD147 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_PAD146 +bit 492 O 1 IO_PAD146 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_PAD144 +bit 489 O 1 IO_PAD144 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_PAD142 +bit 486 O 1 IO_PAD142 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_PAD140 +bit 483 O 1 IO_PAD140 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_PAD138 +bit 480 O 1 IO_PAD138 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_PAD137 +bit 477 O 1 IO_PAD137 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_PAD136 +bit 474 O 1 IO_PAD136 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_PAD135 +bit 471 O 1 IO_PAD135 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_PAD134 +bit 468 O 1 IO_PAD134 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_PAD132 +bit 465 O 1 IO_PAD132 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_PAD130 +bit 462 O 1 IO_PAD130 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_PAD129 +bit 459 O 1 IO_PAD129 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_PAD128 +bit 456 O 1 IO_PAD128 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_PAD126 +bit 453 O 1 IO_PAD126 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_PAD125 +bit 450 O 1 IO_PAD125 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_PAD124 +bit 447 O 1 IO_PAD124 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_PAD122 +bit 444 O 1 IO_PAD122 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_PAD121 +bit 441 O 1 IO_PAD121 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_PAD120 +bit 438 O 1 IO_PAD120 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_PAD118 +bit 435 O 1 IO_PAD118 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_PAD116 +bit 432 O 1 IO_PAD116 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_PAD114 +bit 429 O 1 IO_PAD114 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_PAD112 +bit 426 O 1 IO_PAD112 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_PAD111 +bit 423 O 1 IO_PAD111 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_PAD110 +bit 420 O 1 IO_PAD110 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_PAD109 +bit 417 O 1 IO_PAD109 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_PAD108 +bit 414 O 1 IO_PAD108 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_PAD106 +bit 411 O 1 IO_PAD106 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_PAD105 +bit 408 O 1 IO_PAD105 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_PAD104 +bit 405 O 1 IO_PAD104 404 1 Z +bit 404 C 1 * +bit 403 I 1 IO_PAD103 +bit 402 O 1 IO_PAD103 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_PAD102 +bit 399 O 1 IO_PAD102 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_PAD101 +bit 396 O 1 IO_PAD101 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_PAD100 +bit 393 O 1 IO_PAD100 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_PAD96 +bit 389 I 1 IO_PAD95 +bit 388 O 1 IO_PAD95 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_PAD94 +bit 385 O 1 IO_PAD94 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_PAD93 +bit 382 O 1 IO_PAD93 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_PAD89 +bit 379 O 1 IO_PAD89 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_PAD88 +bit 376 O 1 IO_PAD88 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_PAD86 +bit 373 O 1 IO_PAD86 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_PAD85 +bit 370 O 1 IO_PAD85 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_PAD84 +bit 367 O 1 IO_PAD84 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_PAD83 +bit 364 O 1 IO_PAD83 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_PAD82 +bit 361 O 1 IO_PAD82 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_PAD80 +bit 358 O 1 IO_PAD80 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_PAD78 +bit 355 O 1 IO_PAD78 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_PAD77 +bit 352 O 1 IO_PAD77 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_PAD76 +bit 349 O 1 IO_PAD76 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_PAD75 +bit 346 O 1 IO_PAD75 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_PAD74 +bit 343 O 1 IO_PAD74 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_PAD72 +bit 340 O 1 IO_PAD72 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_PAD70 +bit 337 O 1 IO_PAD70 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_PAD68 +bit 334 O 1 IO_PAD68 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_PAD66 +bit 331 O 1 IO_PAD66 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_PAD65 +bit 328 O 1 IO_PAD65 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_PAD64 +bit 325 O 1 IO_PAD64 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_PAD62 +bit 322 O 1 IO_PAD62 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_PAD61 +bit 319 O 1 IO_PAD61 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_PAD60 +bit 316 O 1 IO_PAD60 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_PAD58 +bit 313 O 1 IO_PAD58 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_PAD57 +bit 310 O 1 IO_PAD57 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_PAD56 +bit 307 O 1 IO_PAD56 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_PAD54 +bit 304 O 1 IO_PAD54 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_PAD52 +bit 301 O 1 IO_PAD52 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_PAD51 +bit 298 O 1 IO_PAD51 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_PAD50 +bit 295 O 1 IO_PAD50 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_PAD49 +bit 292 O 1 IO_PAD49 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_PAD48 +bit 289 O 1 IO_PAD48 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_PAD46 +bit 286 O 1 IO_PAD46 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_PAD44 +bit 283 O 1 IO_PAD44 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_PAD42 +bit 280 O 1 IO_PAD42 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_PAD40 +bit 277 O 1 IO_PAD40 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_PAD38 +bit 274 O 1 IO_PAD38 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_PAD36 +bit 271 O 1 IO_PAD36 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_PAD35 +bit 268 O 1 IO_PAD35 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_PAD34 +bit 265 O 1 IO_PAD34 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_PAD33 +bit 262 O 1 IO_PAD33 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_PAD32 +bit 259 O 1 IO_PAD32 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_PAD31 +bit 256 O 1 IO_PAD31 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_PAD30 +bit 253 O 1 IO_PAD30 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_PAD28 +bit 250 O 1 IO_PAD28 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_PAD26 +bit 247 O 1 IO_PAD26 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_PAD25 +bit 244 O 1 IO_PAD25 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_PAD24 +bit 241 O 1 IO_PAD24 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_PAD23 +bit 238 O 1 IO_PAD23 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_PAD22 +bit 235 O 1 IO_PAD22 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_PAD20 +bit 232 O 1 IO_PAD20 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_PAD18 +bit 229 O 1 IO_PAD18 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_PAD16 +bit 226 O 1 IO_PAD16 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_PAD14 +bit 223 O 1 IO_PAD14 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_PAD13 +bit 220 O 1 IO_PAD13 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_PAD12 +bit 217 O 1 IO_PAD12 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_PAD10 +bit 214 O 1 IO_PAD10 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_PAD9 +bit 211 O 1 IO_PAD9 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_PAD8 +bit 208 O 1 IO_PAD8 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD6 +bit 205 O 1 IO_PAD6 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD4 +bit 202 O 1 IO_PAD4 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD3 +bit 199 O 1 IO_PAD3 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD2 +bit 196 O 1 IO_PAD2 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD721 +bit 193 O 1 IO_PAD721 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD720 +bit 190 O 1 IO_PAD720 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD719 +bit 187 O 1 IO_PAD719 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD717 +bit 184 O 1 IO_PAD717 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD715 +bit 181 O 1 IO_PAD715 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD714 +bit 178 O 1 IO_PAD714 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD713 +bit 175 O 1 IO_PAD713 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD711 +bit 172 O 1 IO_PAD711 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD710 +bit 169 O 1 IO_PAD710 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD709 +bit 166 O 1 IO_PAD709 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD707 +bit 163 O 1 IO_PAD707 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD705 +bit 160 O 1 IO_PAD705 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD703 +bit 157 O 1 IO_PAD703 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD701 +bit 154 O 1 IO_PAD701 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD700 +bit 151 O 1 IO_PAD700 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD699 +bit 148 O 1 IO_PAD699 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD698 +bit 145 O 1 IO_PAD698 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD697 +bit 142 O 1 IO_PAD697 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD695 +bit 139 O 1 IO_PAD695 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD693 +bit 136 O 1 IO_PAD693 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD692 +bit 133 O 1 IO_PAD692 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD691 +bit 130 O 1 IO_PAD691 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD690 +bit 127 O 1 IO_PAD690 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD689 +bit 124 O 1 IO_PAD689 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD688 +bit 121 O 1 IO_PAD688 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD687 +bit 118 O 1 IO_PAD687 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD685 +bit 115 O 1 IO_PAD685 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD683 +bit 112 O 1 IO_PAD683 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD681 +bit 109 O 1 IO_PAD681 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD679 +bit 106 O 1 IO_PAD679 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD677 +bit 103 O 1 IO_PAD677 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD675 +bit 100 O 1 IO_PAD675 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD674 +bit 97 O 1 IO_PAD674 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD673 +bit 94 O 1 IO_PAD673 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD672 +bit 91 O 1 IO_PAD672 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD671 +bit 88 O 1 IO_PAD671 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD669 +bit 85 O 1 IO_PAD669 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD667 +bit 82 O 1 IO_PAD667 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD666 +bit 79 O 1 IO_PAD666 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD665 +bit 76 O 1 IO_PAD665 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD663 +bit 73 O 1 IO_PAD663 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD662 +bit 70 O 1 IO_PAD662 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD661 +bit 67 O 1 IO_PAD661 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD659 +bit 64 O 1 IO_PAD659 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD658 +bit 61 O 1 IO_PAD658 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD657 +bit 58 O 1 IO_PAD657 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD655 +bit 55 O 1 IO_PAD655 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD653 +bit 52 O 1 IO_PAD653 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD651 +bit 49 O 1 IO_PAD651 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD649 +bit 46 O 1 IO_PAD649 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD648 +bit 43 O 1 IO_PAD648 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD647 +bit 40 O 1 IO_PAD647 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD646 +bit 37 O 1 IO_PAD646 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD645 +bit 34 O 1 IO_PAD645 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD643 +bit 31 O 1 IO_PAD643 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD641 +bit 28 O 1 IO_PAD641 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD640 +bit 25 O 1 IO_PAD640 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD639 +bit 22 O 1 IO_PAD639 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD638 +bit 19 O 1 IO_PAD638 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD637 +bit 16 O 1 IO_PAD637 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD635 +bit 13 O 1 IO_PAD635 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD634 +bit 10 O 1 IO_PAD634 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD633 +bit 7 O 1 IO_PAD633 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD631 +bit 4 O 1 IO_PAD631 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD630 +bit 1 O 1 IO_PAD630 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg320 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg320 new file mode 100644 index 0000000..067417e --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg320 @@ -0,0 +1,1890 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal CCLK_T15 +signal DONE_R15 +signal HSWAP_EN_E6 +signal M0_P5 +signal M1_U3 +signal M2_R4 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A2 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_B1 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B9 +signal IO_B10 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B18 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C4 +signal IO_C5 +signal IO_C7 +signal IO_C8 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_F2 +signal IO_F4 +signal IO_F5 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F14 +signal IO_F15 +signal IO_F17 +signal IO_G1 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G18 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H17 +signal IO_H18 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J17 +signal IO_J18 +signal IO_K1 +signal IO_K2 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K17 +signal IO_K18 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_L17 +signal IO_L18 +signal IO_M1 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_M18 +signal IO_N2 +signal IO_N4 +signal IO_N5 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N11 +signal IO_N14 +signal IO_N15 +signal IO_N17 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P16 +signal IO_P17 +signal IO_P18 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R12 +signal IO_R13 +signal IO_R14 +signal IO_R16 +signal IO_R17 +signal IO_R18 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T7 +signal IO_T8 +signal IO_T11 +signal IO_T12 +signal IO_T14 +signal IO_T16 +signal IO_T17 +signal IO_T18 +signal IO_U1 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U9 +signal IO_U10 +signal IO_U13 +signal IO_U14 +signal IO_U15 +signal IO_U16 +signal IO_U18 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V12 +signal IO_V14 +signal IO_V15 +signal IO_V16 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_C16 +bit 1557 O 1 IO_C16 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_C17 +bit 1554 O 1 IO_C17 1553 1 Z +bit 1553 C 1 * +bit 1552 O 1 * +bit 1551 O 1 * +bit 1550 O 1 * +bit 1549 O 1 * +bit 1548 O 1 * +bit 1547 O 1 * +bit 1546 O 1 * +bit 1545 O 1 * +bit 1544 O 1 * +bit 1543 O 1 * +bit 1542 O 1 * +bit 1541 O 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 O 1 * +bit 1533 O 1 * +bit 1532 O 1 * +bit 1531 O 1 * +bit 1530 O 1 * +bit 1529 O 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 O 1 * +bit 1521 O 1 * +bit 1520 O 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 O 1 * +bit 1515 O 1 * +bit 1514 O 1 * +bit 1513 O 1 * +bit 1512 O 1 * +bit 1511 O 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 O 1 * +bit 1506 O 1 * +bit 1505 O 1 * +bit 1504 O 1 * +bit 1503 O 1 * +bit 1502 O 1 * +bit 1501 O 1 * +bit 1500 O 1 * +bit 1499 O 1 * +bit 1498 I 1 IO_B18 +bit 1497 O 1 IO_B18 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_C18 +bit 1494 O 1 IO_C18 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_D17 +bit 1491 O 1 IO_D17 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_D18 +bit 1488 O 1 IO_D18 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_D16 +bit 1485 O 1 IO_D16 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_E16 +bit 1482 O 1 IO_E16 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_E17 +bit 1479 O 1 IO_E17 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_E18 +bit 1476 O 1 IO_E18 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_F15 +bit 1473 O 1 IO_F15 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_E15 +bit 1470 O 1 IO_E15 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_F14 +bit 1467 O 1 IO_F14 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_G14 +bit 1464 O 1 IO_G14 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_G18 +bit 1461 O 1 IO_G18 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_F17 +bit 1458 O 1 IO_F17 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_G15 +bit 1455 O 1 IO_G15 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_G16 +bit 1452 O 1 IO_G16 1451 1 Z +bit 1451 C 1 * +bit 1450 O 1 * +bit 1449 O 1 * +bit 1448 O 1 * +bit 1447 O 1 * +bit 1446 O 1 * +bit 1445 O 1 * +bit 1444 I 1 IO_H13 +bit 1443 O 1 IO_H13 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_H14 +bit 1440 O 1 IO_H14 1439 1 Z +bit 1439 C 1 * +bit 1438 O 1 * +bit 1437 O 1 * +bit 1436 O 1 * +bit 1435 O 1 * +bit 1434 O 1 * +bit 1433 O 1 * +bit 1432 O 1 * +bit 1431 O 1 * +bit 1430 O 1 * +bit 1429 O 1 * +bit 1428 O 1 * +bit 1427 O 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 O 1 * +bit 1419 O 1 * +bit 1418 O 1 * +bit 1417 O 1 * +bit 1416 O 1 * +bit 1415 O 1 * +bit 1414 O 1 * +bit 1413 O 1 * +bit 1412 O 1 * +bit 1411 O 1 * +bit 1410 O 1 * +bit 1409 O 1 * +bit 1408 O 1 * +bit 1407 O 1 * +bit 1406 O 1 * +bit 1405 O 1 * +bit 1404 O 1 * +bit 1403 O 1 * +bit 1402 I 1 IO_H16 +bit 1401 O 1 IO_H16 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_H15 +bit 1398 O 1 IO_H15 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_H17 +bit 1395 O 1 IO_H17 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_H18 +bit 1392 O 1 IO_H18 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 O 1 * +bit 1383 O 1 * +bit 1382 O 1 * +bit 1381 I 1 IO_J13 +bit 1380 O 1 IO_J13 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_J18 +bit 1377 O 1 IO_J18 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_J17 +bit 1374 O 1 IO_J17 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_J15 +bit 1371 O 1 IO_J15 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_J14 +bit 1368 O 1 IO_J14 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_K17 +bit 1365 O 1 IO_K17 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_K18 +bit 1362 O 1 IO_K18 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_K13 +bit 1359 O 1 IO_K13 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_K14 +bit 1356 O 1 IO_K14 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_K15 +bit 1353 O 1 IO_K15 1352 1 Z +bit 1352 C 1 * +bit 1351 O 1 * +bit 1350 O 1 * +bit 1349 O 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_L18 +bit 1341 O 1 IO_L18 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_L17 +bit 1338 O 1 IO_L17 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_L15 +bit 1335 O 1 IO_L15 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_L16 +bit 1332 O 1 IO_L16 1331 1 Z +bit 1331 C 1 * +bit 1330 O 1 * +bit 1329 O 1 * +bit 1328 O 1 * +bit 1327 O 1 * +bit 1326 O 1 * +bit 1325 O 1 * +bit 1324 O 1 * +bit 1323 O 1 * +bit 1322 O 1 * +bit 1321 O 1 * +bit 1320 O 1 * +bit 1319 O 1 * +bit 1318 O 1 * +bit 1317 O 1 * +bit 1316 O 1 * +bit 1315 O 1 * +bit 1314 O 1 * +bit 1313 O 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 O 1 * +bit 1305 O 1 * +bit 1304 O 1 * +bit 1303 O 1 * +bit 1302 O 1 * +bit 1301 O 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 O 1 * +bit 1296 O 1 * +bit 1295 O 1 * +bit 1294 I 1 IO_L14 +bit 1293 O 1 IO_L14 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_L13 +bit 1290 O 1 IO_L13 1289 1 Z +bit 1289 C 1 * +bit 1288 O 1 * +bit 1287 O 1 * +bit 1286 O 1 * +bit 1285 O 1 * +bit 1284 O 1 * +bit 1283 O 1 * +bit 1282 I 1 IO_M18 +bit 1281 O 1 IO_M18 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_N17 +bit 1278 O 1 IO_N17 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_M15 +bit 1275 O 1 IO_M15 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_M16 +bit 1272 O 1 IO_M16 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_M14 +bit 1269 O 1 IO_M14 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_N14 +bit 1266 O 1 IO_N14 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_P15 +bit 1263 O 1 IO_P15 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_N15 +bit 1260 O 1 IO_N15 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_P18 +bit 1257 O 1 IO_P18 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_P17 +bit 1254 O 1 IO_P17 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_R17 +bit 1251 O 1 IO_R17 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_R18 +bit 1248 O 1 IO_R18 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_P16 +bit 1245 O 1 IO_P16 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_R16 +bit 1242 O 1 IO_R16 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_T18 +bit 1239 O 1 IO_T18 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_U18 +bit 1236 O 1 IO_U18 1235 1 Z +bit 1235 C 1 * +bit 1234 O 1 * +bit 1233 O 1 * +bit 1232 O 1 * +bit 1231 O 1 * +bit 1230 O 1 * +bit 1229 O 1 * +bit 1228 O 1 * +bit 1227 O 1 * +bit 1226 O 1 * +bit 1225 O 1 * +bit 1224 O 1 * +bit 1223 O 1 * +bit 1222 O 1 * +bit 1221 O 1 * +bit 1220 O 1 * +bit 1219 O 1 * +bit 1218 O 1 * +bit 1217 O 1 * +bit 1216 O 1 * +bit 1215 O 1 * +bit 1214 O 1 * +bit 1213 O 1 * +bit 1212 O 1 * +bit 1211 O 1 * +bit 1210 O 1 * +bit 1209 O 1 * +bit 1208 O 1 * +bit 1207 O 1 * +bit 1206 O 1 * +bit 1205 O 1 * +bit 1204 O 1 * +bit 1203 O 1 * +bit 1202 O 1 * +bit 1201 O 1 * +bit 1200 O 1 * +bit 1199 O 1 * +bit 1198 O 1 * +bit 1197 O 1 * +bit 1196 O 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 O 1 * +bit 1191 O 1 * +bit 1190 O 1 * +bit 1189 O 1 * +bit 1188 O 1 * +bit 1187 O 1 * +bit 1186 O 1 * +bit 1185 O 1 * +bit 1184 O 1 * +bit 1183 O 1 * +bit 1182 O 1 * +bit 1181 O 1 * +bit 1180 I 1 IO_T17 +bit 1179 O 1 IO_T17 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_T16 +bit 1176 O 1 IO_T16 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_T15 +bit 1173 O 1 CCLK_T15 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_R15 +bit 1170 O 1 DONE_R15 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_V17 +bit 1167 O 1 IO_V17 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_U16 +bit 1164 O 1 IO_U16 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_V16 +bit 1161 O 1 IO_V16 1160 1 Z +bit 1160 C 1 * +bit 1159 O 1 * +bit 1158 O 1 * +bit 1157 O 1 * +bit 1156 O 1 * +bit 1155 O 1 * +bit 1154 O 1 * +bit 1153 O 1 * +bit 1152 O 1 * +bit 1151 O 1 * +bit 1150 O 1 * +bit 1149 O 1 * +bit 1148 O 1 * +bit 1147 O 1 * +bit 1146 O 1 * +bit 1145 O 1 * +bit 1144 I 1 IO_P14 +bit 1143 O 1 IO_P14 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_R14 +bit 1140 O 1 IO_R14 1139 1 Z +bit 1139 C 1 * +bit 1138 O 1 * +bit 1137 O 1 * +bit 1136 O 1 * +bit 1135 O 1 * +bit 1134 O 1 * +bit 1133 O 1 * +bit 1132 O 1 * +bit 1131 O 1 * +bit 1130 O 1 * +bit 1129 O 1 * +bit 1128 O 1 * +bit 1127 O 1 * +bit 1126 O 1 * +bit 1125 O 1 * +bit 1124 O 1 * +bit 1123 O 1 * +bit 1122 O 1 * +bit 1121 O 1 * +bit 1120 I 1 IO_U15 +bit 1119 O 1 IO_U15 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_V15 +bit 1116 O 1 IO_V15 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_T14 +bit 1113 O 1 IO_T14 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_U14 +bit 1110 O 1 IO_U14 1109 1 Z +bit 1109 C 1 * +bit 1108 O 1 * +bit 1107 O 1 * +bit 1106 O 1 * +bit 1105 O 1 * +bit 1104 O 1 * +bit 1103 O 1 * +bit 1102 O 1 * +bit 1101 O 1 * +bit 1100 O 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 O 1 * +bit 1092 O 1 * +bit 1091 O 1 * +bit 1090 O 1 * +bit 1089 O 1 * +bit 1088 O 1 * +bit 1087 O 1 * +bit 1086 O 1 * +bit 1085 O 1 * +bit 1084 I 1 IO_V14 +bit 1083 O 1 IO_V14 1082 1 Z +bit 1082 C 1 * +bit 1081 O 1 * +bit 1080 O 1 * +bit 1079 O 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 I 1 IO_U13 +bit 1062 O 1 IO_U13 1061 1 Z +bit 1061 C 1 * +bit 1060 O 1 * +bit 1059 O 1 * +bit 1058 O 1 * +bit 1057 O 1 * +bit 1056 O 1 * +bit 1055 O 1 * +bit 1054 O 1 * +bit 1053 O 1 * +bit 1052 O 1 * +bit 1051 O 1 * +bit 1050 O 1 * +bit 1049 O 1 * +bit 1048 O 1 * +bit 1047 O 1 * +bit 1046 O 1 * +bit 1045 O 1 * +bit 1044 O 1 * +bit 1043 O 1 * +bit 1042 O 1 * +bit 1041 O 1 * +bit 1040 O 1 * +bit 1039 O 1 * +bit 1038 O 1 * +bit 1037 O 1 * +bit 1036 O 1 * +bit 1035 O 1 * +bit 1034 O 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 I 1 IO_R13 +bit 1029 O 1 IO_R13 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_P13 +bit 1026 O 1 IO_P13 1025 1 Z +bit 1025 C 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 O 1 * +bit 1020 O 1 * +bit 1019 O 1 * +bit 1018 I 1 IO_P12 +bit 1017 O 1 IO_P12 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_T12 +bit 1014 O 1 IO_T12 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_R12 +bit 1011 O 1 IO_R12 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_V12 +bit 1008 O 1 IO_V12 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_V11 +bit 1005 O 1 IO_V11 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 I 1 IO_R11 +bit 999 O 1 IO_R11 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_T11 +bit 996 O 1 IO_T11 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_N11 +bit 993 O 1 IO_N11 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_P11 +bit 990 O 1 IO_P11 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_R10 +bit 987 O 1 IO_R10 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_U10 +bit 984 O 1 IO_U10 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_V10 +bit 981 O 1 IO_V10 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_N10 +bit 978 O 1 IO_N10 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_P10 +bit 975 O 1 IO_P10 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_R9 +bit 972 O 1 IO_R9 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_N9 +bit 969 O 1 IO_N9 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_P9 +bit 966 O 1 IO_P9 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_U9 +bit 963 O 1 IO_U9 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_V9 +bit 960 O 1 IO_V9 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_N8 +bit 957 O 1 IO_N8 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_R8 +bit 954 O 1 IO_R8 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_T8 +bit 951 O 1 IO_T8 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_V8 +bit 948 O 1 IO_V8 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_V7 +bit 945 O 1 IO_V7 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_P8 +bit 942 O 1 IO_P8 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_R7 +bit 939 O 1 IO_R7 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_T7 +bit 936 O 1 IO_T7 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_P6 +bit 933 O 1 IO_P6 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_P7 +bit 930 O 1 IO_P7 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_U6 +bit 927 O 1 IO_U6 926 1 Z +bit 926 C 1 * +bit 925 O 1 * +bit 924 O 1 * +bit 923 O 1 * +bit 922 O 1 * +bit 921 O 1 * +bit 920 O 1 * +bit 919 O 1 * +bit 918 O 1 * +bit 917 O 1 * +bit 916 O 1 * +bit 915 O 1 * +bit 914 O 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 O 1 * +bit 909 O 1 * +bit 908 O 1 * +bit 907 O 1 * +bit 906 O 1 * +bit 905 O 1 * +bit 904 O 1 * +bit 903 O 1 * +bit 902 O 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 O 1 * +bit 891 O 1 * +bit 890 O 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 O 1 * +bit 879 O 1 * +bit 878 O 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 O 1 * +bit 867 O 1 * +bit 866 O 1 * +bit 865 I 1 IO_V5 +bit 864 O 1 IO_V5 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_U5 +bit 861 O 1 IO_U5 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_R6 +bit 858 O 1 IO_R6 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_R5 +bit 855 O 1 IO_R5 854 1 Z +bit 854 C 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 O 1 * +bit 849 O 1 * +bit 848 O 1 * +bit 847 O 1 * +bit 846 O 1 * +bit 845 O 1 * +bit 844 O 1 * +bit 843 O 1 * +bit 842 O 1 * +bit 841 O 1 * +bit 840 O 1 * +bit 839 O 1 * +bit 838 O 1 * +bit 837 O 1 * +bit 836 O 1 * +bit 835 I 1 IO_V4 +bit 834 O 1 IO_V4 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_U4 +bit 831 O 1 IO_U4 830 1 Z +bit 830 C 1 * +bit 829 O 1 * +bit 828 O 1 * +bit 827 O 1 * +bit 826 O 1 * +bit 825 O 1 * +bit 824 O 1 * +bit 823 O 1 * +bit 822 O 1 * +bit 821 O 1 * +bit 820 O 1 * +bit 819 O 1 * +bit 818 O 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 O 1 * +bit 810 O 1 * +bit 809 O 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 I 1 IO_T5 +bit 804 O 1 IO_T5 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_T4 +bit 801 O 1 IO_T4 800 1 Z +bit 800 C 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 O 1 * +bit 792 O 1 * +bit 791 O 1 * +bit 790 O 1 * +bit 789 O 1 * +bit 788 O 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 I 1 IO_V3 +bit 783 O 1 IO_V3 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_V2 +bit 780 O 1 IO_V2 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_R4 +bit 777 I 1 M0_P5 +bit 776 I 1 M1_U3 +bit 775 I 1 IO_T3 +bit 774 O 1 IO_T3 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_T2 +bit 771 O 1 IO_T2 770 1 Z +bit 770 C 1 * +bit 769 O 1 * +bit 768 O 1 * +bit 767 O 1 * +bit 766 O 1 * +bit 765 O 1 * +bit 764 O 1 * +bit 763 O 1 * +bit 762 O 1 * +bit 761 O 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 O 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 O 1 * +bit 720 O 1 * +bit 719 O 1 * +bit 718 O 1 * +bit 717 O 1 * +bit 716 O 1 * +bit 715 I 1 IO_U1 +bit 714 O 1 IO_U1 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_T1 +bit 711 O 1 IO_T1 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_R2 +bit 708 O 1 IO_R2 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_R1 +bit 705 O 1 IO_R1 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_R3 +bit 702 O 1 IO_R3 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_P3 +bit 699 O 1 IO_P3 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_P2 +bit 696 O 1 IO_P2 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_P1 +bit 693 O 1 IO_P1 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_N4 +bit 690 O 1 IO_N4 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_P4 +bit 687 O 1 IO_P4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_N5 +bit 684 O 1 IO_N5 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_M5 +bit 681 O 1 IO_M5 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_M3 +bit 678 O 1 IO_M3 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_M4 +bit 675 O 1 IO_M4 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_N2 +bit 672 O 1 IO_N2 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_M1 +bit 669 O 1 IO_M1 668 1 Z +bit 668 C 1 * +bit 667 O 1 * +bit 666 O 1 * +bit 665 O 1 * +bit 664 O 1 * +bit 663 O 1 * +bit 662 O 1 * +bit 661 I 1 IO_L6 +bit 660 O 1 IO_L6 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_L5 +bit 657 O 1 IO_L5 656 1 Z +bit 656 C 1 * +bit 655 O 1 * +bit 654 O 1 * +bit 653 O 1 * +bit 652 O 1 * +bit 651 O 1 * +bit 650 O 1 * +bit 649 O 1 * +bit 648 O 1 * +bit 647 O 1 * +bit 646 O 1 * +bit 645 O 1 * +bit 644 O 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 O 1 * +bit 636 O 1 * +bit 635 O 1 * +bit 634 O 1 * +bit 633 O 1 * +bit 632 O 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 O 1 * +bit 624 O 1 * +bit 623 O 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 O 1 * +bit 619 I 1 IO_L3 +bit 618 O 1 IO_L3 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_L4 +bit 615 O 1 IO_L4 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_L2 +bit 612 O 1 IO_L2 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_L1 +bit 609 O 1 IO_L1 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 O 1 * +bit 600 O 1 * +bit 599 O 1 * +bit 598 I 1 IO_K6 +bit 597 O 1 IO_K6 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_K5 +bit 594 O 1 IO_K5 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_K4 +bit 591 O 1 IO_K4 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_K1 +bit 588 O 1 IO_K1 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_K2 +bit 585 O 1 IO_K2 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_J5 +bit 582 O 1 IO_J5 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_J4 +bit 579 O 1 IO_J4 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_J1 +bit 576 O 1 IO_J1 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_J2 +bit 573 O 1 IO_J2 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_J6 +bit 570 O 1 IO_J6 569 1 Z +bit 569 C 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_H1 +bit 558 O 1 IO_H1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_H2 +bit 555 O 1 IO_H2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_H4 +bit 552 O 1 IO_H4 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_H3 +bit 549 O 1 IO_H3 548 1 Z +bit 548 C 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 O 1 * +bit 531 O 1 * +bit 530 O 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 I 1 IO_H5 +bit 510 O 1 IO_H5 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_H6 +bit 507 O 1 IO_H6 506 1 Z +bit 506 C 1 * +bit 505 O 1 * +bit 504 O 1 * +bit 503 O 1 * +bit 502 O 1 * +bit 501 O 1 * +bit 500 O 1 * +bit 499 I 1 IO_G4 +bit 498 O 1 IO_G4 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_G3 +bit 495 O 1 IO_G3 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_G1 +bit 492 O 1 IO_G1 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_F2 +bit 489 O 1 IO_F2 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_G5 +bit 486 O 1 IO_G5 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_F5 +bit 483 O 1 IO_F5 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_E4 +bit 480 O 1 IO_E4 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_F4 +bit 477 O 1 IO_F4 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_E2 +bit 474 O 1 IO_E2 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_E1 +bit 471 O 1 IO_E1 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_E3 +bit 468 O 1 IO_E3 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_D3 +bit 465 O 1 IO_D3 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_D1 +bit 462 O 1 IO_D1 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_D2 +bit 459 O 1 IO_D2 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_C1 +bit 456 O 1 IO_C1 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_B1 +bit 453 O 1 IO_B1 452 1 Z +bit 452 C 1 * +bit 451 O 1 * +bit 450 O 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 O 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 I 1 IO_C3 +bit 396 O 1 IO_C3 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_C2 +bit 393 O 1 IO_C2 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_E6 +bit 389 I 1 IO_B3 +bit 388 O 1 IO_B3 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_A2 +bit 385 O 1 IO_A2 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_A3 +bit 382 O 1 IO_A3 381 1 Z +bit 381 C 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 O 1 * +bit 367 O 1 * +bit 366 O 1 * +bit 365 O 1 * +bit 364 O 1 * +bit 363 O 1 * +bit 362 O 1 * +bit 361 O 1 * +bit 360 O 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 I 1 IO_B4 +bit 340 O 1 IO_B4 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_C4 +bit 337 O 1 IO_C4 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_C5 +bit 334 O 1 IO_C5 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_D5 +bit 331 O 1 IO_D5 330 1 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 I 1 IO_A4 +bit 310 O 1 IO_A4 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_A5 +bit 307 O 1 IO_A5 306 1 Z +bit 306 C 1 * +bit 305 O 1 * +bit 304 O 1 * +bit 303 O 1 * +bit 302 O 1 * +bit 301 O 1 * +bit 300 O 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 I 1 IO_D6 +bit 283 O 1 IO_D6 282 1 Z +bit 282 C 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 O 1 * +bit 259 O 1 * +bit 258 O 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 I 1 IO_B5 +bit 250 O 1 IO_B5 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_B6 +bit 247 O 1 IO_B6 246 1 Z +bit 246 C 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 IO_E7 +bit 238 O 1 IO_E7 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_C7 +bit 235 O 1 IO_C7 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_D7 +bit 232 O 1 IO_D7 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_C8 +bit 229 O 1 IO_C8 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_D8 +bit 226 O 1 IO_D8 225 1 Z +bit 225 C 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 I 1 IO_E8 +bit 220 O 1 IO_E8 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_F8 +bit 217 O 1 IO_F8 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_A7 +bit 214 O 1 IO_A7 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_A8 +bit 211 O 1 IO_A8 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_D9 +bit 208 O 1 IO_D9 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_B9 +bit 205 O 1 IO_B9 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_A9 +bit 202 O 1 IO_A9 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_E9 +bit 199 O 1 IO_E9 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_F9 +bit 196 O 1 IO_F9 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_D10 +bit 193 O 1 IO_D10 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_E10 +bit 190 O 1 IO_E10 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_F10 +bit 187 O 1 IO_F10 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_A10 +bit 184 O 1 IO_A10 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_B10 +bit 181 O 1 IO_B10 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_A11 +bit 178 O 1 IO_A11 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_C11 +bit 175 O 1 IO_C11 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_D11 +bit 172 O 1 IO_D11 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_F11 +bit 169 O 1 IO_F11 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_E11 +bit 166 O 1 IO_E11 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_A12 +bit 163 O 1 IO_A12 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_C12 +bit 160 O 1 IO_C12 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_D12 +bit 157 O 1 IO_D12 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_E13 +bit 154 O 1 IO_E13 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_E12 +bit 151 O 1 IO_E12 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_B13 +bit 148 O 1 IO_B13 147 1 Z +bit 147 C 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_D14 +bit 130 O 1 IO_D14 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_D13 +bit 127 O 1 IO_D13 126 1 Z +bit 126 C 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_A14 +bit 85 O 1 IO_A14 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_B14 +bit 82 O 1 IO_B14 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_C14 +bit 79 O 1 IO_C14 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_C15 +bit 76 O 1 IO_C15 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_A15 +bit 55 O 1 IO_A15 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B15 +bit 52 O 1 IO_B15 51 1 Z +bit 51 C 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_A16 +bit 4 O 1 IO_A16 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_A17 +bit 1 O 1 IO_A17 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg456 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg456 new file mode 100644 index 0000000..754f22b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg456 @@ -0,0 +1,2026 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal CCLK_AA22 +signal DONE_AB21 +signal HSWAP_EN_B3 +signal M0_AB2 +signal M1_AA1 +signal M2_AB3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A18 +signal IO_A19 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C13 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C22 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_H1 +signal IO_H2 +signal IO_H4 +signal IO_H5 +signal IO_H18 +signal IO_H19 +signal IO_H21 +signal IO_H22 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J17 +signal IO_J18 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L17 +signal IO_L18 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M17 +signal IO_M18 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N17 +signal IO_N18 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P17 +signal IO_P18 +signal IO_P19 +signal IO_P21 +signal IO_P22 +signal IO_R1 +signal IO_R2 +signal IO_R4 +signal IO_R5 +signal IO_R18 +signal IO_R19 +signal IO_R21 +signal IO_R22 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T17 +signal IO_T18 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U11 +signal IO_U12 +signal IO_U13 +signal IO_U14 +signal IO_U16 +signal IO_U17 +signal IO_U18 +signal IO_U19 +signal IO_U20 +signal IO_U21 +signal IO_V1 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V12 +signal IO_V13 +signal IO_V14 +signal IO_V15 +signal IO_V16 +signal IO_V17 +signal IO_V18 +signal IO_V19 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W8 +signal IO_W9 +signal IO_W10 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W17 +signal IO_W18 +signal IO_W19 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AB4 +signal IO_AB5 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB18 +signal IO_AB19 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_C20 +bit 1557 O 1 IO_C20 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_C21 +bit 1554 O 1 IO_C21 1553 1 Z +bit 1553 C 1 * +bit 1552 O 1 * +bit 1551 O 1 * +bit 1550 O 1 * +bit 1549 O 1 * +bit 1548 O 1 * +bit 1547 O 1 * +bit 1546 O 1 * +bit 1545 O 1 * +bit 1544 O 1 * +bit 1543 O 1 * +bit 1542 O 1 * +bit 1541 O 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 O 1 * +bit 1533 O 1 * +bit 1532 O 1 * +bit 1531 O 1 * +bit 1530 O 1 * +bit 1529 O 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 O 1 * +bit 1521 O 1 * +bit 1520 O 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 O 1 * +bit 1515 O 1 * +bit 1514 O 1 * +bit 1513 O 1 * +bit 1512 O 1 * +bit 1511 O 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 O 1 * +bit 1506 O 1 * +bit 1505 O 1 * +bit 1504 O 1 * +bit 1503 O 1 * +bit 1502 O 1 * +bit 1501 I 1 IO_C22 +bit 1500 O 1 IO_C22 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_D20 +bit 1497 O 1 IO_D20 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_D19 +bit 1494 O 1 IO_D19 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_D21 +bit 1491 O 1 IO_D21 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_D22 +bit 1488 O 1 IO_D22 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_E18 +bit 1485 O 1 IO_E18 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_F18 +bit 1482 O 1 IO_F18 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_E19 +bit 1479 O 1 IO_E19 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_E20 +bit 1476 O 1 IO_E20 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_E21 +bit 1473 O 1 IO_E21 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_E22 +bit 1470 O 1 IO_E22 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_G17 +bit 1467 O 1 IO_G17 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_G18 +bit 1464 O 1 IO_G18 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_F19 +bit 1461 O 1 IO_F19 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_G19 +bit 1458 O 1 IO_G19 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_F20 +bit 1455 O 1 IO_F20 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_F21 +bit 1452 O 1 IO_F21 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_G20 +bit 1449 O 1 IO_G20 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_H19 +bit 1446 O 1 IO_H19 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_G21 +bit 1443 O 1 IO_G21 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_G22 +bit 1440 O 1 IO_G22 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_H18 +bit 1437 O 1 IO_H18 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_J17 +bit 1434 O 1 IO_J17 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_H21 +bit 1431 O 1 IO_H21 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_H22 +bit 1428 O 1 IO_H22 1427 1 Z +bit 1427 C 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_J18 +bit 1419 O 1 IO_J18 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_J19 +bit 1416 O 1 IO_J19 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_J21 +bit 1413 O 1 IO_J21 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_J22 +bit 1410 O 1 IO_J22 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_K17 +bit 1407 O 1 IO_K17 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_K18 +bit 1404 O 1 IO_K18 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_K19 +bit 1401 O 1 IO_K19 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_K20 +bit 1398 O 1 IO_K20 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_K21 +bit 1395 O 1 IO_K21 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_K22 +bit 1392 O 1 IO_K22 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 I 1 IO_L17 +bit 1383 O 1 IO_L17 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_L18 +bit 1380 O 1 IO_L18 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_L19 +bit 1377 O 1 IO_L19 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_L20 +bit 1374 O 1 IO_L20 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_L21 +bit 1371 O 1 IO_L21 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_L22 +bit 1368 O 1 IO_L22 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_M22 +bit 1365 O 1 IO_M22 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_M21 +bit 1362 O 1 IO_M21 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_M20 +bit 1359 O 1 IO_M20 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_M19 +bit 1356 O 1 IO_M19 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_M18 +bit 1353 O 1 IO_M18 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_M17 +bit 1350 O 1 IO_M17 1349 1 Z +bit 1349 C 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_N22 +bit 1341 O 1 IO_N22 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_N21 +bit 1338 O 1 IO_N21 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_N20 +bit 1335 O 1 IO_N20 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_N19 +bit 1332 O 1 IO_N19 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_N18 +bit 1329 O 1 IO_N18 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_N17 +bit 1326 O 1 IO_N17 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_P22 +bit 1323 O 1 IO_P22 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_P21 +bit 1320 O 1 IO_P21 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_P18 +bit 1317 O 1 IO_P18 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_P17 +bit 1314 O 1 IO_P17 1313 1 Z +bit 1313 C 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_P19 +bit 1305 O 1 IO_P19 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_R19 +bit 1302 O 1 IO_R19 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_R22 +bit 1299 O 1 IO_R22 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_R21 +bit 1296 O 1 IO_R21 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_T22 +bit 1293 O 1 IO_T22 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_T21 +bit 1290 O 1 IO_T21 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_T20 +bit 1287 O 1 IO_T20 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_T19 +bit 1284 O 1 IO_T19 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_R18 +bit 1281 O 1 IO_R18 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_T18 +bit 1278 O 1 IO_T18 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_U21 +bit 1275 O 1 IO_U21 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_U20 +bit 1272 O 1 IO_U20 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_T17 +bit 1269 O 1 IO_T17 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_U18 +bit 1266 O 1 IO_U18 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_V22 +bit 1263 O 1 IO_V22 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_V21 +bit 1260 O 1 IO_V21 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_U19 +bit 1257 O 1 IO_U19 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_V20 +bit 1254 O 1 IO_V20 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_W21 +bit 1251 O 1 IO_W21 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_W20 +bit 1248 O 1 IO_W20 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_V19 +bit 1245 O 1 IO_V19 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_W19 +bit 1242 O 1 IO_W19 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_W22 +bit 1239 O 1 IO_W22 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_Y22 +bit 1236 O 1 IO_Y22 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_Y21 +bit 1233 O 1 IO_Y21 1232 1 Z +bit 1232 C 1 * +bit 1231 O 1 * +bit 1230 O 1 * +bit 1229 O 1 * +bit 1228 O 1 * +bit 1227 O 1 * +bit 1226 O 1 * +bit 1225 O 1 * +bit 1224 O 1 * +bit 1223 O 1 * +bit 1222 O 1 * +bit 1221 O 1 * +bit 1220 O 1 * +bit 1219 O 1 * +bit 1218 O 1 * +bit 1217 O 1 * +bit 1216 O 1 * +bit 1215 O 1 * +bit 1214 O 1 * +bit 1213 O 1 * +bit 1212 O 1 * +bit 1211 O 1 * +bit 1210 O 1 * +bit 1209 O 1 * +bit 1208 O 1 * +bit 1207 O 1 * +bit 1206 O 1 * +bit 1205 O 1 * +bit 1204 O 1 * +bit 1203 O 1 * +bit 1202 O 1 * +bit 1201 O 1 * +bit 1200 O 1 * +bit 1199 O 1 * +bit 1198 O 1 * +bit 1197 O 1 * +bit 1196 O 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 O 1 * +bit 1191 O 1 * +bit 1190 O 1 * +bit 1189 O 1 * +bit 1188 O 1 * +bit 1187 O 1 * +bit 1186 O 1 * +bit 1185 O 1 * +bit 1184 O 1 * +bit 1183 O 1 * +bit 1182 O 1 * +bit 1181 O 1 * +bit 1180 I 1 IO_Y20 +bit 1179 O 1 IO_Y20 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_Y19 +bit 1176 O 1 IO_Y19 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_AA22 +bit 1173 O 1 CCLK_AA22 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_AB21 +bit 1170 O 1 DONE_AB21 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_V18 +bit 1167 O 1 IO_V18 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AA20 +bit 1164 O 1 IO_AA20 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AB20 +bit 1161 O 1 IO_AB20 1160 1 Z +bit 1160 C 1 * +bit 1159 O 1 * +bit 1158 O 1 * +bit 1157 O 1 * +bit 1156 O 1 * +bit 1155 O 1 * +bit 1154 O 1 * +bit 1153 O 1 * +bit 1152 O 1 * +bit 1151 O 1 * +bit 1150 I 1 IO_AA19 +bit 1149 O 1 IO_AA19 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AB19 +bit 1146 O 1 IO_AB19 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_W18 +bit 1143 O 1 IO_W18 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_Y18 +bit 1140 O 1 IO_Y18 1139 1 Z +bit 1139 C 1 * +bit 1138 O 1 * +bit 1137 O 1 * +bit 1136 O 1 * +bit 1135 O 1 * +bit 1134 O 1 * +bit 1133 O 1 * +bit 1132 O 1 * +bit 1131 O 1 * +bit 1130 O 1 * +bit 1129 O 1 * +bit 1128 O 1 * +bit 1127 O 1 * +bit 1126 O 1 * +bit 1125 O 1 * +bit 1124 O 1 * +bit 1123 I 1 IO_U17 +bit 1122 O 1 IO_U17 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AA18 +bit 1119 O 1 IO_AA18 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AB18 +bit 1116 O 1 IO_AB18 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_V17 +bit 1113 O 1 IO_V17 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_W17 +bit 1110 O 1 IO_W17 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_U16 +bit 1107 O 1 IO_U16 1106 1 Z +bit 1106 C 1 * +bit 1105 O 1 * +bit 1104 O 1 * +bit 1103 O 1 * +bit 1102 O 1 * +bit 1101 O 1 * +bit 1100 O 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 O 1 * +bit 1092 O 1 * +bit 1091 O 1 * +bit 1090 I 1 IO_Y17 +bit 1089 O 1 IO_Y17 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AA17 +bit 1086 O 1 IO_AA17 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_V16 +bit 1083 O 1 IO_V16 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_W16 +bit 1080 O 1 IO_W16 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 I 1 IO_Y16 +bit 1062 O 1 IO_Y16 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AA16 +bit 1059 O 1 IO_AA16 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AB16 +bit 1056 O 1 IO_AB16 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_V15 +bit 1053 O 1 IO_V15 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_W15 +bit 1050 O 1 IO_W15 1049 1 Z +bit 1049 C 1 * +bit 1048 O 1 * +bit 1047 O 1 * +bit 1046 O 1 * +bit 1045 O 1 * +bit 1044 O 1 * +bit 1043 O 1 * +bit 1042 O 1 * +bit 1041 O 1 * +bit 1040 O 1 * +bit 1039 I 1 IO_AA15 +bit 1038 O 1 IO_AA15 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AB15 +bit 1035 O 1 IO_AB15 1034 1 Z +bit 1034 C 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 I 1 IO_U14 +bit 1029 O 1 IO_U14 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_V14 +bit 1026 O 1 IO_V14 1025 1 Z +bit 1025 C 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 O 1 * +bit 1020 O 1 * +bit 1019 O 1 * +bit 1018 I 1 IO_W14 +bit 1017 O 1 IO_W14 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AA14 +bit 1014 O 1 IO_AA14 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AB14 +bit 1011 O 1 IO_AB14 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_U13 +bit 1008 O 1 IO_U13 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_V13 +bit 1005 O 1 IO_V13 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_W13 +bit 1002 O 1 IO_W13 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_Y13 +bit 999 O 1 IO_Y13 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AA13 +bit 996 O 1 IO_AA13 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_U12 +bit 993 O 1 IO_U12 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_V12 +bit 990 O 1 IO_V12 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AB13 +bit 987 O 1 IO_AB13 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_W12 +bit 984 O 1 IO_W12 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_Y12 +bit 981 O 1 IO_Y12 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AA12 +bit 978 O 1 IO_AA12 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AB12 +bit 975 O 1 IO_AB12 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AB11 +bit 972 O 1 IO_AB11 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AA11 +bit 969 O 1 IO_AA11 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_Y11 +bit 966 O 1 IO_Y11 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_W11 +bit 963 O 1 IO_W11 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_V11 +bit 960 O 1 IO_V11 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_U11 +bit 957 O 1 IO_U11 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AB10 +bit 954 O 1 IO_AB10 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AA10 +bit 951 O 1 IO_AA10 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_Y10 +bit 948 O 1 IO_Y10 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_W10 +bit 945 O 1 IO_W10 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_V10 +bit 942 O 1 IO_V10 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AB9 +bit 939 O 1 IO_AB9 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_AA9 +bit 936 O 1 IO_AA9 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_W9 +bit 933 O 1 IO_W9 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_V9 +bit 930 O 1 IO_V9 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_U10 +bit 927 O 1 IO_U10 926 1 Z +bit 926 C 1 * +bit 925 O 1 * +bit 924 O 1 * +bit 923 O 1 * +bit 922 O 1 * +bit 921 O 1 * +bit 920 O 1 * +bit 919 I 1 IO_AB8 +bit 918 O 1 IO_AB8 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AA8 +bit 915 O 1 IO_AA8 914 1 Z +bit 914 C 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 I 1 IO_W8 +bit 909 O 1 IO_W8 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_V8 +bit 906 O 1 IO_V8 905 1 Z +bit 905 C 1 * +bit 904 O 1 * +bit 903 O 1 * +bit 902 O 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 I 1 IO_U9 +bit 897 O 1 IO_U9 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_AB7 +bit 894 O 1 IO_AB7 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_AA7 +bit 891 O 1 IO_AA7 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_Y7 +bit 888 O 1 IO_Y7 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_W7 +bit 885 O 1 IO_W7 884 1 Z +bit 884 C 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 O 1 * +bit 879 O 1 * +bit 878 O 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 I 1 IO_V7 +bit 867 O 1 IO_V7 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_AA6 +bit 864 O 1 IO_AA6 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_Y6 +bit 861 O 1 IO_Y6 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_W6 +bit 858 O 1 IO_W6 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_V6 +bit 855 O 1 IO_V6 854 1 Z +bit 854 C 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 O 1 * +bit 849 O 1 * +bit 848 O 1 * +bit 847 O 1 * +bit 846 O 1 * +bit 845 O 1 * +bit 844 O 1 * +bit 843 O 1 * +bit 842 O 1 * +bit 841 O 1 * +bit 840 O 1 * +bit 839 O 1 * +bit 838 I 1 IO_U7 +bit 837 O 1 IO_U7 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_AB5 +bit 834 O 1 IO_AB5 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_AA5 +bit 831 O 1 IO_AA5 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_Y5 +bit 828 O 1 IO_Y5 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_W5 +bit 825 O 1 IO_W5 824 1 Z +bit 824 C 1 * +bit 823 O 1 * +bit 822 O 1 * +bit 821 O 1 * +bit 820 O 1 * +bit 819 O 1 * +bit 818 O 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 O 1 * +bit 810 O 1 * +bit 809 O 1 * +bit 808 I 1 IO_U6 +bit 807 O 1 IO_U6 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_AB4 +bit 804 O 1 IO_AB4 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_AA4 +bit 801 O 1 IO_AA4 800 1 Z +bit 800 C 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 O 1 * +bit 792 O 1 * +bit 791 O 1 * +bit 790 O 1 * +bit 789 O 1 * +bit 788 O 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 I 1 IO_Y4 +bit 783 O 1 IO_Y4 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_AA3 +bit 780 O 1 IO_AA3 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_AB3 +bit 777 I 1 M0_AB2 +bit 776 I 1 M1_AA1 +bit 775 I 1 IO_Y3 +bit 774 O 1 IO_Y3 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_Y2 +bit 771 O 1 IO_Y2 770 1 Z +bit 770 C 1 * +bit 769 O 1 * +bit 768 O 1 * +bit 767 O 1 * +bit 766 O 1 * +bit 765 O 1 * +bit 764 O 1 * +bit 763 O 1 * +bit 762 O 1 * +bit 761 O 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 O 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 O 1 * +bit 720 O 1 * +bit 719 O 1 * +bit 718 I 1 IO_Y1 +bit 717 O 1 IO_Y1 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_W4 +bit 714 O 1 IO_W4 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_W3 +bit 711 O 1 IO_W3 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_W2 +bit 708 O 1 IO_W2 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_W1 +bit 705 O 1 IO_W1 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_V5 +bit 702 O 1 IO_V5 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_U5 +bit 699 O 1 IO_U5 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_V4 +bit 696 O 1 IO_V4 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_V3 +bit 693 O 1 IO_V3 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_V2 +bit 690 O 1 IO_V2 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_V1 +bit 687 O 1 IO_V1 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_T6 +bit 684 O 1 IO_T6 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_T5 +bit 681 O 1 IO_T5 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_U4 +bit 678 O 1 IO_U4 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_T4 +bit 675 O 1 IO_T4 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_U3 +bit 672 O 1 IO_U3 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_U2 +bit 669 O 1 IO_U2 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_T3 +bit 666 O 1 IO_T3 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_R4 +bit 663 O 1 IO_R4 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_T2 +bit 660 O 1 IO_T2 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_T1 +bit 657 O 1 IO_T1 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_R5 +bit 654 O 1 IO_R5 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_P6 +bit 651 O 1 IO_P6 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_R2 +bit 648 O 1 IO_R2 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_R1 +bit 645 O 1 IO_R1 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_P5 +bit 636 O 1 IO_P5 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_P4 +bit 633 O 1 IO_P4 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_P2 +bit 630 O 1 IO_P2 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_P1 +bit 627 O 1 IO_P1 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_N6 +bit 624 O 1 IO_N6 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_N5 +bit 621 O 1 IO_N5 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_N4 +bit 618 O 1 IO_N4 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_N3 +bit 615 O 1 IO_N3 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_N2 +bit 612 O 1 IO_N2 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_N1 +bit 609 O 1 IO_N1 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 I 1 IO_M6 +bit 600 O 1 IO_M6 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_M5 +bit 597 O 1 IO_M5 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_M4 +bit 594 O 1 IO_M4 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_M3 +bit 591 O 1 IO_M3 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_M2 +bit 588 O 1 IO_M2 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_M1 +bit 585 O 1 IO_M1 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_L1 +bit 582 O 1 IO_L1 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_L2 +bit 579 O 1 IO_L2 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_L3 +bit 576 O 1 IO_L3 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_L4 +bit 573 O 1 IO_L4 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_L5 +bit 570 O 1 IO_L5 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_L6 +bit 567 O 1 IO_L6 566 1 Z +bit 566 C 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_K1 +bit 558 O 1 IO_K1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_K2 +bit 555 O 1 IO_K2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_K3 +bit 552 O 1 IO_K3 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_K4 +bit 549 O 1 IO_K4 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_K5 +bit 546 O 1 IO_K5 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_K6 +bit 543 O 1 IO_K6 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_J1 +bit 540 O 1 IO_J1 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_J2 +bit 537 O 1 IO_J2 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_J5 +bit 534 O 1 IO_J5 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_J6 +bit 531 O 1 IO_J6 530 1 Z +bit 530 C 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 I 1 IO_J4 +bit 522 O 1 IO_J4 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_H4 +bit 519 O 1 IO_H4 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_H1 +bit 516 O 1 IO_H1 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_H2 +bit 513 O 1 IO_H2 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_G1 +bit 510 O 1 IO_G1 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_G2 +bit 507 O 1 IO_G2 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_G3 +bit 504 O 1 IO_G3 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_G4 +bit 501 O 1 IO_G4 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_H5 +bit 498 O 1 IO_H5 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_G5 +bit 495 O 1 IO_G5 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_F2 +bit 492 O 1 IO_F2 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_F3 +bit 489 O 1 IO_F3 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_G6 +bit 486 O 1 IO_G6 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_F5 +bit 483 O 1 IO_F5 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_E1 +bit 480 O 1 IO_E1 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_E2 +bit 477 O 1 IO_E2 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_F4 +bit 474 O 1 IO_F4 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_E3 +bit 471 O 1 IO_E3 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_D3 +bit 468 O 1 IO_D3 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_D2 +bit 465 O 1 IO_D2 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_E4 +bit 462 O 1 IO_E4 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_D4 +bit 459 O 1 IO_D4 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_D1 +bit 456 O 1 IO_D1 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_C1 +bit 453 O 1 IO_C1 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_C2 +bit 450 O 1 IO_C2 449 1 Z +bit 449 C 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 O 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 I 1 IO_C3 +bit 396 O 1 IO_C3 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_C4 +bit 393 O 1 IO_C4 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_B3 +bit 389 I 1 IO_A3 +bit 388 O 1 IO_A3 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_B4 +bit 385 O 1 IO_B4 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_A4 +bit 382 O 1 IO_A4 381 1 Z +bit 381 C 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 I 1 IO_E5 +bit 367 O 1 IO_E5 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_D5 +bit 364 O 1 IO_D5 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_C5 +bit 361 O 1 IO_C5 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 I 1 IO_F6 +bit 343 O 1 IO_F6 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_B5 +bit 340 O 1 IO_B5 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_A5 +bit 337 O 1 IO_A5 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_E6 +bit 334 O 1 IO_E6 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_D6 +bit 331 O 1 IO_D6 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_F7 +bit 328 O 1 IO_F7 327 1 Z +bit 327 C 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 I 1 IO_C6 +bit 310 O 1 IO_C6 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_B6 +bit 307 O 1 IO_B6 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_E7 +bit 304 O 1 IO_E7 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_D7 +bit 301 O 1 IO_D7 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 I 1 IO_C7 +bit 283 O 1 IO_C7 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_B7 +bit 280 O 1 IO_B7 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_A7 +bit 277 O 1 IO_A7 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_E8 +bit 274 O 1 IO_E8 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_D8 +bit 271 O 1 IO_D8 270 1 Z +bit 270 C 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 I 1 IO_B8 +bit 259 O 1 IO_B8 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_A8 +bit 256 O 1 IO_A8 255 1 Z +bit 255 C 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 I 1 IO_F9 +bit 250 O 1 IO_F9 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_E9 +bit 247 O 1 IO_E9 246 1 Z +bit 246 C 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 IO_D9 +bit 238 O 1 IO_D9 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B9 +bit 235 O 1 IO_B9 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_A9 +bit 232 O 1 IO_A9 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F10 +bit 229 O 1 IO_F10 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E10 +bit 226 O 1 IO_E10 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_D10 +bit 223 O 1 IO_D10 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_C10 +bit 220 O 1 IO_C10 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_B10 +bit 217 O 1 IO_B10 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_F11 +bit 214 O 1 IO_F11 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_E11 +bit 211 O 1 IO_E11 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_A10 +bit 208 O 1 IO_A10 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_D11 +bit 205 O 1 IO_D11 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_C11 +bit 202 O 1 IO_C11 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_B11 +bit 199 O 1 IO_B11 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_A11 +bit 196 O 1 IO_A11 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_A12 +bit 193 O 1 IO_A12 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_B12 +bit 190 O 1 IO_B12 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_C12 +bit 187 O 1 IO_C12 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_D12 +bit 184 O 1 IO_D12 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_E12 +bit 181 O 1 IO_E12 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_F12 +bit 178 O 1 IO_F12 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_A13 +bit 175 O 1 IO_A13 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_B13 +bit 172 O 1 IO_B13 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_C13 +bit 169 O 1 IO_C13 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_D13 +bit 166 O 1 IO_D13 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_E13 +bit 163 O 1 IO_E13 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_A14 +bit 160 O 1 IO_A14 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_B14 +bit 157 O 1 IO_B14 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_D14 +bit 154 O 1 IO_D14 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_E14 +bit 151 O 1 IO_E14 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_F13 +bit 148 O 1 IO_F13 147 1 Z +bit 147 C 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 I 1 IO_B15 +bit 139 O 1 IO_B15 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_A15 +bit 136 O 1 IO_A15 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_D15 +bit 130 O 1 IO_D15 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_E15 +bit 127 O 1 IO_E15 126 1 Z +bit 126 C 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 I 1 IO_F14 +bit 118 O 1 IO_F14 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_A16 +bit 115 O 1 IO_A16 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_B16 +bit 112 O 1 IO_B16 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_C16 +bit 109 O 1 IO_C16 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_D16 +bit 106 O 1 IO_D16 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 I 1 IO_E16 +bit 88 O 1 IO_E16 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_B17 +bit 85 O 1 IO_B17 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_C17 +bit 82 O 1 IO_C17 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_D17 +bit 79 O 1 IO_D17 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_E17 +bit 76 O 1 IO_E17 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 I 1 IO_F16 +bit 58 O 1 IO_F16 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A18 +bit 55 O 1 IO_A18 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B18 +bit 52 O 1 IO_B18 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_C18 +bit 49 O 1 IO_C18 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D18 +bit 46 O 1 IO_D18 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 I 1 IO_F17 +bit 28 O 1 IO_F17 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_A19 +bit 25 O 1 IO_A19 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_B19 +bit 22 O 1 IO_B19 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_C19 +bit 4 O 1 IO_C19 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B20 +bit 1 O 1 IO_B20 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg676 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg676 new file mode 100644 index 0000000..5c99f66 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500_fg676 @@ -0,0 +1,2244 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal CCLK_AD26 +signal DONE_AC24 +signal HSWAP_EN_C2 +signal M0_AE3 +signal M1_AC3 +signal M2_AF3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_A19 +signal IO_A20 +signal IO_A21 +signal IO_A22 +signal IO_A23 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D25 +signal IO_D26 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_E23 +signal IO_E24 +signal IO_E25 +signal IO_E26 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_G1 +signal IO_G2 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G9 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G25 +signal IO_G26 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H20 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J7 +signal IO_J20 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J24 +signal IO_J25 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K24 +signal IO_K25 +signal IO_K26 +signal IO_L1 +signal IO_L2 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L23 +signal IO_L25 +signal IO_L26 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N24 +signal IO_N25 +signal IO_N26 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R19 +signal IO_R20 +signal IO_R21 +signal IO_R22 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_T1 +signal IO_T2 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T25 +signal IO_T26 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U20 +signal IO_U21 +signal IO_U22 +signal IO_U23 +signal IO_U24 +signal IO_U25 +signal IO_U26 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V24 +signal IO_V25 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_Y1 +signal IO_Y2 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y14 +signal IO_Y15 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_Y23 +signal IO_Y25 +signal IO_Y26 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA23 +signal IO_AA24 +signal IO_AA25 +signal IO_AA26 +signal IO_AB1 +signal IO_AB2 +signal IO_AB3 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB20 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB24 +signal IO_AB25 +signal IO_AB26 +signal IO_AC1 +signal IO_AC2 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC13 +signal IO_AC14 +signal IO_AC16 +signal IO_AC17 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC21 +signal IO_AC22 +signal IO_AC25 +signal IO_AC26 +signal IO_AD1 +signal IO_AD2 +signal IO_AD4 +signal IO_AD5 +signal IO_AD6 +signal IO_AD8 +signal IO_AD9 +signal IO_AD10 +signal IO_AD12 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AF4 +signal IO_AF5 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF10 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF14 +signal IO_AF15 +signal IO_AF16 +signal IO_AF17 +signal IO_AF19 +signal IO_AF20 +signal IO_AF21 +signal IO_AF22 +signal IO_AF23 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_C25 +bit 1557 O 1 IO_C25 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_C26 +bit 1554 O 1 IO_C26 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_E23 +bit 1551 O 1 IO_E23 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_E24 +bit 1548 O 1 IO_E24 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_D25 +bit 1545 O 1 IO_D25 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_D26 +bit 1542 O 1 IO_D26 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_E25 +bit 1539 O 1 IO_E25 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_E26 +bit 1536 O 1 IO_E26 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_G20 +bit 1533 O 1 IO_G20 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_G21 +bit 1530 O 1 IO_G21 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_F23 +bit 1527 O 1 IO_F23 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_F24 +bit 1524 O 1 IO_F24 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_G22 +bit 1521 O 1 IO_G22 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_G23 +bit 1518 O 1 IO_G23 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_F25 +bit 1515 O 1 IO_F25 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_F26 +bit 1512 O 1 IO_F26 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_G25 +bit 1509 O 1 IO_G25 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_G26 +bit 1506 O 1 IO_G26 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_H20 +bit 1503 O 1 IO_H20 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_H21 +bit 1500 O 1 IO_H21 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_H22 +bit 1497 O 1 IO_H22 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_J21 +bit 1494 O 1 IO_J21 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_H23 +bit 1491 O 1 IO_H23 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_H24 +bit 1488 O 1 IO_H24 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_H25 +bit 1485 O 1 IO_H25 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_H26 +bit 1482 O 1 IO_H26 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_J20 +bit 1479 O 1 IO_J20 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_K20 +bit 1476 O 1 IO_K20 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_J22 +bit 1473 O 1 IO_J22 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_J23 +bit 1470 O 1 IO_J23 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_J24 +bit 1467 O 1 IO_J24 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_J25 +bit 1464 O 1 IO_J25 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_K21 +bit 1461 O 1 IO_K21 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_K22 +bit 1458 O 1 IO_K22 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_K23 +bit 1455 O 1 IO_K23 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_K24 +bit 1452 O 1 IO_K24 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_K25 +bit 1449 O 1 IO_K25 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_K26 +bit 1446 O 1 IO_K26 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_L19 +bit 1443 O 1 IO_L19 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_L20 +bit 1440 O 1 IO_L20 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_L21 +bit 1437 O 1 IO_L21 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_L22 +bit 1434 O 1 IO_L22 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_L25 +bit 1431 O 1 IO_L25 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_L26 +bit 1428 O 1 IO_L26 1427 1 Z +bit 1427 C 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_M19 +bit 1419 O 1 IO_M19 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_M20 +bit 1416 O 1 IO_M20 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_M21 +bit 1413 O 1 IO_M21 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_M22 +bit 1410 O 1 IO_M22 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_L23 +bit 1407 O 1 IO_L23 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_M24 +bit 1404 O 1 IO_M24 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_M25 +bit 1401 O 1 IO_M25 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_M26 +bit 1398 O 1 IO_M26 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_N19 +bit 1395 O 1 IO_N19 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_N20 +bit 1392 O 1 IO_N20 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 I 1 IO_N21 +bit 1383 O 1 IO_N21 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_N22 +bit 1380 O 1 IO_N22 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_N23 +bit 1377 O 1 IO_N23 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_N24 +bit 1374 O 1 IO_N24 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_N25 +bit 1371 O 1 IO_N25 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_N26 +bit 1368 O 1 IO_N26 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_P26 +bit 1365 O 1 IO_P26 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_P25 +bit 1362 O 1 IO_P25 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_P24 +bit 1359 O 1 IO_P24 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_P23 +bit 1356 O 1 IO_P23 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_P22 +bit 1353 O 1 IO_P22 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_P21 +bit 1350 O 1 IO_P21 1349 1 Z +bit 1349 C 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_P20 +bit 1341 O 1 IO_P20 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_P19 +bit 1338 O 1 IO_P19 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_R26 +bit 1335 O 1 IO_R26 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_R25 +bit 1332 O 1 IO_R25 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_R24 +bit 1329 O 1 IO_R24 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_T23 +bit 1326 O 1 IO_T23 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_R22 +bit 1323 O 1 IO_R22 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_R21 +bit 1320 O 1 IO_R21 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_R20 +bit 1317 O 1 IO_R20 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_R19 +bit 1314 O 1 IO_R19 1313 1 Z +bit 1313 C 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_T26 +bit 1305 O 1 IO_T26 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_T25 +bit 1302 O 1 IO_T25 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_T22 +bit 1299 O 1 IO_T22 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_T21 +bit 1296 O 1 IO_T21 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_T20 +bit 1293 O 1 IO_T20 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_T19 +bit 1290 O 1 IO_T19 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_U26 +bit 1287 O 1 IO_U26 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_U25 +bit 1284 O 1 IO_U25 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_U24 +bit 1281 O 1 IO_U24 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_U23 +bit 1278 O 1 IO_U23 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_U22 +bit 1275 O 1 IO_U22 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_U21 +bit 1272 O 1 IO_U21 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_V25 +bit 1269 O 1 IO_V25 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_V24 +bit 1266 O 1 IO_V24 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_V23 +bit 1263 O 1 IO_V23 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_V22 +bit 1260 O 1 IO_V22 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_U20 +bit 1257 O 1 IO_U20 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_V20 +bit 1254 O 1 IO_V20 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_W26 +bit 1251 O 1 IO_W26 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_W25 +bit 1248 O 1 IO_W25 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_W24 +bit 1245 O 1 IO_W24 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_W23 +bit 1242 O 1 IO_W23 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_V21 +bit 1239 O 1 IO_V21 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_W22 +bit 1236 O 1 IO_W22 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_Y26 +bit 1233 O 1 IO_Y26 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_Y25 +bit 1230 O 1 IO_Y25 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_W21 +bit 1227 O 1 IO_W21 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_W20 +bit 1224 O 1 IO_W20 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AA26 +bit 1221 O 1 IO_AA26 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AA25 +bit 1218 O 1 IO_AA25 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_Y23 +bit 1215 O 1 IO_Y23 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_Y22 +bit 1212 O 1 IO_Y22 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AA24 +bit 1209 O 1 IO_AA24 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AA23 +bit 1206 O 1 IO_AA23 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AB26 +bit 1203 O 1 IO_AB26 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AB25 +bit 1200 O 1 IO_AB25 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_Y21 +bit 1197 O 1 IO_Y21 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_Y20 +bit 1194 O 1 IO_Y20 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AC26 +bit 1191 O 1 IO_AC26 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AC25 +bit 1188 O 1 IO_AC25 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AB24 +bit 1185 O 1 IO_AB24 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AB23 +bit 1182 O 1 IO_AB23 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AA22 +bit 1179 O 1 IO_AA22 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AA21 +bit 1176 O 1 IO_AA21 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_AD26 +bit 1173 O 1 CCLK_AD26 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_AC24 +bit 1170 O 1 DONE_AC24 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AD25 +bit 1167 O 1 IO_AD25 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AB22 +bit 1164 O 1 IO_AB22 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AC22 +bit 1161 O 1 IO_AC22 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AE24 +bit 1158 O 1 IO_AE24 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AF24 +bit 1155 O 1 IO_AF24 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AD23 +bit 1152 O 1 IO_AD23 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AE23 +bit 1149 O 1 IO_AE23 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AF23 +bit 1146 O 1 IO_AF23 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AD22 +bit 1143 O 1 IO_AD22 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_AE22 +bit 1140 O 1 IO_AE22 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AF22 +bit 1137 O 1 IO_AF22 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AB21 +bit 1134 O 1 IO_AB21 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AC21 +bit 1131 O 1 IO_AC21 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AD21 +bit 1128 O 1 IO_AD21 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AE21 +bit 1125 O 1 IO_AE21 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AF21 +bit 1122 O 1 IO_AF21 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AB20 +bit 1119 O 1 IO_AB20 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AC20 +bit 1116 O 1 IO_AC20 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AE20 +bit 1113 O 1 IO_AE20 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AF20 +bit 1110 O 1 IO_AF20 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AA20 +bit 1107 O 1 IO_AA20 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_Y19 +bit 1104 O 1 IO_Y19 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AA19 +bit 1101 O 1 IO_AA19 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_AB19 +bit 1098 O 1 IO_AB19 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_AC19 +bit 1095 O 1 IO_AC19 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AD19 +bit 1092 O 1 IO_AD19 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AE19 +bit 1089 O 1 IO_AE19 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AF19 +bit 1086 O 1 IO_AF19 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_Y18 +bit 1083 O 1 IO_Y18 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AA18 +bit 1080 O 1 IO_AA18 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 I 1 IO_AB18 +bit 1074 O 1 IO_AB18 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_AC18 +bit 1071 O 1 IO_AC18 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_AD18 +bit 1068 O 1 IO_AD18 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AE18 +bit 1065 O 1 IO_AE18 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_Y17 +bit 1062 O 1 IO_Y17 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AC17 +bit 1059 O 1 IO_AC17 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AA17 +bit 1056 O 1 IO_AA17 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AD17 +bit 1053 O 1 IO_AD17 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AB17 +bit 1050 O 1 IO_AB17 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_W16 +bit 1047 O 1 IO_W16 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AE17 +bit 1044 O 1 IO_AE17 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AF17 +bit 1041 O 1 IO_AF17 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_Y16 +bit 1038 O 1 IO_Y16 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AA16 +bit 1035 O 1 IO_AA16 1034 1 Z +bit 1034 C 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 I 1 IO_AB16 +bit 1029 O 1 IO_AB16 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AC16 +bit 1026 O 1 IO_AC16 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AE16 +bit 1023 O 1 IO_AE16 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AF16 +bit 1020 O 1 IO_AF16 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_W15 +bit 1017 O 1 IO_W15 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_Y15 +bit 1014 O 1 IO_Y15 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_W14 +bit 1011 O 1 IO_W14 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AA15 +bit 1008 O 1 IO_AA15 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AB15 +bit 1005 O 1 IO_AB15 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AD15 +bit 1002 O 1 IO_AD15 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_AE15 +bit 999 O 1 IO_AE15 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AF15 +bit 996 O 1 IO_AF15 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_Y14 +bit 993 O 1 IO_Y14 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AA14 +bit 990 O 1 IO_AA14 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AB14 +bit 987 O 1 IO_AB14 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_AC14 +bit 984 O 1 IO_AC14 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_AD14 +bit 981 O 1 IO_AD14 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AE14 +bit 978 O 1 IO_AE14 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AF14 +bit 975 O 1 IO_AF14 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AF13 +bit 972 O 1 IO_AF13 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AE13 +bit 969 O 1 IO_AE13 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AD13 +bit 966 O 1 IO_AD13 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_AC13 +bit 963 O 1 IO_AC13 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_AB13 +bit 960 O 1 IO_AB13 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AA13 +bit 957 O 1 IO_AA13 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_Y13 +bit 954 O 1 IO_Y13 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_W13 +bit 951 O 1 IO_W13 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AF12 +bit 948 O 1 IO_AF12 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AE12 +bit 945 O 1 IO_AE12 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AD12 +bit 942 O 1 IO_AD12 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AB12 +bit 939 O 1 IO_AB12 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_AA12 +bit 936 O 1 IO_AA12 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_Y12 +bit 933 O 1 IO_Y12 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_W12 +bit 930 O 1 IO_W12 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_AC11 +bit 927 O 1 IO_AC11 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AF11 +bit 924 O 1 IO_AF11 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AE11 +bit 921 O 1 IO_AE11 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_AB11 +bit 918 O 1 IO_AB11 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AA11 +bit 915 O 1 IO_AA11 914 1 Z +bit 914 C 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 I 1 IO_Y11 +bit 909 O 1 IO_Y11 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_W11 +bit 906 O 1 IO_W11 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_AF10 +bit 903 O 1 IO_AF10 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_AE10 +bit 900 O 1 IO_AE10 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_AD10 +bit 897 O 1 IO_AD10 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_AC10 +bit 894 O 1 IO_AC10 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_AB10 +bit 891 O 1 IO_AB10 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_AA10 +bit 888 O 1 IO_AA10 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_Y10 +bit 885 O 1 IO_Y10 884 1 Z +bit 884 C 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 I 1 IO_AE9 +bit 879 O 1 IO_AE9 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_AD9 +bit 876 O 1 IO_AD9 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_AC9 +bit 873 O 1 IO_AC9 872 1 Z +bit 872 C 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 I 1 IO_AB9 +bit 867 O 1 IO_AB9 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_AA9 +bit 864 O 1 IO_AA9 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_Y9 +bit 861 O 1 IO_Y9 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_AF8 +bit 858 O 1 IO_AF8 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_AE8 +bit 855 O 1 IO_AE8 854 1 Z +bit 854 C 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 I 1 IO_AD8 +bit 849 O 1 IO_AD8 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_AC8 +bit 846 O 1 IO_AC8 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_AB8 +bit 843 O 1 IO_AB8 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_AA8 +bit 840 O 1 IO_AA8 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_Y8 +bit 837 O 1 IO_Y8 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_AF7 +bit 834 O 1 IO_AF7 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_AE7 +bit 831 O 1 IO_AE7 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_AC7 +bit 828 O 1 IO_AC7 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_AB7 +bit 825 O 1 IO_AB7 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_AA7 +bit 822 O 1 IO_AA7 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_AF6 +bit 819 O 1 IO_AF6 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_AE6 +bit 816 O 1 IO_AE6 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_AD6 +bit 813 O 1 IO_AD6 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_AC6 +bit 810 O 1 IO_AC6 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_AF5 +bit 807 O 1 IO_AF5 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_AE5 +bit 804 O 1 IO_AE5 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_AD5 +bit 801 O 1 IO_AD5 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_AB6 +bit 798 O 1 IO_AB6 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_AA6 +bit 795 O 1 IO_AA6 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_AF4 +bit 792 O 1 IO_AF4 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_AE4 +bit 789 O 1 IO_AE4 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_AD4 +bit 786 O 1 IO_AD4 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_AC5 +bit 783 O 1 IO_AC5 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_AB5 +bit 780 O 1 IO_AB5 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_AF3 +bit 777 I 1 M0_AE3 +bit 776 I 1 M1_AC3 +bit 775 I 1 IO_AD2 +bit 774 O 1 IO_AD2 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_AD1 +bit 771 O 1 IO_AD1 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_AB4 +bit 768 O 1 IO_AB4 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_AB3 +bit 765 O 1 IO_AB3 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_AC2 +bit 762 O 1 IO_AC2 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_AC1 +bit 759 O 1 IO_AC1 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_AB2 +bit 756 O 1 IO_AB2 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_AB1 +bit 753 O 1 IO_AB1 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_Y7 +bit 750 O 1 IO_Y7 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_Y6 +bit 747 O 1 IO_Y6 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_AA4 +bit 744 O 1 IO_AA4 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_AA3 +bit 741 O 1 IO_AA3 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_Y5 +bit 738 O 1 IO_Y5 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_Y4 +bit 735 O 1 IO_Y4 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_AA2 +bit 732 O 1 IO_AA2 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_AA1 +bit 729 O 1 IO_AA1 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_Y2 +bit 726 O 1 IO_Y2 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_Y1 +bit 723 O 1 IO_Y1 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_W7 +bit 720 O 1 IO_W7 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_W6 +bit 717 O 1 IO_W6 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_V6 +bit 714 O 1 IO_V6 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_W5 +bit 711 O 1 IO_W5 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_W4 +bit 708 O 1 IO_W4 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_W3 +bit 705 O 1 IO_W3 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_W2 +bit 702 O 1 IO_W2 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_W1 +bit 699 O 1 IO_W1 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_V7 +bit 696 O 1 IO_V7 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_U7 +bit 693 O 1 IO_U7 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_V5 +bit 690 O 1 IO_V5 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_V4 +bit 687 O 1 IO_V4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_V3 +bit 684 O 1 IO_V3 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_V2 +bit 681 O 1 IO_V2 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_U6 +bit 678 O 1 IO_U6 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_U5 +bit 675 O 1 IO_U5 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_U4 +bit 672 O 1 IO_U4 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_U3 +bit 669 O 1 IO_U3 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_U2 +bit 666 O 1 IO_U2 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_U1 +bit 663 O 1 IO_U1 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_T8 +bit 660 O 1 IO_T8 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_T7 +bit 657 O 1 IO_T7 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_T6 +bit 654 O 1 IO_T6 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_T5 +bit 651 O 1 IO_T5 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_T2 +bit 648 O 1 IO_T2 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_T1 +bit 645 O 1 IO_T1 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_R8 +bit 636 O 1 IO_R8 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_R7 +bit 633 O 1 IO_R7 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_R6 +bit 630 O 1 IO_R6 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_R5 +bit 627 O 1 IO_R5 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_T4 +bit 624 O 1 IO_T4 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_R3 +bit 621 O 1 IO_R3 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_R2 +bit 618 O 1 IO_R2 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_R1 +bit 615 O 1 IO_R1 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_P8 +bit 612 O 1 IO_P8 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_P7 +bit 609 O 1 IO_P7 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 I 1 IO_P6 +bit 600 O 1 IO_P6 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_P5 +bit 597 O 1 IO_P5 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_P4 +bit 594 O 1 IO_P4 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_P3 +bit 591 O 1 IO_P3 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_P2 +bit 588 O 1 IO_P2 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_P1 +bit 585 O 1 IO_P1 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_N1 +bit 582 O 1 IO_N1 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_N2 +bit 579 O 1 IO_N2 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_N3 +bit 576 O 1 IO_N3 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_N4 +bit 573 O 1 IO_N4 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_N5 +bit 570 O 1 IO_N5 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_N6 +bit 567 O 1 IO_N6 566 1 Z +bit 566 C 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_N7 +bit 558 O 1 IO_N7 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_N8 +bit 555 O 1 IO_N8 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_M1 +bit 552 O 1 IO_M1 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_M2 +bit 549 O 1 IO_M2 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_M3 +bit 546 O 1 IO_M3 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_L4 +bit 543 O 1 IO_L4 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_M6 +bit 540 O 1 IO_M6 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_M5 +bit 537 O 1 IO_M5 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_M7 +bit 534 O 1 IO_M7 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_M8 +bit 531 O 1 IO_M8 530 1 Z +bit 530 C 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 I 1 IO_L1 +bit 522 O 1 IO_L1 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_L2 +bit 519 O 1 IO_L2 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_L5 +bit 516 O 1 IO_L5 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_L6 +bit 513 O 1 IO_L6 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_L7 +bit 510 O 1 IO_L7 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_L8 +bit 507 O 1 IO_L8 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_K1 +bit 504 O 1 IO_K1 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_K2 +bit 501 O 1 IO_K2 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_K3 +bit 498 O 1 IO_K3 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_K4 +bit 495 O 1 IO_K4 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_K5 +bit 492 O 1 IO_K5 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_K6 +bit 489 O 1 IO_K6 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_J2 +bit 486 O 1 IO_J2 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_J3 +bit 483 O 1 IO_J3 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_J4 +bit 480 O 1 IO_J4 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_J5 +bit 477 O 1 IO_J5 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_K7 +bit 474 O 1 IO_K7 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_J7 +bit 471 O 1 IO_J7 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_H1 +bit 468 O 1 IO_H1 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_H2 +bit 465 O 1 IO_H2 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_H3 +bit 462 O 1 IO_H3 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_H4 +bit 459 O 1 IO_H4 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_J6 +bit 456 O 1 IO_J6 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_H5 +bit 453 O 1 IO_H5 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_G1 +bit 450 O 1 IO_G1 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_G2 +bit 447 O 1 IO_G2 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_H6 +bit 444 O 1 IO_H6 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_H7 +bit 441 O 1 IO_H7 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_F1 +bit 438 O 1 IO_F1 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_F2 +bit 435 O 1 IO_F2 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_G4 +bit 432 O 1 IO_G4 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_G5 +bit 429 O 1 IO_G5 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_F3 +bit 426 O 1 IO_F3 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_F4 +bit 423 O 1 IO_F4 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_E1 +bit 420 O 1 IO_E1 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_E2 +bit 417 O 1 IO_E2 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_G6 +bit 414 O 1 IO_G6 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_G7 +bit 411 O 1 IO_G7 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_D1 +bit 408 O 1 IO_D1 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_D2 +bit 405 O 1 IO_D2 404 1 Z +bit 404 C 1 * +bit 403 I 1 IO_E3 +bit 402 O 1 IO_E3 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_E4 +bit 399 O 1 IO_E4 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_F5 +bit 396 O 1 IO_F5 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_F6 +bit 393 O 1 IO_F6 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_C2 +bit 389 I 1 IO_B3 +bit 388 O 1 IO_B3 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_E5 +bit 385 O 1 IO_E5 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_D5 +bit 382 O 1 IO_D5 381 1 Z +bit 381 C 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 I 1 IO_A3 +bit 376 O 1 IO_A3 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_C4 +bit 373 O 1 IO_C4 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_B4 +bit 370 O 1 IO_B4 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_A4 +bit 367 O 1 IO_A4 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_C5 +bit 364 O 1 IO_C5 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_B5 +bit 361 O 1 IO_B5 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_A5 +bit 358 O 1 IO_A5 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_E6 +bit 355 O 1 IO_E6 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_D6 +bit 352 O 1 IO_D6 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_C6 +bit 349 O 1 IO_C6 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_B6 +bit 346 O 1 IO_B6 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_A6 +bit 343 O 1 IO_A6 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_E7 +bit 340 O 1 IO_E7 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_D7 +bit 337 O 1 IO_D7 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_B7 +bit 334 O 1 IO_B7 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_A7 +bit 331 O 1 IO_A7 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_F7 +bit 328 O 1 IO_F7 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_G8 +bit 325 O 1 IO_G8 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_F8 +bit 322 O 1 IO_F8 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_E8 +bit 319 O 1 IO_E8 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_D8 +bit 316 O 1 IO_D8 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_C8 +bit 313 O 1 IO_C8 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_B8 +bit 310 O 1 IO_B8 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_A8 +bit 307 O 1 IO_A8 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_G9 +bit 304 O 1 IO_G9 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_F9 +bit 301 O 1 IO_F9 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 IO_E9 +bit 295 O 1 IO_E9 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_D9 +bit 292 O 1 IO_D9 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_C9 +bit 289 O 1 IO_C9 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_B9 +bit 286 O 1 IO_B9 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_G10 +bit 283 O 1 IO_G10 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_F10 +bit 280 O 1 IO_F10 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_E10 +bit 277 O 1 IO_E10 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_D10 +bit 274 O 1 IO_D10 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_C10 +bit 271 O 1 IO_C10 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_H11 +bit 268 O 1 IO_H11 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B10 +bit 265 O 1 IO_B10 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_A10 +bit 262 O 1 IO_A10 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_G11 +bit 259 O 1 IO_G11 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_F11 +bit 256 O 1 IO_F11 255 1 Z +bit 255 C 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 I 1 IO_E11 +bit 250 O 1 IO_E11 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_D11 +bit 247 O 1 IO_D11 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_B11 +bit 244 O 1 IO_B11 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_A11 +bit 241 O 1 IO_A11 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_H12 +bit 238 O 1 IO_H12 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_G12 +bit 235 O 1 IO_G12 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_H13 +bit 232 O 1 IO_H13 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F12 +bit 229 O 1 IO_F12 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E12 +bit 226 O 1 IO_E12 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_C12 +bit 223 O 1 IO_C12 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_B12 +bit 220 O 1 IO_B12 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_A12 +bit 217 O 1 IO_A12 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_G13 +bit 214 O 1 IO_G13 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_F13 +bit 211 O 1 IO_F13 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_E13 +bit 208 O 1 IO_E13 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_D13 +bit 205 O 1 IO_D13 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_C13 +bit 202 O 1 IO_C13 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_B13 +bit 199 O 1 IO_B13 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_A13 +bit 196 O 1 IO_A13 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_A14 +bit 193 O 1 IO_A14 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_B14 +bit 190 O 1 IO_B14 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_C14 +bit 187 O 1 IO_C14 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_D14 +bit 184 O 1 IO_D14 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_E14 +bit 181 O 1 IO_E14 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_F14 +bit 178 O 1 IO_F14 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_G14 +bit 175 O 1 IO_G14 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_H14 +bit 172 O 1 IO_H14 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_A15 +bit 169 O 1 IO_A15 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_B15 +bit 166 O 1 IO_B15 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C15 +bit 163 O 1 IO_C15 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_E15 +bit 160 O 1 IO_E15 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_F15 +bit 157 O 1 IO_F15 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_G15 +bit 154 O 1 IO_G15 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_H15 +bit 151 O 1 IO_H15 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_D16 +bit 148 O 1 IO_D16 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_A16 +bit 145 O 1 IO_A16 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_B16 +bit 142 O 1 IO_B16 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_E16 +bit 139 O 1 IO_E16 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_F16 +bit 136 O 1 IO_F16 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_G16 +bit 130 O 1 IO_G16 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_H16 +bit 127 O 1 IO_H16 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_A17 +bit 124 O 1 IO_A17 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_B17 +bit 121 O 1 IO_B17 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_C17 +bit 118 O 1 IO_C17 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_D17 +bit 115 O 1 IO_D17 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_E17 +bit 112 O 1 IO_E17 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_F17 +bit 109 O 1 IO_F17 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_G17 +bit 106 O 1 IO_G17 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 I 1 IO_B18 +bit 100 O 1 IO_B18 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_C18 +bit 97 O 1 IO_C18 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_D18 +bit 94 O 1 IO_D18 93 1 Z +bit 93 C 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 I 1 IO_E18 +bit 88 O 1 IO_E18 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_F18 +bit 85 O 1 IO_F18 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_G18 +bit 82 O 1 IO_G18 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_A19 +bit 79 O 1 IO_A19 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_B19 +bit 76 O 1 IO_B19 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_C19 +bit 70 O 1 IO_C19 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D19 +bit 67 O 1 IO_D19 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_E19 +bit 64 O 1 IO_E19 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_F19 +bit 61 O 1 IO_F19 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_G19 +bit 58 O 1 IO_G19 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A20 +bit 55 O 1 IO_A20 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B20 +bit 52 O 1 IO_B20 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_D20 +bit 49 O 1 IO_D20 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_E20 +bit 46 O 1 IO_E20 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_F20 +bit 43 O 1 IO_F20 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_A21 +bit 40 O 1 IO_A21 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_B21 +bit 37 O 1 IO_B21 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_C21 +bit 34 O 1 IO_C21 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_D21 +bit 31 O 1 IO_D21 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_A22 +bit 28 O 1 IO_A22 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B22 +bit 25 O 1 IO_B22 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C22 +bit 22 O 1 IO_C22 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_E21 +bit 19 O 1 IO_E21 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_F21 +bit 16 O 1 IO_F21 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_A23 +bit 13 O 1 IO_A23 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_B23 +bit 10 O 1 IO_B23 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_C23 +bit 7 O 1 IO_C23 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_D22 +bit 4 O 1 IO_D22 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_E22 +bit 1 O 1 IO_E22 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l new file mode 100644 index 0000000..bd005d4 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l @@ -0,0 +1,2282 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal CCLK_PAD458 +signal DONE_PAD457 +signal HSWAP_EN_PAD96 +signal M0_PAD267 +signal M1_PAD266 +signal M2_PAD268 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD6 +signal IO_PAD8 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD12 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD16 +signal IO_PAD18 +signal IO_PAD20 +signal IO_PAD22 +signal IO_PAD23 +signal IO_PAD24 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD28 +signal IO_PAD30 +signal IO_PAD31 +signal IO_PAD32 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD36 +signal IO_PAD38 +signal IO_PAD40 +signal IO_PAD42 +signal IO_PAD44 +signal IO_PAD46 +signal IO_PAD48 +signal IO_PAD49 +signal IO_PAD50 +signal IO_PAD51 +signal IO_PAD52 +signal IO_PAD54 +signal IO_PAD56 +signal IO_PAD57 +signal IO_PAD58 +signal IO_PAD60 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD64 +signal IO_PAD65 +signal IO_PAD66 +signal IO_PAD68 +signal IO_PAD70 +signal IO_PAD72 +signal IO_PAD74 +signal IO_PAD75 +signal IO_PAD76 +signal IO_PAD77 +signal IO_PAD78 +signal IO_PAD80 +signal IO_PAD82 +signal IO_PAD83 +signal IO_PAD84 +signal IO_PAD85 +signal IO_PAD86 +signal IO_PAD88 +signal IO_PAD89 +signal IO_PAD93 +signal IO_PAD94 +signal IO_PAD95 +signal IO_PAD100 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD103 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD106 +signal IO_PAD108 +signal IO_PAD109 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD112 +signal IO_PAD114 +signal IO_PAD116 +signal IO_PAD118 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD124 +signal IO_PAD125 +signal IO_PAD126 +signal IO_PAD128 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD132 +signal IO_PAD134 +signal IO_PAD135 +signal IO_PAD136 +signal IO_PAD137 +signal IO_PAD138 +signal IO_PAD140 +signal IO_PAD142 +signal IO_PAD144 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD148 +signal IO_PAD150 +signal IO_PAD152 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD156 +signal IO_PAD157 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD160 +signal IO_PAD161 +signal IO_PAD162 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD166 +signal IO_PAD168 +signal IO_PAD170 +signal IO_PAD172 +signal IO_PAD174 +signal IO_PAD175 +signal IO_PAD176 +signal IO_PAD178 +signal IO_PAD180 +signal IO_PAD181 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD186 +signal IO_PAD188 +signal IO_PAD189 +signal IO_PAD190 +signal IO_PAD192 +signal IO_PAD194 +signal IO_PAD196 +signal IO_PAD198 +signal IO_PAD200 +signal IO_PAD201 +signal IO_PAD202 +signal IO_PAD203 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD206 +signal IO_PAD207 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD212 +signal IO_PAD214 +signal IO_PAD216 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD220 +signal IO_PAD222 +signal IO_PAD224 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD228 +signal IO_PAD229 +signal IO_PAD230 +signal IO_PAD232 +signal IO_PAD234 +signal IO_PAD235 +signal IO_PAD236 +signal IO_PAD238 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD242 +signal IO_PAD243 +signal IO_PAD244 +signal IO_PAD246 +signal IO_PAD248 +signal IO_PAD250 +signal IO_PAD252 +signal IO_PAD253 +signal IO_PAD254 +signal IO_PAD255 +signal IO_PAD256 +signal IO_PAD258 +signal IO_PAD259 +signal IO_PAD260 +signal IO_PAD261 +signal IO_PAD262 +signal IO_PAD263 +signal IO_PAD264 +signal IO_PAD269 +signal IO_PAD270 +signal IO_PAD274 +signal IO_PAD275 +signal IO_PAD276 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD280 +signal IO_PAD281 +signal IO_PAD282 +signal IO_PAD284 +signal IO_PAD286 +signal IO_PAD287 +signal IO_PAD288 +signal IO_PAD289 +signal IO_PAD290 +signal IO_PAD292 +signal IO_PAD294 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD299 +signal IO_PAD300 +signal IO_PAD302 +signal IO_PAD303 +signal IO_PAD304 +signal IO_PAD306 +signal IO_PAD307 +signal IO_PAD308 +signal IO_PAD310 +signal IO_PAD312 +signal IO_PAD313 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD316 +signal IO_PAD318 +signal IO_PAD320 +signal IO_PAD322 +signal IO_PAD324 +signal IO_PAD326 +signal IO_PAD328 +signal IO_PAD329 +signal IO_PAD330 +signal IO_PAD331 +signal IO_PAD332 +signal IO_PAD333 +signal IO_PAD334 +signal IO_PAD336 +signal IO_PAD338 +signal IO_PAD339 +signal IO_PAD340 +signal IO_PAD341 +signal IO_PAD342 +signal IO_PAD344 +signal IO_PAD346 +signal IO_PAD348 +signal IO_PAD350 +signal IO_PAD351 +signal IO_PAD352 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD356 +signal IO_PAD358 +signal IO_PAD360 +signal IO_PAD361 +signal IO_PAD362 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD366 +signal IO_PAD368 +signal IO_PAD370 +signal IO_PAD371 +signal IO_PAD372 +signal IO_PAD374 +signal IO_PAD375 +signal IO_PAD376 +signal IO_PAD378 +signal IO_PAD380 +signal IO_PAD382 +signal IO_PAD384 +signal IO_PAD385 +signal IO_PAD386 +signal IO_PAD387 +signal IO_PAD388 +signal IO_PAD390 +signal IO_PAD392 +signal IO_PAD393 +signal IO_PAD394 +signal IO_PAD395 +signal IO_PAD396 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD400 +signal IO_PAD402 +signal IO_PAD404 +signal IO_PAD406 +signal IO_PAD408 +signal IO_PAD410 +signal IO_PAD411 +signal IO_PAD412 +signal IO_PAD413 +signal IO_PAD414 +signal IO_PAD416 +signal IO_PAD418 +signal IO_PAD419 +signal IO_PAD420 +signal IO_PAD422 +signal IO_PAD423 +signal IO_PAD424 +signal IO_PAD426 +signal IO_PAD427 +signal IO_PAD428 +signal IO_PAD430 +signal IO_PAD432 +signal IO_PAD434 +signal IO_PAD436 +signal IO_PAD437 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD440 +signal IO_PAD442 +signal IO_PAD444 +signal IO_PAD445 +signal IO_PAD446 +signal IO_PAD447 +signal IO_PAD448 +signal IO_PAD450 +signal IO_PAD451 +signal IO_PAD454 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD461 +signal IO_PAD462 +signal IO_PAD463 +signal IO_PAD464 +signal IO_PAD465 +signal IO_PAD466 +signal IO_PAD467 +signal IO_PAD469 +signal IO_PAD470 +signal IO_PAD471 +signal IO_PAD472 +signal IO_PAD473 +signal IO_PAD475 +signal IO_PAD477 +signal IO_PAD479 +signal IO_PAD481 +signal IO_PAD482 +signal IO_PAD483 +signal IO_PAD485 +signal IO_PAD486 +signal IO_PAD487 +signal IO_PAD489 +signal IO_PAD490 +signal IO_PAD491 +signal IO_PAD493 +signal IO_PAD495 +signal IO_PAD496 +signal IO_PAD497 +signal IO_PAD498 +signal IO_PAD499 +signal IO_PAD501 +signal IO_PAD503 +signal IO_PAD505 +signal IO_PAD507 +signal IO_PAD508 +signal IO_PAD509 +signal IO_PAD511 +signal IO_PAD513 +signal IO_PAD514 +signal IO_PAD515 +signal IO_PAD516 +signal IO_PAD517 +signal IO_PAD518 +signal IO_PAD519 +signal IO_PAD520 +signal IO_PAD521 +signal IO_PAD522 +signal IO_PAD523 +signal IO_PAD524 +signal IO_PAD525 +signal IO_PAD527 +signal IO_PAD529 +signal IO_PAD531 +signal IO_PAD533 +signal IO_PAD535 +signal IO_PAD536 +signal IO_PAD537 +signal IO_PAD539 +signal IO_PAD541 +signal IO_PAD542 +signal IO_PAD544 +signal IO_PAD545 +signal IO_PAD547 +signal IO_PAD549 +signal IO_PAD550 +signal IO_PAD551 +signal IO_PAD553 +signal IO_PAD555 +signal IO_PAD557 +signal IO_PAD559 +signal IO_PAD561 +signal IO_PAD562 +signal IO_PAD563 +signal IO_PAD564 +signal IO_PAD565 +signal IO_PAD566 +signal IO_PAD567 +signal IO_PAD568 +signal IO_PAD569 +signal IO_PAD570 +signal IO_PAD571 +signal IO_PAD572 +signal IO_PAD573 +signal IO_PAD575 +signal IO_PAD577 +signal IO_PAD578 +signal IO_PAD579 +signal IO_PAD581 +signal IO_PAD583 +signal IO_PAD585 +signal IO_PAD587 +signal IO_PAD588 +signal IO_PAD589 +signal IO_PAD590 +signal IO_PAD591 +signal IO_PAD593 +signal IO_PAD595 +signal IO_PAD596 +signal IO_PAD597 +signal IO_PAD599 +signal IO_PAD600 +signal IO_PAD601 +signal IO_PAD603 +signal IO_PAD604 +signal IO_PAD605 +signal IO_PAD607 +signal IO_PAD609 +signal IO_PAD611 +signal IO_PAD613 +signal IO_PAD614 +signal IO_PAD615 +signal IO_PAD616 +signal IO_PAD617 +signal IO_PAD619 +signal IO_PAD620 +signal IO_PAD621 +signal IO_PAD622 +signal IO_PAD623 +signal IO_PAD624 +signal IO_PAD625 +signal IO_PAD630 +signal IO_PAD631 +signal IO_PAD633 +signal IO_PAD634 +signal IO_PAD635 +signal IO_PAD637 +signal IO_PAD638 +signal IO_PAD639 +signal IO_PAD640 +signal IO_PAD641 +signal IO_PAD643 +signal IO_PAD645 +signal IO_PAD646 +signal IO_PAD647 +signal IO_PAD648 +signal IO_PAD649 +signal IO_PAD651 +signal IO_PAD653 +signal IO_PAD655 +signal IO_PAD657 +signal IO_PAD658 +signal IO_PAD659 +signal IO_PAD661 +signal IO_PAD662 +signal IO_PAD663 +signal IO_PAD665 +signal IO_PAD666 +signal IO_PAD667 +signal IO_PAD669 +signal IO_PAD671 +signal IO_PAD672 +signal IO_PAD673 +signal IO_PAD674 +signal IO_PAD675 +signal IO_PAD677 +signal IO_PAD679 +signal IO_PAD681 +signal IO_PAD683 +signal IO_PAD685 +signal IO_PAD687 +signal IO_PAD688 +signal IO_PAD689 +signal IO_PAD690 +signal IO_PAD691 +signal IO_PAD692 +signal IO_PAD693 +signal IO_PAD695 +signal IO_PAD697 +signal IO_PAD698 +signal IO_PAD699 +signal IO_PAD700 +signal IO_PAD701 +signal IO_PAD703 +signal IO_PAD705 +signal IO_PAD707 +signal IO_PAD709 +signal IO_PAD710 +signal IO_PAD711 +signal IO_PAD713 +signal IO_PAD714 +signal IO_PAD715 +signal IO_PAD717 +signal IO_PAD719 +signal IO_PAD720 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_PAD625 +bit 1557 O 1 IO_PAD625 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_PAD624 +bit 1554 O 1 IO_PAD624 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_PAD623 +bit 1551 O 1 IO_PAD623 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_PAD622 +bit 1548 O 1 IO_PAD622 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_PAD621 +bit 1545 O 1 IO_PAD621 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_PAD620 +bit 1542 O 1 IO_PAD620 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_PAD619 +bit 1539 O 1 IO_PAD619 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_PAD617 +bit 1536 O 1 IO_PAD617 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_PAD616 +bit 1533 O 1 IO_PAD616 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_PAD615 +bit 1530 O 1 IO_PAD615 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_PAD614 +bit 1527 O 1 IO_PAD614 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_PAD613 +bit 1524 O 1 IO_PAD613 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_PAD611 +bit 1521 O 1 IO_PAD611 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_PAD609 +bit 1518 O 1 IO_PAD609 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_PAD607 +bit 1515 O 1 IO_PAD607 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_PAD605 +bit 1512 O 1 IO_PAD605 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_PAD604 +bit 1509 O 1 IO_PAD604 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_PAD603 +bit 1506 O 1 IO_PAD603 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_PAD601 +bit 1503 O 1 IO_PAD601 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_PAD600 +bit 1500 O 1 IO_PAD600 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_PAD599 +bit 1497 O 1 IO_PAD599 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_PAD597 +bit 1494 O 1 IO_PAD597 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_PAD596 +bit 1491 O 1 IO_PAD596 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_PAD595 +bit 1488 O 1 IO_PAD595 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_PAD593 +bit 1485 O 1 IO_PAD593 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_PAD591 +bit 1482 O 1 IO_PAD591 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_PAD590 +bit 1479 O 1 IO_PAD590 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_PAD589 +bit 1476 O 1 IO_PAD589 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_PAD588 +bit 1473 O 1 IO_PAD588 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_PAD587 +bit 1470 O 1 IO_PAD587 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_PAD585 +bit 1467 O 1 IO_PAD585 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_PAD583 +bit 1464 O 1 IO_PAD583 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_PAD581 +bit 1461 O 1 IO_PAD581 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_PAD579 +bit 1458 O 1 IO_PAD579 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_PAD578 +bit 1455 O 1 IO_PAD578 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_PAD577 +bit 1452 O 1 IO_PAD577 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_PAD575 +bit 1449 O 1 IO_PAD575 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_PAD573 +bit 1446 O 1 IO_PAD573 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_PAD572 +bit 1443 O 1 IO_PAD572 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_PAD571 +bit 1440 O 1 IO_PAD571 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_PAD570 +bit 1437 O 1 IO_PAD570 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_PAD569 +bit 1434 O 1 IO_PAD569 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_PAD568 +bit 1431 O 1 IO_PAD568 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_PAD567 +bit 1428 O 1 IO_PAD567 1427 1 Z +bit 1427 C 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_PAD566 +bit 1419 O 1 IO_PAD566 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_PAD565 +bit 1416 O 1 IO_PAD565 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_PAD564 +bit 1413 O 1 IO_PAD564 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_PAD563 +bit 1410 O 1 IO_PAD563 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_PAD562 +bit 1407 O 1 IO_PAD562 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_PAD561 +bit 1404 O 1 IO_PAD561 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_PAD559 +bit 1401 O 1 IO_PAD559 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_PAD557 +bit 1398 O 1 IO_PAD557 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_PAD555 +bit 1395 O 1 IO_PAD555 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_PAD553 +bit 1392 O 1 IO_PAD553 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 I 1 IO_PAD551 +bit 1383 O 1 IO_PAD551 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_PAD550 +bit 1380 O 1 IO_PAD550 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_PAD549 +bit 1377 O 1 IO_PAD549 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_PAD547 +bit 1374 O 1 IO_PAD547 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_PAD545 +bit 1371 O 1 IO_PAD545 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_PAD544 +bit 1368 O 1 IO_PAD544 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_PAD542 +bit 1365 O 1 IO_PAD542 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_PAD541 +bit 1362 O 1 IO_PAD541 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_PAD539 +bit 1359 O 1 IO_PAD539 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_PAD537 +bit 1356 O 1 IO_PAD537 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_PAD536 +bit 1353 O 1 IO_PAD536 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_PAD535 +bit 1350 O 1 IO_PAD535 1349 1 Z +bit 1349 C 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_PAD533 +bit 1341 O 1 IO_PAD533 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_PAD531 +bit 1338 O 1 IO_PAD531 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_PAD529 +bit 1335 O 1 IO_PAD529 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_PAD527 +bit 1332 O 1 IO_PAD527 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_PAD525 +bit 1329 O 1 IO_PAD525 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_PAD524 +bit 1326 O 1 IO_PAD524 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_PAD523 +bit 1323 O 1 IO_PAD523 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_PAD522 +bit 1320 O 1 IO_PAD522 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_PAD521 +bit 1317 O 1 IO_PAD521 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_PAD520 +bit 1314 O 1 IO_PAD520 1313 1 Z +bit 1313 C 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_PAD519 +bit 1305 O 1 IO_PAD519 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_PAD518 +bit 1302 O 1 IO_PAD518 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_PAD517 +bit 1299 O 1 IO_PAD517 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_PAD516 +bit 1296 O 1 IO_PAD516 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_PAD515 +bit 1293 O 1 IO_PAD515 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_PAD514 +bit 1290 O 1 IO_PAD514 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_PAD513 +bit 1287 O 1 IO_PAD513 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_PAD511 +bit 1284 O 1 IO_PAD511 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_PAD509 +bit 1281 O 1 IO_PAD509 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_PAD508 +bit 1278 O 1 IO_PAD508 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_PAD507 +bit 1275 O 1 IO_PAD507 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_PAD505 +bit 1272 O 1 IO_PAD505 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_PAD503 +bit 1269 O 1 IO_PAD503 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_PAD501 +bit 1266 O 1 IO_PAD501 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_PAD499 +bit 1263 O 1 IO_PAD499 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_PAD498 +bit 1260 O 1 IO_PAD498 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_PAD497 +bit 1257 O 1 IO_PAD497 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_PAD496 +bit 1254 O 1 IO_PAD496 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_PAD495 +bit 1251 O 1 IO_PAD495 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_PAD493 +bit 1248 O 1 IO_PAD493 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_PAD491 +bit 1245 O 1 IO_PAD491 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_PAD490 +bit 1242 O 1 IO_PAD490 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_PAD489 +bit 1239 O 1 IO_PAD489 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_PAD487 +bit 1236 O 1 IO_PAD487 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_PAD486 +bit 1233 O 1 IO_PAD486 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_PAD485 +bit 1230 O 1 IO_PAD485 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_PAD483 +bit 1227 O 1 IO_PAD483 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_PAD482 +bit 1224 O 1 IO_PAD482 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_PAD481 +bit 1221 O 1 IO_PAD481 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_PAD479 +bit 1218 O 1 IO_PAD479 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_PAD477 +bit 1215 O 1 IO_PAD477 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_PAD475 +bit 1212 O 1 IO_PAD475 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_PAD473 +bit 1209 O 1 IO_PAD473 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_PAD472 +bit 1206 O 1 IO_PAD472 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_PAD471 +bit 1203 O 1 IO_PAD471 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_PAD470 +bit 1200 O 1 IO_PAD470 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_PAD469 +bit 1197 O 1 IO_PAD469 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_PAD467 +bit 1194 O 1 IO_PAD467 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_PAD466 +bit 1191 O 1 IO_PAD466 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_PAD465 +bit 1188 O 1 IO_PAD465 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_PAD464 +bit 1185 O 1 IO_PAD464 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_PAD463 +bit 1182 O 1 IO_PAD463 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_PAD462 +bit 1179 O 1 IO_PAD462 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_PAD461 +bit 1176 O 1 IO_PAD461 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_PAD458 +bit 1173 O 1 CCLK_PAD458 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_PAD457 +bit 1170 O 1 DONE_PAD457 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_PAD456 +bit 1167 O 1 IO_PAD456 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_PAD455 +bit 1164 O 1 IO_PAD455 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD454 +bit 1161 O 1 IO_PAD454 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD451 +bit 1158 O 1 IO_PAD451 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD450 +bit 1155 O 1 IO_PAD450 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD448 +bit 1152 O 1 IO_PAD448 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD447 +bit 1149 O 1 IO_PAD447 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD446 +bit 1146 O 1 IO_PAD446 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD445 +bit 1143 O 1 IO_PAD445 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD444 +bit 1140 O 1 IO_PAD444 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD442 +bit 1137 O 1 IO_PAD442 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD440 +bit 1134 O 1 IO_PAD440 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD439 +bit 1131 O 1 IO_PAD439 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD438 +bit 1128 O 1 IO_PAD438 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD437 +bit 1125 O 1 IO_PAD437 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD436 +bit 1122 O 1 IO_PAD436 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_PAD434 +bit 1119 O 1 IO_PAD434 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_PAD432 +bit 1116 O 1 IO_PAD432 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD430 +bit 1113 O 1 IO_PAD430 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD428 +bit 1110 O 1 IO_PAD428 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD427 +bit 1107 O 1 IO_PAD427 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD426 +bit 1104 O 1 IO_PAD426 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_PAD424 +bit 1101 O 1 IO_PAD424 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_PAD423 +bit 1098 O 1 IO_PAD423 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_PAD422 +bit 1095 O 1 IO_PAD422 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_PAD420 +bit 1092 O 1 IO_PAD420 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD419 +bit 1089 O 1 IO_PAD419 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD418 +bit 1086 O 1 IO_PAD418 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_PAD416 +bit 1083 O 1 IO_PAD416 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_PAD414 +bit 1080 O 1 IO_PAD414 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_PAD413 +bit 1077 O 1 IO_PAD413 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_PAD412 +bit 1074 O 1 IO_PAD412 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_PAD411 +bit 1071 O 1 IO_PAD411 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD410 +bit 1068 O 1 IO_PAD410 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_PAD408 +bit 1065 O 1 IO_PAD408 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_PAD406 +bit 1062 O 1 IO_PAD406 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD404 +bit 1059 O 1 IO_PAD404 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD402 +bit 1056 O 1 IO_PAD402 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD400 +bit 1053 O 1 IO_PAD400 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD398 +bit 1050 O 1 IO_PAD398 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_PAD397 +bit 1047 O 1 IO_PAD397 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_PAD396 +bit 1044 O 1 IO_PAD396 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD395 +bit 1041 O 1 IO_PAD395 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD394 +bit 1038 O 1 IO_PAD394 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD393 +bit 1035 O 1 IO_PAD393 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD392 +bit 1032 O 1 IO_PAD392 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_PAD390 +bit 1029 O 1 IO_PAD390 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_PAD388 +bit 1026 O 1 IO_PAD388 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_PAD387 +bit 1023 O 1 IO_PAD387 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_PAD386 +bit 1020 O 1 IO_PAD386 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD385 +bit 1017 O 1 IO_PAD385 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_PAD384 +bit 1014 O 1 IO_PAD384 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_PAD382 +bit 1011 O 1 IO_PAD382 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_PAD380 +bit 1008 O 1 IO_PAD380 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_PAD378 +bit 1005 O 1 IO_PAD378 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_PAD376 +bit 1002 O 1 IO_PAD376 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_PAD375 +bit 999 O 1 IO_PAD375 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_PAD374 +bit 996 O 1 IO_PAD374 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD372 +bit 993 O 1 IO_PAD372 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_PAD371 +bit 990 O 1 IO_PAD371 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_PAD370 +bit 987 O 1 IO_PAD370 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD368 +bit 984 O 1 IO_PAD368 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD366 +bit 981 O 1 IO_PAD366 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD365 +bit 978 O 1 IO_PAD365 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD364 +bit 975 O 1 IO_PAD364 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_PAD362 +bit 972 O 1 IO_PAD362 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_PAD361 +bit 969 O 1 IO_PAD361 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD360 +bit 966 O 1 IO_PAD360 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_PAD358 +bit 963 O 1 IO_PAD358 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_PAD356 +bit 960 O 1 IO_PAD356 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD355 +bit 957 O 1 IO_PAD355 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_PAD354 +bit 954 O 1 IO_PAD354 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_PAD352 +bit 951 O 1 IO_PAD352 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD351 +bit 948 O 1 IO_PAD351 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_PAD350 +bit 945 O 1 IO_PAD350 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_PAD348 +bit 942 O 1 IO_PAD348 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_PAD346 +bit 939 O 1 IO_PAD346 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_PAD344 +bit 936 O 1 IO_PAD344 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_PAD342 +bit 933 O 1 IO_PAD342 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_PAD341 +bit 930 O 1 IO_PAD341 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD340 +bit 927 O 1 IO_PAD340 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD339 +bit 924 O 1 IO_PAD339 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD338 +bit 921 O 1 IO_PAD338 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_PAD336 +bit 918 O 1 IO_PAD336 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_PAD334 +bit 915 O 1 IO_PAD334 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD333 +bit 912 O 1 IO_PAD333 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD332 +bit 909 O 1 IO_PAD332 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD331 +bit 906 O 1 IO_PAD331 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD330 +bit 903 O 1 IO_PAD330 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD329 +bit 900 O 1 IO_PAD329 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD328 +bit 897 O 1 IO_PAD328 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD326 +bit 894 O 1 IO_PAD326 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD324 +bit 891 O 1 IO_PAD324 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD322 +bit 888 O 1 IO_PAD322 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_PAD320 +bit 885 O 1 IO_PAD320 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_PAD318 +bit 882 O 1 IO_PAD318 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_PAD316 +bit 879 O 1 IO_PAD316 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_PAD315 +bit 876 O 1 IO_PAD315 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_PAD314 +bit 873 O 1 IO_PAD314 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_PAD313 +bit 870 O 1 IO_PAD313 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_PAD312 +bit 867 O 1 IO_PAD312 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_PAD310 +bit 864 O 1 IO_PAD310 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_PAD308 +bit 861 O 1 IO_PAD308 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_PAD307 +bit 858 O 1 IO_PAD307 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_PAD306 +bit 855 O 1 IO_PAD306 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_PAD304 +bit 852 O 1 IO_PAD304 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_PAD303 +bit 849 O 1 IO_PAD303 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_PAD302 +bit 846 O 1 IO_PAD302 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_PAD300 +bit 843 O 1 IO_PAD300 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_PAD299 +bit 840 O 1 IO_PAD299 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_PAD298 +bit 837 O 1 IO_PAD298 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_PAD296 +bit 834 O 1 IO_PAD296 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_PAD294 +bit 831 O 1 IO_PAD294 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_PAD292 +bit 828 O 1 IO_PAD292 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_PAD290 +bit 825 O 1 IO_PAD290 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_PAD289 +bit 822 O 1 IO_PAD289 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_PAD288 +bit 819 O 1 IO_PAD288 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_PAD287 +bit 816 O 1 IO_PAD287 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_PAD286 +bit 813 O 1 IO_PAD286 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_PAD284 +bit 810 O 1 IO_PAD284 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD282 +bit 807 O 1 IO_PAD282 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_PAD281 +bit 804 O 1 IO_PAD281 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_PAD280 +bit 801 O 1 IO_PAD280 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_PAD279 +bit 798 O 1 IO_PAD279 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_PAD278 +bit 795 O 1 IO_PAD278 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD276 +bit 792 O 1 IO_PAD276 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD275 +bit 789 O 1 IO_PAD275 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_PAD274 +bit 786 O 1 IO_PAD274 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_PAD270 +bit 783 O 1 IO_PAD270 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_PAD269 +bit 780 O 1 IO_PAD269 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_PAD268 +bit 777 I 1 M0_PAD267 +bit 776 I 1 M1_PAD266 +bit 775 I 1 IO_PAD264 +bit 774 O 1 IO_PAD264 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD263 +bit 771 O 1 IO_PAD263 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD262 +bit 768 O 1 IO_PAD262 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD261 +bit 765 O 1 IO_PAD261 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD260 +bit 762 O 1 IO_PAD260 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD259 +bit 759 O 1 IO_PAD259 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD258 +bit 756 O 1 IO_PAD258 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD256 +bit 753 O 1 IO_PAD256 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_PAD255 +bit 750 O 1 IO_PAD255 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_PAD254 +bit 747 O 1 IO_PAD254 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_PAD253 +bit 744 O 1 IO_PAD253 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD252 +bit 741 O 1 IO_PAD252 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD250 +bit 738 O 1 IO_PAD250 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD248 +bit 735 O 1 IO_PAD248 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_PAD246 +bit 732 O 1 IO_PAD246 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_PAD244 +bit 729 O 1 IO_PAD244 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_PAD243 +bit 726 O 1 IO_PAD243 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_PAD242 +bit 723 O 1 IO_PAD242 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_PAD240 +bit 720 O 1 IO_PAD240 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD239 +bit 717 O 1 IO_PAD239 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD238 +bit 714 O 1 IO_PAD238 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD236 +bit 711 O 1 IO_PAD236 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD235 +bit 708 O 1 IO_PAD235 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD234 +bit 705 O 1 IO_PAD234 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD232 +bit 702 O 1 IO_PAD232 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD230 +bit 699 O 1 IO_PAD230 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_PAD229 +bit 696 O 1 IO_PAD229 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_PAD228 +bit 693 O 1 IO_PAD228 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_PAD227 +bit 690 O 1 IO_PAD227 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD226 +bit 687 O 1 IO_PAD226 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD224 +bit 684 O 1 IO_PAD224 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD222 +bit 681 O 1 IO_PAD222 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD220 +bit 678 O 1 IO_PAD220 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD218 +bit 675 O 1 IO_PAD218 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD217 +bit 672 O 1 IO_PAD217 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD216 +bit 669 O 1 IO_PAD216 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD214 +bit 666 O 1 IO_PAD214 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD212 +bit 663 O 1 IO_PAD212 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_PAD211 +bit 660 O 1 IO_PAD211 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_PAD210 +bit 657 O 1 IO_PAD210 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_PAD209 +bit 654 O 1 IO_PAD209 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD208 +bit 651 O 1 IO_PAD208 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD207 +bit 648 O 1 IO_PAD207 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD206 +bit 645 O 1 IO_PAD206 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_PAD205 +bit 636 O 1 IO_PAD205 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD204 +bit 633 O 1 IO_PAD204 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_PAD203 +bit 630 O 1 IO_PAD203 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_PAD202 +bit 627 O 1 IO_PAD202 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_PAD201 +bit 624 O 1 IO_PAD201 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_PAD200 +bit 621 O 1 IO_PAD200 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD198 +bit 618 O 1 IO_PAD198 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD196 +bit 615 O 1 IO_PAD196 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD194 +bit 612 O 1 IO_PAD194 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD192 +bit 609 O 1 IO_PAD192 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 I 1 IO_PAD190 +bit 600 O 1 IO_PAD190 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD189 +bit 597 O 1 IO_PAD189 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD188 +bit 594 O 1 IO_PAD188 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD186 +bit 591 O 1 IO_PAD186 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_PAD184 +bit 588 O 1 IO_PAD184 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_PAD183 +bit 585 O 1 IO_PAD183 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_PAD181 +bit 582 O 1 IO_PAD181 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_PAD180 +bit 579 O 1 IO_PAD180 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD178 +bit 576 O 1 IO_PAD178 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD176 +bit 573 O 1 IO_PAD176 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD175 +bit 570 O 1 IO_PAD175 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD174 +bit 567 O 1 IO_PAD174 566 1 Z +bit 566 C 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_PAD172 +bit 558 O 1 IO_PAD172 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD170 +bit 555 O 1 IO_PAD170 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD168 +bit 552 O 1 IO_PAD168 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD166 +bit 549 O 1 IO_PAD166 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD164 +bit 546 O 1 IO_PAD164 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD163 +bit 543 O 1 IO_PAD163 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_PAD162 +bit 540 O 1 IO_PAD162 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_PAD161 +bit 537 O 1 IO_PAD161 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_PAD160 +bit 534 O 1 IO_PAD160 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_PAD159 +bit 531 O 1 IO_PAD159 530 1 Z +bit 530 C 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 I 1 IO_PAD158 +bit 522 O 1 IO_PAD158 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_PAD157 +bit 519 O 1 IO_PAD157 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_PAD156 +bit 516 O 1 IO_PAD156 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_PAD155 +bit 513 O 1 IO_PAD155 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_PAD154 +bit 510 O 1 IO_PAD154 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_PAD153 +bit 507 O 1 IO_PAD153 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_PAD152 +bit 504 O 1 IO_PAD152 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_PAD150 +bit 501 O 1 IO_PAD150 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_PAD148 +bit 498 O 1 IO_PAD148 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_PAD147 +bit 495 O 1 IO_PAD147 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_PAD146 +bit 492 O 1 IO_PAD146 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_PAD144 +bit 489 O 1 IO_PAD144 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_PAD142 +bit 486 O 1 IO_PAD142 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_PAD140 +bit 483 O 1 IO_PAD140 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_PAD138 +bit 480 O 1 IO_PAD138 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_PAD137 +bit 477 O 1 IO_PAD137 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_PAD136 +bit 474 O 1 IO_PAD136 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_PAD135 +bit 471 O 1 IO_PAD135 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_PAD134 +bit 468 O 1 IO_PAD134 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_PAD132 +bit 465 O 1 IO_PAD132 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_PAD130 +bit 462 O 1 IO_PAD130 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_PAD129 +bit 459 O 1 IO_PAD129 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_PAD128 +bit 456 O 1 IO_PAD128 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_PAD126 +bit 453 O 1 IO_PAD126 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_PAD125 +bit 450 O 1 IO_PAD125 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_PAD124 +bit 447 O 1 IO_PAD124 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_PAD122 +bit 444 O 1 IO_PAD122 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_PAD121 +bit 441 O 1 IO_PAD121 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_PAD120 +bit 438 O 1 IO_PAD120 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_PAD118 +bit 435 O 1 IO_PAD118 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_PAD116 +bit 432 O 1 IO_PAD116 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_PAD114 +bit 429 O 1 IO_PAD114 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_PAD112 +bit 426 O 1 IO_PAD112 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_PAD111 +bit 423 O 1 IO_PAD111 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_PAD110 +bit 420 O 1 IO_PAD110 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_PAD109 +bit 417 O 1 IO_PAD109 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_PAD108 +bit 414 O 1 IO_PAD108 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_PAD106 +bit 411 O 1 IO_PAD106 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_PAD105 +bit 408 O 1 IO_PAD105 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_PAD104 +bit 405 O 1 IO_PAD104 404 1 Z +bit 404 C 1 * +bit 403 I 1 IO_PAD103 +bit 402 O 1 IO_PAD103 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_PAD102 +bit 399 O 1 IO_PAD102 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_PAD101 +bit 396 O 1 IO_PAD101 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_PAD100 +bit 393 O 1 IO_PAD100 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_PAD96 +bit 389 I 1 IO_PAD95 +bit 388 O 1 IO_PAD95 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_PAD94 +bit 385 O 1 IO_PAD94 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_PAD93 +bit 382 O 1 IO_PAD93 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_PAD89 +bit 379 O 1 IO_PAD89 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_PAD88 +bit 376 O 1 IO_PAD88 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_PAD86 +bit 373 O 1 IO_PAD86 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_PAD85 +bit 370 O 1 IO_PAD85 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_PAD84 +bit 367 O 1 IO_PAD84 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_PAD83 +bit 364 O 1 IO_PAD83 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_PAD82 +bit 361 O 1 IO_PAD82 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_PAD80 +bit 358 O 1 IO_PAD80 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_PAD78 +bit 355 O 1 IO_PAD78 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_PAD77 +bit 352 O 1 IO_PAD77 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_PAD76 +bit 349 O 1 IO_PAD76 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_PAD75 +bit 346 O 1 IO_PAD75 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_PAD74 +bit 343 O 1 IO_PAD74 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_PAD72 +bit 340 O 1 IO_PAD72 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_PAD70 +bit 337 O 1 IO_PAD70 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_PAD68 +bit 334 O 1 IO_PAD68 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_PAD66 +bit 331 O 1 IO_PAD66 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_PAD65 +bit 328 O 1 IO_PAD65 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_PAD64 +bit 325 O 1 IO_PAD64 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_PAD62 +bit 322 O 1 IO_PAD62 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_PAD61 +bit 319 O 1 IO_PAD61 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_PAD60 +bit 316 O 1 IO_PAD60 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_PAD58 +bit 313 O 1 IO_PAD58 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_PAD57 +bit 310 O 1 IO_PAD57 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_PAD56 +bit 307 O 1 IO_PAD56 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_PAD54 +bit 304 O 1 IO_PAD54 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_PAD52 +bit 301 O 1 IO_PAD52 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_PAD51 +bit 298 O 1 IO_PAD51 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_PAD50 +bit 295 O 1 IO_PAD50 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_PAD49 +bit 292 O 1 IO_PAD49 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_PAD48 +bit 289 O 1 IO_PAD48 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_PAD46 +bit 286 O 1 IO_PAD46 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_PAD44 +bit 283 O 1 IO_PAD44 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_PAD42 +bit 280 O 1 IO_PAD42 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_PAD40 +bit 277 O 1 IO_PAD40 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_PAD38 +bit 274 O 1 IO_PAD38 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_PAD36 +bit 271 O 1 IO_PAD36 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_PAD35 +bit 268 O 1 IO_PAD35 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_PAD34 +bit 265 O 1 IO_PAD34 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_PAD33 +bit 262 O 1 IO_PAD33 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_PAD32 +bit 259 O 1 IO_PAD32 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_PAD31 +bit 256 O 1 IO_PAD31 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_PAD30 +bit 253 O 1 IO_PAD30 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_PAD28 +bit 250 O 1 IO_PAD28 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_PAD26 +bit 247 O 1 IO_PAD26 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_PAD25 +bit 244 O 1 IO_PAD25 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_PAD24 +bit 241 O 1 IO_PAD24 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_PAD23 +bit 238 O 1 IO_PAD23 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_PAD22 +bit 235 O 1 IO_PAD22 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_PAD20 +bit 232 O 1 IO_PAD20 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_PAD18 +bit 229 O 1 IO_PAD18 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_PAD16 +bit 226 O 1 IO_PAD16 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_PAD14 +bit 223 O 1 IO_PAD14 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_PAD13 +bit 220 O 1 IO_PAD13 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_PAD12 +bit 217 O 1 IO_PAD12 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_PAD10 +bit 214 O 1 IO_PAD10 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_PAD9 +bit 211 O 1 IO_PAD9 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_PAD8 +bit 208 O 1 IO_PAD8 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD6 +bit 205 O 1 IO_PAD6 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD4 +bit 202 O 1 IO_PAD4 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD3 +bit 199 O 1 IO_PAD3 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD2 +bit 196 O 1 IO_PAD2 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD721 +bit 193 O 1 IO_PAD721 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD720 +bit 190 O 1 IO_PAD720 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD719 +bit 187 O 1 IO_PAD719 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD717 +bit 184 O 1 IO_PAD717 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD715 +bit 181 O 1 IO_PAD715 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD714 +bit 178 O 1 IO_PAD714 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD713 +bit 175 O 1 IO_PAD713 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD711 +bit 172 O 1 IO_PAD711 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD710 +bit 169 O 1 IO_PAD710 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD709 +bit 166 O 1 IO_PAD709 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD707 +bit 163 O 1 IO_PAD707 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD705 +bit 160 O 1 IO_PAD705 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD703 +bit 157 O 1 IO_PAD703 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD701 +bit 154 O 1 IO_PAD701 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD700 +bit 151 O 1 IO_PAD700 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD699 +bit 148 O 1 IO_PAD699 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD698 +bit 145 O 1 IO_PAD698 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD697 +bit 142 O 1 IO_PAD697 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD695 +bit 139 O 1 IO_PAD695 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD693 +bit 136 O 1 IO_PAD693 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD692 +bit 133 O 1 IO_PAD692 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD691 +bit 130 O 1 IO_PAD691 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD690 +bit 127 O 1 IO_PAD690 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD689 +bit 124 O 1 IO_PAD689 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD688 +bit 121 O 1 IO_PAD688 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD687 +bit 118 O 1 IO_PAD687 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD685 +bit 115 O 1 IO_PAD685 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD683 +bit 112 O 1 IO_PAD683 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD681 +bit 109 O 1 IO_PAD681 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD679 +bit 106 O 1 IO_PAD679 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD677 +bit 103 O 1 IO_PAD677 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD675 +bit 100 O 1 IO_PAD675 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD674 +bit 97 O 1 IO_PAD674 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD673 +bit 94 O 1 IO_PAD673 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD672 +bit 91 O 1 IO_PAD672 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD671 +bit 88 O 1 IO_PAD671 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD669 +bit 85 O 1 IO_PAD669 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD667 +bit 82 O 1 IO_PAD667 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD666 +bit 79 O 1 IO_PAD666 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD665 +bit 76 O 1 IO_PAD665 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD663 +bit 73 O 1 IO_PAD663 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD662 +bit 70 O 1 IO_PAD662 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD661 +bit 67 O 1 IO_PAD661 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD659 +bit 64 O 1 IO_PAD659 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD658 +bit 61 O 1 IO_PAD658 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD657 +bit 58 O 1 IO_PAD657 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD655 +bit 55 O 1 IO_PAD655 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD653 +bit 52 O 1 IO_PAD653 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD651 +bit 49 O 1 IO_PAD651 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD649 +bit 46 O 1 IO_PAD649 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD648 +bit 43 O 1 IO_PAD648 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD647 +bit 40 O 1 IO_PAD647 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD646 +bit 37 O 1 IO_PAD646 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD645 +bit 34 O 1 IO_PAD645 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD643 +bit 31 O 1 IO_PAD643 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD641 +bit 28 O 1 IO_PAD641 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD640 +bit 25 O 1 IO_PAD640 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD639 +bit 22 O 1 IO_PAD639 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD638 +bit 19 O 1 IO_PAD638 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD637 +bit 16 O 1 IO_PAD637 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD635 +bit 13 O 1 IO_PAD635 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD634 +bit 10 O 1 IO_PAD634 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD633 +bit 7 O 1 IO_PAD633 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD631 +bit 4 O 1 IO_PAD631 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD630 +bit 1 O 1 IO_PAD630 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg320 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg320 new file mode 100644 index 0000000..067417e --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg320 @@ -0,0 +1,1890 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal CCLK_T15 +signal DONE_R15 +signal HSWAP_EN_E6 +signal M0_P5 +signal M1_U3 +signal M2_R4 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A2 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_B1 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B9 +signal IO_B10 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B18 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C4 +signal IO_C5 +signal IO_C7 +signal IO_C8 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_F2 +signal IO_F4 +signal IO_F5 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F14 +signal IO_F15 +signal IO_F17 +signal IO_G1 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G18 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H17 +signal IO_H18 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J17 +signal IO_J18 +signal IO_K1 +signal IO_K2 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K17 +signal IO_K18 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_L17 +signal IO_L18 +signal IO_M1 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_M18 +signal IO_N2 +signal IO_N4 +signal IO_N5 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N11 +signal IO_N14 +signal IO_N15 +signal IO_N17 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P16 +signal IO_P17 +signal IO_P18 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R12 +signal IO_R13 +signal IO_R14 +signal IO_R16 +signal IO_R17 +signal IO_R18 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T7 +signal IO_T8 +signal IO_T11 +signal IO_T12 +signal IO_T14 +signal IO_T16 +signal IO_T17 +signal IO_T18 +signal IO_U1 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U9 +signal IO_U10 +signal IO_U13 +signal IO_U14 +signal IO_U15 +signal IO_U16 +signal IO_U18 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V12 +signal IO_V14 +signal IO_V15 +signal IO_V16 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_C16 +bit 1557 O 1 IO_C16 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_C17 +bit 1554 O 1 IO_C17 1553 1 Z +bit 1553 C 1 * +bit 1552 O 1 * +bit 1551 O 1 * +bit 1550 O 1 * +bit 1549 O 1 * +bit 1548 O 1 * +bit 1547 O 1 * +bit 1546 O 1 * +bit 1545 O 1 * +bit 1544 O 1 * +bit 1543 O 1 * +bit 1542 O 1 * +bit 1541 O 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 O 1 * +bit 1533 O 1 * +bit 1532 O 1 * +bit 1531 O 1 * +bit 1530 O 1 * +bit 1529 O 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 O 1 * +bit 1521 O 1 * +bit 1520 O 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 O 1 * +bit 1515 O 1 * +bit 1514 O 1 * +bit 1513 O 1 * +bit 1512 O 1 * +bit 1511 O 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 O 1 * +bit 1506 O 1 * +bit 1505 O 1 * +bit 1504 O 1 * +bit 1503 O 1 * +bit 1502 O 1 * +bit 1501 O 1 * +bit 1500 O 1 * +bit 1499 O 1 * +bit 1498 I 1 IO_B18 +bit 1497 O 1 IO_B18 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_C18 +bit 1494 O 1 IO_C18 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_D17 +bit 1491 O 1 IO_D17 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_D18 +bit 1488 O 1 IO_D18 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_D16 +bit 1485 O 1 IO_D16 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_E16 +bit 1482 O 1 IO_E16 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_E17 +bit 1479 O 1 IO_E17 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_E18 +bit 1476 O 1 IO_E18 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_F15 +bit 1473 O 1 IO_F15 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_E15 +bit 1470 O 1 IO_E15 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_F14 +bit 1467 O 1 IO_F14 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_G14 +bit 1464 O 1 IO_G14 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_G18 +bit 1461 O 1 IO_G18 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_F17 +bit 1458 O 1 IO_F17 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_G15 +bit 1455 O 1 IO_G15 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_G16 +bit 1452 O 1 IO_G16 1451 1 Z +bit 1451 C 1 * +bit 1450 O 1 * +bit 1449 O 1 * +bit 1448 O 1 * +bit 1447 O 1 * +bit 1446 O 1 * +bit 1445 O 1 * +bit 1444 I 1 IO_H13 +bit 1443 O 1 IO_H13 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_H14 +bit 1440 O 1 IO_H14 1439 1 Z +bit 1439 C 1 * +bit 1438 O 1 * +bit 1437 O 1 * +bit 1436 O 1 * +bit 1435 O 1 * +bit 1434 O 1 * +bit 1433 O 1 * +bit 1432 O 1 * +bit 1431 O 1 * +bit 1430 O 1 * +bit 1429 O 1 * +bit 1428 O 1 * +bit 1427 O 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 O 1 * +bit 1419 O 1 * +bit 1418 O 1 * +bit 1417 O 1 * +bit 1416 O 1 * +bit 1415 O 1 * +bit 1414 O 1 * +bit 1413 O 1 * +bit 1412 O 1 * +bit 1411 O 1 * +bit 1410 O 1 * +bit 1409 O 1 * +bit 1408 O 1 * +bit 1407 O 1 * +bit 1406 O 1 * +bit 1405 O 1 * +bit 1404 O 1 * +bit 1403 O 1 * +bit 1402 I 1 IO_H16 +bit 1401 O 1 IO_H16 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_H15 +bit 1398 O 1 IO_H15 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_H17 +bit 1395 O 1 IO_H17 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_H18 +bit 1392 O 1 IO_H18 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 O 1 * +bit 1383 O 1 * +bit 1382 O 1 * +bit 1381 I 1 IO_J13 +bit 1380 O 1 IO_J13 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_J18 +bit 1377 O 1 IO_J18 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_J17 +bit 1374 O 1 IO_J17 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_J15 +bit 1371 O 1 IO_J15 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_J14 +bit 1368 O 1 IO_J14 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_K17 +bit 1365 O 1 IO_K17 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_K18 +bit 1362 O 1 IO_K18 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_K13 +bit 1359 O 1 IO_K13 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_K14 +bit 1356 O 1 IO_K14 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_K15 +bit 1353 O 1 IO_K15 1352 1 Z +bit 1352 C 1 * +bit 1351 O 1 * +bit 1350 O 1 * +bit 1349 O 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_L18 +bit 1341 O 1 IO_L18 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_L17 +bit 1338 O 1 IO_L17 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_L15 +bit 1335 O 1 IO_L15 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_L16 +bit 1332 O 1 IO_L16 1331 1 Z +bit 1331 C 1 * +bit 1330 O 1 * +bit 1329 O 1 * +bit 1328 O 1 * +bit 1327 O 1 * +bit 1326 O 1 * +bit 1325 O 1 * +bit 1324 O 1 * +bit 1323 O 1 * +bit 1322 O 1 * +bit 1321 O 1 * +bit 1320 O 1 * +bit 1319 O 1 * +bit 1318 O 1 * +bit 1317 O 1 * +bit 1316 O 1 * +bit 1315 O 1 * +bit 1314 O 1 * +bit 1313 O 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 O 1 * +bit 1305 O 1 * +bit 1304 O 1 * +bit 1303 O 1 * +bit 1302 O 1 * +bit 1301 O 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 O 1 * +bit 1296 O 1 * +bit 1295 O 1 * +bit 1294 I 1 IO_L14 +bit 1293 O 1 IO_L14 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_L13 +bit 1290 O 1 IO_L13 1289 1 Z +bit 1289 C 1 * +bit 1288 O 1 * +bit 1287 O 1 * +bit 1286 O 1 * +bit 1285 O 1 * +bit 1284 O 1 * +bit 1283 O 1 * +bit 1282 I 1 IO_M18 +bit 1281 O 1 IO_M18 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_N17 +bit 1278 O 1 IO_N17 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_M15 +bit 1275 O 1 IO_M15 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_M16 +bit 1272 O 1 IO_M16 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_M14 +bit 1269 O 1 IO_M14 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_N14 +bit 1266 O 1 IO_N14 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_P15 +bit 1263 O 1 IO_P15 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_N15 +bit 1260 O 1 IO_N15 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_P18 +bit 1257 O 1 IO_P18 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_P17 +bit 1254 O 1 IO_P17 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_R17 +bit 1251 O 1 IO_R17 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_R18 +bit 1248 O 1 IO_R18 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_P16 +bit 1245 O 1 IO_P16 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_R16 +bit 1242 O 1 IO_R16 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_T18 +bit 1239 O 1 IO_T18 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_U18 +bit 1236 O 1 IO_U18 1235 1 Z +bit 1235 C 1 * +bit 1234 O 1 * +bit 1233 O 1 * +bit 1232 O 1 * +bit 1231 O 1 * +bit 1230 O 1 * +bit 1229 O 1 * +bit 1228 O 1 * +bit 1227 O 1 * +bit 1226 O 1 * +bit 1225 O 1 * +bit 1224 O 1 * +bit 1223 O 1 * +bit 1222 O 1 * +bit 1221 O 1 * +bit 1220 O 1 * +bit 1219 O 1 * +bit 1218 O 1 * +bit 1217 O 1 * +bit 1216 O 1 * +bit 1215 O 1 * +bit 1214 O 1 * +bit 1213 O 1 * +bit 1212 O 1 * +bit 1211 O 1 * +bit 1210 O 1 * +bit 1209 O 1 * +bit 1208 O 1 * +bit 1207 O 1 * +bit 1206 O 1 * +bit 1205 O 1 * +bit 1204 O 1 * +bit 1203 O 1 * +bit 1202 O 1 * +bit 1201 O 1 * +bit 1200 O 1 * +bit 1199 O 1 * +bit 1198 O 1 * +bit 1197 O 1 * +bit 1196 O 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 O 1 * +bit 1191 O 1 * +bit 1190 O 1 * +bit 1189 O 1 * +bit 1188 O 1 * +bit 1187 O 1 * +bit 1186 O 1 * +bit 1185 O 1 * +bit 1184 O 1 * +bit 1183 O 1 * +bit 1182 O 1 * +bit 1181 O 1 * +bit 1180 I 1 IO_T17 +bit 1179 O 1 IO_T17 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_T16 +bit 1176 O 1 IO_T16 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_T15 +bit 1173 O 1 CCLK_T15 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_R15 +bit 1170 O 1 DONE_R15 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_V17 +bit 1167 O 1 IO_V17 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_U16 +bit 1164 O 1 IO_U16 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_V16 +bit 1161 O 1 IO_V16 1160 1 Z +bit 1160 C 1 * +bit 1159 O 1 * +bit 1158 O 1 * +bit 1157 O 1 * +bit 1156 O 1 * +bit 1155 O 1 * +bit 1154 O 1 * +bit 1153 O 1 * +bit 1152 O 1 * +bit 1151 O 1 * +bit 1150 O 1 * +bit 1149 O 1 * +bit 1148 O 1 * +bit 1147 O 1 * +bit 1146 O 1 * +bit 1145 O 1 * +bit 1144 I 1 IO_P14 +bit 1143 O 1 IO_P14 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_R14 +bit 1140 O 1 IO_R14 1139 1 Z +bit 1139 C 1 * +bit 1138 O 1 * +bit 1137 O 1 * +bit 1136 O 1 * +bit 1135 O 1 * +bit 1134 O 1 * +bit 1133 O 1 * +bit 1132 O 1 * +bit 1131 O 1 * +bit 1130 O 1 * +bit 1129 O 1 * +bit 1128 O 1 * +bit 1127 O 1 * +bit 1126 O 1 * +bit 1125 O 1 * +bit 1124 O 1 * +bit 1123 O 1 * +bit 1122 O 1 * +bit 1121 O 1 * +bit 1120 I 1 IO_U15 +bit 1119 O 1 IO_U15 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_V15 +bit 1116 O 1 IO_V15 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_T14 +bit 1113 O 1 IO_T14 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_U14 +bit 1110 O 1 IO_U14 1109 1 Z +bit 1109 C 1 * +bit 1108 O 1 * +bit 1107 O 1 * +bit 1106 O 1 * +bit 1105 O 1 * +bit 1104 O 1 * +bit 1103 O 1 * +bit 1102 O 1 * +bit 1101 O 1 * +bit 1100 O 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 O 1 * +bit 1092 O 1 * +bit 1091 O 1 * +bit 1090 O 1 * +bit 1089 O 1 * +bit 1088 O 1 * +bit 1087 O 1 * +bit 1086 O 1 * +bit 1085 O 1 * +bit 1084 I 1 IO_V14 +bit 1083 O 1 IO_V14 1082 1 Z +bit 1082 C 1 * +bit 1081 O 1 * +bit 1080 O 1 * +bit 1079 O 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 I 1 IO_U13 +bit 1062 O 1 IO_U13 1061 1 Z +bit 1061 C 1 * +bit 1060 O 1 * +bit 1059 O 1 * +bit 1058 O 1 * +bit 1057 O 1 * +bit 1056 O 1 * +bit 1055 O 1 * +bit 1054 O 1 * +bit 1053 O 1 * +bit 1052 O 1 * +bit 1051 O 1 * +bit 1050 O 1 * +bit 1049 O 1 * +bit 1048 O 1 * +bit 1047 O 1 * +bit 1046 O 1 * +bit 1045 O 1 * +bit 1044 O 1 * +bit 1043 O 1 * +bit 1042 O 1 * +bit 1041 O 1 * +bit 1040 O 1 * +bit 1039 O 1 * +bit 1038 O 1 * +bit 1037 O 1 * +bit 1036 O 1 * +bit 1035 O 1 * +bit 1034 O 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 I 1 IO_R13 +bit 1029 O 1 IO_R13 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_P13 +bit 1026 O 1 IO_P13 1025 1 Z +bit 1025 C 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 O 1 * +bit 1020 O 1 * +bit 1019 O 1 * +bit 1018 I 1 IO_P12 +bit 1017 O 1 IO_P12 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_T12 +bit 1014 O 1 IO_T12 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_R12 +bit 1011 O 1 IO_R12 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_V12 +bit 1008 O 1 IO_V12 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_V11 +bit 1005 O 1 IO_V11 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 I 1 IO_R11 +bit 999 O 1 IO_R11 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_T11 +bit 996 O 1 IO_T11 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_N11 +bit 993 O 1 IO_N11 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_P11 +bit 990 O 1 IO_P11 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_R10 +bit 987 O 1 IO_R10 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_U10 +bit 984 O 1 IO_U10 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_V10 +bit 981 O 1 IO_V10 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_N10 +bit 978 O 1 IO_N10 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_P10 +bit 975 O 1 IO_P10 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_R9 +bit 972 O 1 IO_R9 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_N9 +bit 969 O 1 IO_N9 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_P9 +bit 966 O 1 IO_P9 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_U9 +bit 963 O 1 IO_U9 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_V9 +bit 960 O 1 IO_V9 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_N8 +bit 957 O 1 IO_N8 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_R8 +bit 954 O 1 IO_R8 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_T8 +bit 951 O 1 IO_T8 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_V8 +bit 948 O 1 IO_V8 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_V7 +bit 945 O 1 IO_V7 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_P8 +bit 942 O 1 IO_P8 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_R7 +bit 939 O 1 IO_R7 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_T7 +bit 936 O 1 IO_T7 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_P6 +bit 933 O 1 IO_P6 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_P7 +bit 930 O 1 IO_P7 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_U6 +bit 927 O 1 IO_U6 926 1 Z +bit 926 C 1 * +bit 925 O 1 * +bit 924 O 1 * +bit 923 O 1 * +bit 922 O 1 * +bit 921 O 1 * +bit 920 O 1 * +bit 919 O 1 * +bit 918 O 1 * +bit 917 O 1 * +bit 916 O 1 * +bit 915 O 1 * +bit 914 O 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 O 1 * +bit 909 O 1 * +bit 908 O 1 * +bit 907 O 1 * +bit 906 O 1 * +bit 905 O 1 * +bit 904 O 1 * +bit 903 O 1 * +bit 902 O 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 O 1 * +bit 891 O 1 * +bit 890 O 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 O 1 * +bit 879 O 1 * +bit 878 O 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 O 1 * +bit 867 O 1 * +bit 866 O 1 * +bit 865 I 1 IO_V5 +bit 864 O 1 IO_V5 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_U5 +bit 861 O 1 IO_U5 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_R6 +bit 858 O 1 IO_R6 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_R5 +bit 855 O 1 IO_R5 854 1 Z +bit 854 C 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 O 1 * +bit 849 O 1 * +bit 848 O 1 * +bit 847 O 1 * +bit 846 O 1 * +bit 845 O 1 * +bit 844 O 1 * +bit 843 O 1 * +bit 842 O 1 * +bit 841 O 1 * +bit 840 O 1 * +bit 839 O 1 * +bit 838 O 1 * +bit 837 O 1 * +bit 836 O 1 * +bit 835 I 1 IO_V4 +bit 834 O 1 IO_V4 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_U4 +bit 831 O 1 IO_U4 830 1 Z +bit 830 C 1 * +bit 829 O 1 * +bit 828 O 1 * +bit 827 O 1 * +bit 826 O 1 * +bit 825 O 1 * +bit 824 O 1 * +bit 823 O 1 * +bit 822 O 1 * +bit 821 O 1 * +bit 820 O 1 * +bit 819 O 1 * +bit 818 O 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 O 1 * +bit 810 O 1 * +bit 809 O 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 I 1 IO_T5 +bit 804 O 1 IO_T5 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_T4 +bit 801 O 1 IO_T4 800 1 Z +bit 800 C 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 O 1 * +bit 792 O 1 * +bit 791 O 1 * +bit 790 O 1 * +bit 789 O 1 * +bit 788 O 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 I 1 IO_V3 +bit 783 O 1 IO_V3 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_V2 +bit 780 O 1 IO_V2 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_R4 +bit 777 I 1 M0_P5 +bit 776 I 1 M1_U3 +bit 775 I 1 IO_T3 +bit 774 O 1 IO_T3 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_T2 +bit 771 O 1 IO_T2 770 1 Z +bit 770 C 1 * +bit 769 O 1 * +bit 768 O 1 * +bit 767 O 1 * +bit 766 O 1 * +bit 765 O 1 * +bit 764 O 1 * +bit 763 O 1 * +bit 762 O 1 * +bit 761 O 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 O 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 O 1 * +bit 720 O 1 * +bit 719 O 1 * +bit 718 O 1 * +bit 717 O 1 * +bit 716 O 1 * +bit 715 I 1 IO_U1 +bit 714 O 1 IO_U1 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_T1 +bit 711 O 1 IO_T1 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_R2 +bit 708 O 1 IO_R2 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_R1 +bit 705 O 1 IO_R1 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_R3 +bit 702 O 1 IO_R3 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_P3 +bit 699 O 1 IO_P3 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_P2 +bit 696 O 1 IO_P2 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_P1 +bit 693 O 1 IO_P1 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_N4 +bit 690 O 1 IO_N4 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_P4 +bit 687 O 1 IO_P4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_N5 +bit 684 O 1 IO_N5 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_M5 +bit 681 O 1 IO_M5 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_M3 +bit 678 O 1 IO_M3 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_M4 +bit 675 O 1 IO_M4 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_N2 +bit 672 O 1 IO_N2 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_M1 +bit 669 O 1 IO_M1 668 1 Z +bit 668 C 1 * +bit 667 O 1 * +bit 666 O 1 * +bit 665 O 1 * +bit 664 O 1 * +bit 663 O 1 * +bit 662 O 1 * +bit 661 I 1 IO_L6 +bit 660 O 1 IO_L6 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_L5 +bit 657 O 1 IO_L5 656 1 Z +bit 656 C 1 * +bit 655 O 1 * +bit 654 O 1 * +bit 653 O 1 * +bit 652 O 1 * +bit 651 O 1 * +bit 650 O 1 * +bit 649 O 1 * +bit 648 O 1 * +bit 647 O 1 * +bit 646 O 1 * +bit 645 O 1 * +bit 644 O 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 O 1 * +bit 636 O 1 * +bit 635 O 1 * +bit 634 O 1 * +bit 633 O 1 * +bit 632 O 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 O 1 * +bit 624 O 1 * +bit 623 O 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 O 1 * +bit 619 I 1 IO_L3 +bit 618 O 1 IO_L3 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_L4 +bit 615 O 1 IO_L4 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_L2 +bit 612 O 1 IO_L2 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_L1 +bit 609 O 1 IO_L1 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 O 1 * +bit 600 O 1 * +bit 599 O 1 * +bit 598 I 1 IO_K6 +bit 597 O 1 IO_K6 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_K5 +bit 594 O 1 IO_K5 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_K4 +bit 591 O 1 IO_K4 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_K1 +bit 588 O 1 IO_K1 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_K2 +bit 585 O 1 IO_K2 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_J5 +bit 582 O 1 IO_J5 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_J4 +bit 579 O 1 IO_J4 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_J1 +bit 576 O 1 IO_J1 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_J2 +bit 573 O 1 IO_J2 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_J6 +bit 570 O 1 IO_J6 569 1 Z +bit 569 C 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_H1 +bit 558 O 1 IO_H1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_H2 +bit 555 O 1 IO_H2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_H4 +bit 552 O 1 IO_H4 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_H3 +bit 549 O 1 IO_H3 548 1 Z +bit 548 C 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 O 1 * +bit 531 O 1 * +bit 530 O 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 I 1 IO_H5 +bit 510 O 1 IO_H5 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_H6 +bit 507 O 1 IO_H6 506 1 Z +bit 506 C 1 * +bit 505 O 1 * +bit 504 O 1 * +bit 503 O 1 * +bit 502 O 1 * +bit 501 O 1 * +bit 500 O 1 * +bit 499 I 1 IO_G4 +bit 498 O 1 IO_G4 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_G3 +bit 495 O 1 IO_G3 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_G1 +bit 492 O 1 IO_G1 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_F2 +bit 489 O 1 IO_F2 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_G5 +bit 486 O 1 IO_G5 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_F5 +bit 483 O 1 IO_F5 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_E4 +bit 480 O 1 IO_E4 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_F4 +bit 477 O 1 IO_F4 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_E2 +bit 474 O 1 IO_E2 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_E1 +bit 471 O 1 IO_E1 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_E3 +bit 468 O 1 IO_E3 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_D3 +bit 465 O 1 IO_D3 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_D1 +bit 462 O 1 IO_D1 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_D2 +bit 459 O 1 IO_D2 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_C1 +bit 456 O 1 IO_C1 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_B1 +bit 453 O 1 IO_B1 452 1 Z +bit 452 C 1 * +bit 451 O 1 * +bit 450 O 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 O 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 I 1 IO_C3 +bit 396 O 1 IO_C3 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_C2 +bit 393 O 1 IO_C2 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_E6 +bit 389 I 1 IO_B3 +bit 388 O 1 IO_B3 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_A2 +bit 385 O 1 IO_A2 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_A3 +bit 382 O 1 IO_A3 381 1 Z +bit 381 C 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 O 1 * +bit 367 O 1 * +bit 366 O 1 * +bit 365 O 1 * +bit 364 O 1 * +bit 363 O 1 * +bit 362 O 1 * +bit 361 O 1 * +bit 360 O 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 I 1 IO_B4 +bit 340 O 1 IO_B4 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_C4 +bit 337 O 1 IO_C4 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_C5 +bit 334 O 1 IO_C5 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_D5 +bit 331 O 1 IO_D5 330 1 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 I 1 IO_A4 +bit 310 O 1 IO_A4 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_A5 +bit 307 O 1 IO_A5 306 1 Z +bit 306 C 1 * +bit 305 O 1 * +bit 304 O 1 * +bit 303 O 1 * +bit 302 O 1 * +bit 301 O 1 * +bit 300 O 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 I 1 IO_D6 +bit 283 O 1 IO_D6 282 1 Z +bit 282 C 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 O 1 * +bit 259 O 1 * +bit 258 O 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 I 1 IO_B5 +bit 250 O 1 IO_B5 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_B6 +bit 247 O 1 IO_B6 246 1 Z +bit 246 C 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 IO_E7 +bit 238 O 1 IO_E7 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_C7 +bit 235 O 1 IO_C7 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_D7 +bit 232 O 1 IO_D7 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_C8 +bit 229 O 1 IO_C8 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_D8 +bit 226 O 1 IO_D8 225 1 Z +bit 225 C 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 I 1 IO_E8 +bit 220 O 1 IO_E8 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_F8 +bit 217 O 1 IO_F8 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_A7 +bit 214 O 1 IO_A7 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_A8 +bit 211 O 1 IO_A8 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_D9 +bit 208 O 1 IO_D9 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_B9 +bit 205 O 1 IO_B9 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_A9 +bit 202 O 1 IO_A9 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_E9 +bit 199 O 1 IO_E9 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_F9 +bit 196 O 1 IO_F9 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_D10 +bit 193 O 1 IO_D10 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_E10 +bit 190 O 1 IO_E10 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_F10 +bit 187 O 1 IO_F10 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_A10 +bit 184 O 1 IO_A10 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_B10 +bit 181 O 1 IO_B10 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_A11 +bit 178 O 1 IO_A11 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_C11 +bit 175 O 1 IO_C11 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_D11 +bit 172 O 1 IO_D11 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_F11 +bit 169 O 1 IO_F11 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_E11 +bit 166 O 1 IO_E11 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_A12 +bit 163 O 1 IO_A12 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_C12 +bit 160 O 1 IO_C12 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_D12 +bit 157 O 1 IO_D12 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_E13 +bit 154 O 1 IO_E13 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_E12 +bit 151 O 1 IO_E12 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_B13 +bit 148 O 1 IO_B13 147 1 Z +bit 147 C 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_D14 +bit 130 O 1 IO_D14 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_D13 +bit 127 O 1 IO_D13 126 1 Z +bit 126 C 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_A14 +bit 85 O 1 IO_A14 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_B14 +bit 82 O 1 IO_B14 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_C14 +bit 79 O 1 IO_C14 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_C15 +bit 76 O 1 IO_C15 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_A15 +bit 55 O 1 IO_A15 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B15 +bit 52 O 1 IO_B15 51 1 Z +bit 51 C 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_A16 +bit 4 O 1 IO_A16 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_A17 +bit 1 O 1 IO_A17 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg456 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg456 new file mode 100644 index 0000000..754f22b --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg456 @@ -0,0 +1,2026 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal CCLK_AA22 +signal DONE_AB21 +signal HSWAP_EN_B3 +signal M0_AB2 +signal M1_AA1 +signal M2_AB3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A18 +signal IO_A19 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C13 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C22 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_H1 +signal IO_H2 +signal IO_H4 +signal IO_H5 +signal IO_H18 +signal IO_H19 +signal IO_H21 +signal IO_H22 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J17 +signal IO_J18 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L17 +signal IO_L18 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M17 +signal IO_M18 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N17 +signal IO_N18 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P17 +signal IO_P18 +signal IO_P19 +signal IO_P21 +signal IO_P22 +signal IO_R1 +signal IO_R2 +signal IO_R4 +signal IO_R5 +signal IO_R18 +signal IO_R19 +signal IO_R21 +signal IO_R22 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T17 +signal IO_T18 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U11 +signal IO_U12 +signal IO_U13 +signal IO_U14 +signal IO_U16 +signal IO_U17 +signal IO_U18 +signal IO_U19 +signal IO_U20 +signal IO_U21 +signal IO_V1 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V12 +signal IO_V13 +signal IO_V14 +signal IO_V15 +signal IO_V16 +signal IO_V17 +signal IO_V18 +signal IO_V19 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W8 +signal IO_W9 +signal IO_W10 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W17 +signal IO_W18 +signal IO_W19 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AB4 +signal IO_AB5 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB18 +signal IO_AB19 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_C20 +bit 1557 O 1 IO_C20 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_C21 +bit 1554 O 1 IO_C21 1553 1 Z +bit 1553 C 1 * +bit 1552 O 1 * +bit 1551 O 1 * +bit 1550 O 1 * +bit 1549 O 1 * +bit 1548 O 1 * +bit 1547 O 1 * +bit 1546 O 1 * +bit 1545 O 1 * +bit 1544 O 1 * +bit 1543 O 1 * +bit 1542 O 1 * +bit 1541 O 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 O 1 * +bit 1533 O 1 * +bit 1532 O 1 * +bit 1531 O 1 * +bit 1530 O 1 * +bit 1529 O 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 O 1 * +bit 1521 O 1 * +bit 1520 O 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 O 1 * +bit 1515 O 1 * +bit 1514 O 1 * +bit 1513 O 1 * +bit 1512 O 1 * +bit 1511 O 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 O 1 * +bit 1506 O 1 * +bit 1505 O 1 * +bit 1504 O 1 * +bit 1503 O 1 * +bit 1502 O 1 * +bit 1501 I 1 IO_C22 +bit 1500 O 1 IO_C22 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_D20 +bit 1497 O 1 IO_D20 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_D19 +bit 1494 O 1 IO_D19 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_D21 +bit 1491 O 1 IO_D21 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_D22 +bit 1488 O 1 IO_D22 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_E18 +bit 1485 O 1 IO_E18 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_F18 +bit 1482 O 1 IO_F18 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_E19 +bit 1479 O 1 IO_E19 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_E20 +bit 1476 O 1 IO_E20 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_E21 +bit 1473 O 1 IO_E21 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_E22 +bit 1470 O 1 IO_E22 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_G17 +bit 1467 O 1 IO_G17 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_G18 +bit 1464 O 1 IO_G18 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_F19 +bit 1461 O 1 IO_F19 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_G19 +bit 1458 O 1 IO_G19 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_F20 +bit 1455 O 1 IO_F20 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_F21 +bit 1452 O 1 IO_F21 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_G20 +bit 1449 O 1 IO_G20 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_H19 +bit 1446 O 1 IO_H19 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_G21 +bit 1443 O 1 IO_G21 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_G22 +bit 1440 O 1 IO_G22 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_H18 +bit 1437 O 1 IO_H18 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_J17 +bit 1434 O 1 IO_J17 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_H21 +bit 1431 O 1 IO_H21 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_H22 +bit 1428 O 1 IO_H22 1427 1 Z +bit 1427 C 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_J18 +bit 1419 O 1 IO_J18 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_J19 +bit 1416 O 1 IO_J19 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_J21 +bit 1413 O 1 IO_J21 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_J22 +bit 1410 O 1 IO_J22 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_K17 +bit 1407 O 1 IO_K17 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_K18 +bit 1404 O 1 IO_K18 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_K19 +bit 1401 O 1 IO_K19 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_K20 +bit 1398 O 1 IO_K20 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_K21 +bit 1395 O 1 IO_K21 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_K22 +bit 1392 O 1 IO_K22 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 I 1 IO_L17 +bit 1383 O 1 IO_L17 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_L18 +bit 1380 O 1 IO_L18 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_L19 +bit 1377 O 1 IO_L19 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_L20 +bit 1374 O 1 IO_L20 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_L21 +bit 1371 O 1 IO_L21 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_L22 +bit 1368 O 1 IO_L22 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_M22 +bit 1365 O 1 IO_M22 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_M21 +bit 1362 O 1 IO_M21 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_M20 +bit 1359 O 1 IO_M20 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_M19 +bit 1356 O 1 IO_M19 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_M18 +bit 1353 O 1 IO_M18 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_M17 +bit 1350 O 1 IO_M17 1349 1 Z +bit 1349 C 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_N22 +bit 1341 O 1 IO_N22 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_N21 +bit 1338 O 1 IO_N21 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_N20 +bit 1335 O 1 IO_N20 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_N19 +bit 1332 O 1 IO_N19 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_N18 +bit 1329 O 1 IO_N18 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_N17 +bit 1326 O 1 IO_N17 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_P22 +bit 1323 O 1 IO_P22 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_P21 +bit 1320 O 1 IO_P21 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_P18 +bit 1317 O 1 IO_P18 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_P17 +bit 1314 O 1 IO_P17 1313 1 Z +bit 1313 C 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_P19 +bit 1305 O 1 IO_P19 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_R19 +bit 1302 O 1 IO_R19 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_R22 +bit 1299 O 1 IO_R22 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_R21 +bit 1296 O 1 IO_R21 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_T22 +bit 1293 O 1 IO_T22 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_T21 +bit 1290 O 1 IO_T21 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_T20 +bit 1287 O 1 IO_T20 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_T19 +bit 1284 O 1 IO_T19 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_R18 +bit 1281 O 1 IO_R18 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_T18 +bit 1278 O 1 IO_T18 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_U21 +bit 1275 O 1 IO_U21 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_U20 +bit 1272 O 1 IO_U20 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_T17 +bit 1269 O 1 IO_T17 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_U18 +bit 1266 O 1 IO_U18 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_V22 +bit 1263 O 1 IO_V22 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_V21 +bit 1260 O 1 IO_V21 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_U19 +bit 1257 O 1 IO_U19 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_V20 +bit 1254 O 1 IO_V20 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_W21 +bit 1251 O 1 IO_W21 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_W20 +bit 1248 O 1 IO_W20 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_V19 +bit 1245 O 1 IO_V19 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_W19 +bit 1242 O 1 IO_W19 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_W22 +bit 1239 O 1 IO_W22 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_Y22 +bit 1236 O 1 IO_Y22 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_Y21 +bit 1233 O 1 IO_Y21 1232 1 Z +bit 1232 C 1 * +bit 1231 O 1 * +bit 1230 O 1 * +bit 1229 O 1 * +bit 1228 O 1 * +bit 1227 O 1 * +bit 1226 O 1 * +bit 1225 O 1 * +bit 1224 O 1 * +bit 1223 O 1 * +bit 1222 O 1 * +bit 1221 O 1 * +bit 1220 O 1 * +bit 1219 O 1 * +bit 1218 O 1 * +bit 1217 O 1 * +bit 1216 O 1 * +bit 1215 O 1 * +bit 1214 O 1 * +bit 1213 O 1 * +bit 1212 O 1 * +bit 1211 O 1 * +bit 1210 O 1 * +bit 1209 O 1 * +bit 1208 O 1 * +bit 1207 O 1 * +bit 1206 O 1 * +bit 1205 O 1 * +bit 1204 O 1 * +bit 1203 O 1 * +bit 1202 O 1 * +bit 1201 O 1 * +bit 1200 O 1 * +bit 1199 O 1 * +bit 1198 O 1 * +bit 1197 O 1 * +bit 1196 O 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 O 1 * +bit 1191 O 1 * +bit 1190 O 1 * +bit 1189 O 1 * +bit 1188 O 1 * +bit 1187 O 1 * +bit 1186 O 1 * +bit 1185 O 1 * +bit 1184 O 1 * +bit 1183 O 1 * +bit 1182 O 1 * +bit 1181 O 1 * +bit 1180 I 1 IO_Y20 +bit 1179 O 1 IO_Y20 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_Y19 +bit 1176 O 1 IO_Y19 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_AA22 +bit 1173 O 1 CCLK_AA22 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_AB21 +bit 1170 O 1 DONE_AB21 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_V18 +bit 1167 O 1 IO_V18 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AA20 +bit 1164 O 1 IO_AA20 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AB20 +bit 1161 O 1 IO_AB20 1160 1 Z +bit 1160 C 1 * +bit 1159 O 1 * +bit 1158 O 1 * +bit 1157 O 1 * +bit 1156 O 1 * +bit 1155 O 1 * +bit 1154 O 1 * +bit 1153 O 1 * +bit 1152 O 1 * +bit 1151 O 1 * +bit 1150 I 1 IO_AA19 +bit 1149 O 1 IO_AA19 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AB19 +bit 1146 O 1 IO_AB19 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_W18 +bit 1143 O 1 IO_W18 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_Y18 +bit 1140 O 1 IO_Y18 1139 1 Z +bit 1139 C 1 * +bit 1138 O 1 * +bit 1137 O 1 * +bit 1136 O 1 * +bit 1135 O 1 * +bit 1134 O 1 * +bit 1133 O 1 * +bit 1132 O 1 * +bit 1131 O 1 * +bit 1130 O 1 * +bit 1129 O 1 * +bit 1128 O 1 * +bit 1127 O 1 * +bit 1126 O 1 * +bit 1125 O 1 * +bit 1124 O 1 * +bit 1123 I 1 IO_U17 +bit 1122 O 1 IO_U17 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AA18 +bit 1119 O 1 IO_AA18 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AB18 +bit 1116 O 1 IO_AB18 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_V17 +bit 1113 O 1 IO_V17 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_W17 +bit 1110 O 1 IO_W17 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_U16 +bit 1107 O 1 IO_U16 1106 1 Z +bit 1106 C 1 * +bit 1105 O 1 * +bit 1104 O 1 * +bit 1103 O 1 * +bit 1102 O 1 * +bit 1101 O 1 * +bit 1100 O 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 O 1 * +bit 1092 O 1 * +bit 1091 O 1 * +bit 1090 I 1 IO_Y17 +bit 1089 O 1 IO_Y17 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AA17 +bit 1086 O 1 IO_AA17 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_V16 +bit 1083 O 1 IO_V16 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_W16 +bit 1080 O 1 IO_W16 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 I 1 IO_Y16 +bit 1062 O 1 IO_Y16 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AA16 +bit 1059 O 1 IO_AA16 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AB16 +bit 1056 O 1 IO_AB16 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_V15 +bit 1053 O 1 IO_V15 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_W15 +bit 1050 O 1 IO_W15 1049 1 Z +bit 1049 C 1 * +bit 1048 O 1 * +bit 1047 O 1 * +bit 1046 O 1 * +bit 1045 O 1 * +bit 1044 O 1 * +bit 1043 O 1 * +bit 1042 O 1 * +bit 1041 O 1 * +bit 1040 O 1 * +bit 1039 I 1 IO_AA15 +bit 1038 O 1 IO_AA15 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AB15 +bit 1035 O 1 IO_AB15 1034 1 Z +bit 1034 C 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 I 1 IO_U14 +bit 1029 O 1 IO_U14 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_V14 +bit 1026 O 1 IO_V14 1025 1 Z +bit 1025 C 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 O 1 * +bit 1020 O 1 * +bit 1019 O 1 * +bit 1018 I 1 IO_W14 +bit 1017 O 1 IO_W14 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AA14 +bit 1014 O 1 IO_AA14 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AB14 +bit 1011 O 1 IO_AB14 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_U13 +bit 1008 O 1 IO_U13 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_V13 +bit 1005 O 1 IO_V13 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_W13 +bit 1002 O 1 IO_W13 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_Y13 +bit 999 O 1 IO_Y13 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AA13 +bit 996 O 1 IO_AA13 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_U12 +bit 993 O 1 IO_U12 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_V12 +bit 990 O 1 IO_V12 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AB13 +bit 987 O 1 IO_AB13 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_W12 +bit 984 O 1 IO_W12 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_Y12 +bit 981 O 1 IO_Y12 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AA12 +bit 978 O 1 IO_AA12 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AB12 +bit 975 O 1 IO_AB12 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AB11 +bit 972 O 1 IO_AB11 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AA11 +bit 969 O 1 IO_AA11 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_Y11 +bit 966 O 1 IO_Y11 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_W11 +bit 963 O 1 IO_W11 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_V11 +bit 960 O 1 IO_V11 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_U11 +bit 957 O 1 IO_U11 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AB10 +bit 954 O 1 IO_AB10 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AA10 +bit 951 O 1 IO_AA10 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_Y10 +bit 948 O 1 IO_Y10 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_W10 +bit 945 O 1 IO_W10 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_V10 +bit 942 O 1 IO_V10 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AB9 +bit 939 O 1 IO_AB9 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_AA9 +bit 936 O 1 IO_AA9 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_W9 +bit 933 O 1 IO_W9 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_V9 +bit 930 O 1 IO_V9 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_U10 +bit 927 O 1 IO_U10 926 1 Z +bit 926 C 1 * +bit 925 O 1 * +bit 924 O 1 * +bit 923 O 1 * +bit 922 O 1 * +bit 921 O 1 * +bit 920 O 1 * +bit 919 I 1 IO_AB8 +bit 918 O 1 IO_AB8 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AA8 +bit 915 O 1 IO_AA8 914 1 Z +bit 914 C 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 I 1 IO_W8 +bit 909 O 1 IO_W8 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_V8 +bit 906 O 1 IO_V8 905 1 Z +bit 905 C 1 * +bit 904 O 1 * +bit 903 O 1 * +bit 902 O 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 I 1 IO_U9 +bit 897 O 1 IO_U9 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_AB7 +bit 894 O 1 IO_AB7 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_AA7 +bit 891 O 1 IO_AA7 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_Y7 +bit 888 O 1 IO_Y7 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_W7 +bit 885 O 1 IO_W7 884 1 Z +bit 884 C 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 O 1 * +bit 879 O 1 * +bit 878 O 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 I 1 IO_V7 +bit 867 O 1 IO_V7 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_AA6 +bit 864 O 1 IO_AA6 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_Y6 +bit 861 O 1 IO_Y6 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_W6 +bit 858 O 1 IO_W6 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_V6 +bit 855 O 1 IO_V6 854 1 Z +bit 854 C 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 O 1 * +bit 849 O 1 * +bit 848 O 1 * +bit 847 O 1 * +bit 846 O 1 * +bit 845 O 1 * +bit 844 O 1 * +bit 843 O 1 * +bit 842 O 1 * +bit 841 O 1 * +bit 840 O 1 * +bit 839 O 1 * +bit 838 I 1 IO_U7 +bit 837 O 1 IO_U7 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_AB5 +bit 834 O 1 IO_AB5 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_AA5 +bit 831 O 1 IO_AA5 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_Y5 +bit 828 O 1 IO_Y5 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_W5 +bit 825 O 1 IO_W5 824 1 Z +bit 824 C 1 * +bit 823 O 1 * +bit 822 O 1 * +bit 821 O 1 * +bit 820 O 1 * +bit 819 O 1 * +bit 818 O 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 O 1 * +bit 810 O 1 * +bit 809 O 1 * +bit 808 I 1 IO_U6 +bit 807 O 1 IO_U6 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_AB4 +bit 804 O 1 IO_AB4 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_AA4 +bit 801 O 1 IO_AA4 800 1 Z +bit 800 C 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 O 1 * +bit 792 O 1 * +bit 791 O 1 * +bit 790 O 1 * +bit 789 O 1 * +bit 788 O 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 I 1 IO_Y4 +bit 783 O 1 IO_Y4 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_AA3 +bit 780 O 1 IO_AA3 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_AB3 +bit 777 I 1 M0_AB2 +bit 776 I 1 M1_AA1 +bit 775 I 1 IO_Y3 +bit 774 O 1 IO_Y3 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_Y2 +bit 771 O 1 IO_Y2 770 1 Z +bit 770 C 1 * +bit 769 O 1 * +bit 768 O 1 * +bit 767 O 1 * +bit 766 O 1 * +bit 765 O 1 * +bit 764 O 1 * +bit 763 O 1 * +bit 762 O 1 * +bit 761 O 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 O 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 O 1 * +bit 720 O 1 * +bit 719 O 1 * +bit 718 I 1 IO_Y1 +bit 717 O 1 IO_Y1 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_W4 +bit 714 O 1 IO_W4 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_W3 +bit 711 O 1 IO_W3 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_W2 +bit 708 O 1 IO_W2 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_W1 +bit 705 O 1 IO_W1 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_V5 +bit 702 O 1 IO_V5 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_U5 +bit 699 O 1 IO_U5 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_V4 +bit 696 O 1 IO_V4 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_V3 +bit 693 O 1 IO_V3 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_V2 +bit 690 O 1 IO_V2 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_V1 +bit 687 O 1 IO_V1 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_T6 +bit 684 O 1 IO_T6 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_T5 +bit 681 O 1 IO_T5 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_U4 +bit 678 O 1 IO_U4 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_T4 +bit 675 O 1 IO_T4 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_U3 +bit 672 O 1 IO_U3 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_U2 +bit 669 O 1 IO_U2 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_T3 +bit 666 O 1 IO_T3 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_R4 +bit 663 O 1 IO_R4 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_T2 +bit 660 O 1 IO_T2 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_T1 +bit 657 O 1 IO_T1 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_R5 +bit 654 O 1 IO_R5 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_P6 +bit 651 O 1 IO_P6 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_R2 +bit 648 O 1 IO_R2 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_R1 +bit 645 O 1 IO_R1 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_P5 +bit 636 O 1 IO_P5 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_P4 +bit 633 O 1 IO_P4 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_P2 +bit 630 O 1 IO_P2 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_P1 +bit 627 O 1 IO_P1 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_N6 +bit 624 O 1 IO_N6 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_N5 +bit 621 O 1 IO_N5 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_N4 +bit 618 O 1 IO_N4 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_N3 +bit 615 O 1 IO_N3 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_N2 +bit 612 O 1 IO_N2 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_N1 +bit 609 O 1 IO_N1 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 I 1 IO_M6 +bit 600 O 1 IO_M6 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_M5 +bit 597 O 1 IO_M5 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_M4 +bit 594 O 1 IO_M4 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_M3 +bit 591 O 1 IO_M3 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_M2 +bit 588 O 1 IO_M2 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_M1 +bit 585 O 1 IO_M1 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_L1 +bit 582 O 1 IO_L1 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_L2 +bit 579 O 1 IO_L2 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_L3 +bit 576 O 1 IO_L3 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_L4 +bit 573 O 1 IO_L4 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_L5 +bit 570 O 1 IO_L5 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_L6 +bit 567 O 1 IO_L6 566 1 Z +bit 566 C 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_K1 +bit 558 O 1 IO_K1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_K2 +bit 555 O 1 IO_K2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_K3 +bit 552 O 1 IO_K3 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_K4 +bit 549 O 1 IO_K4 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_K5 +bit 546 O 1 IO_K5 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_K6 +bit 543 O 1 IO_K6 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_J1 +bit 540 O 1 IO_J1 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_J2 +bit 537 O 1 IO_J2 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_J5 +bit 534 O 1 IO_J5 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_J6 +bit 531 O 1 IO_J6 530 1 Z +bit 530 C 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 I 1 IO_J4 +bit 522 O 1 IO_J4 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_H4 +bit 519 O 1 IO_H4 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_H1 +bit 516 O 1 IO_H1 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_H2 +bit 513 O 1 IO_H2 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_G1 +bit 510 O 1 IO_G1 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_G2 +bit 507 O 1 IO_G2 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_G3 +bit 504 O 1 IO_G3 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_G4 +bit 501 O 1 IO_G4 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_H5 +bit 498 O 1 IO_H5 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_G5 +bit 495 O 1 IO_G5 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_F2 +bit 492 O 1 IO_F2 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_F3 +bit 489 O 1 IO_F3 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_G6 +bit 486 O 1 IO_G6 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_F5 +bit 483 O 1 IO_F5 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_E1 +bit 480 O 1 IO_E1 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_E2 +bit 477 O 1 IO_E2 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_F4 +bit 474 O 1 IO_F4 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_E3 +bit 471 O 1 IO_E3 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_D3 +bit 468 O 1 IO_D3 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_D2 +bit 465 O 1 IO_D2 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_E4 +bit 462 O 1 IO_E4 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_D4 +bit 459 O 1 IO_D4 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_D1 +bit 456 O 1 IO_D1 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_C1 +bit 453 O 1 IO_C1 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_C2 +bit 450 O 1 IO_C2 449 1 Z +bit 449 C 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 O 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 I 1 IO_C3 +bit 396 O 1 IO_C3 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_C4 +bit 393 O 1 IO_C4 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_B3 +bit 389 I 1 IO_A3 +bit 388 O 1 IO_A3 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_B4 +bit 385 O 1 IO_B4 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_A4 +bit 382 O 1 IO_A4 381 1 Z +bit 381 C 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 I 1 IO_E5 +bit 367 O 1 IO_E5 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_D5 +bit 364 O 1 IO_D5 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_C5 +bit 361 O 1 IO_C5 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 I 1 IO_F6 +bit 343 O 1 IO_F6 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_B5 +bit 340 O 1 IO_B5 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_A5 +bit 337 O 1 IO_A5 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_E6 +bit 334 O 1 IO_E6 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_D6 +bit 331 O 1 IO_D6 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_F7 +bit 328 O 1 IO_F7 327 1 Z +bit 327 C 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 I 1 IO_C6 +bit 310 O 1 IO_C6 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_B6 +bit 307 O 1 IO_B6 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_E7 +bit 304 O 1 IO_E7 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_D7 +bit 301 O 1 IO_D7 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 I 1 IO_C7 +bit 283 O 1 IO_C7 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_B7 +bit 280 O 1 IO_B7 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_A7 +bit 277 O 1 IO_A7 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_E8 +bit 274 O 1 IO_E8 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_D8 +bit 271 O 1 IO_D8 270 1 Z +bit 270 C 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 I 1 IO_B8 +bit 259 O 1 IO_B8 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_A8 +bit 256 O 1 IO_A8 255 1 Z +bit 255 C 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 I 1 IO_F9 +bit 250 O 1 IO_F9 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_E9 +bit 247 O 1 IO_E9 246 1 Z +bit 246 C 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 IO_D9 +bit 238 O 1 IO_D9 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B9 +bit 235 O 1 IO_B9 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_A9 +bit 232 O 1 IO_A9 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F10 +bit 229 O 1 IO_F10 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E10 +bit 226 O 1 IO_E10 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_D10 +bit 223 O 1 IO_D10 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_C10 +bit 220 O 1 IO_C10 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_B10 +bit 217 O 1 IO_B10 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_F11 +bit 214 O 1 IO_F11 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_E11 +bit 211 O 1 IO_E11 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_A10 +bit 208 O 1 IO_A10 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_D11 +bit 205 O 1 IO_D11 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_C11 +bit 202 O 1 IO_C11 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_B11 +bit 199 O 1 IO_B11 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_A11 +bit 196 O 1 IO_A11 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_A12 +bit 193 O 1 IO_A12 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_B12 +bit 190 O 1 IO_B12 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_C12 +bit 187 O 1 IO_C12 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_D12 +bit 184 O 1 IO_D12 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_E12 +bit 181 O 1 IO_E12 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_F12 +bit 178 O 1 IO_F12 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_A13 +bit 175 O 1 IO_A13 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_B13 +bit 172 O 1 IO_B13 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_C13 +bit 169 O 1 IO_C13 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_D13 +bit 166 O 1 IO_D13 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_E13 +bit 163 O 1 IO_E13 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_A14 +bit 160 O 1 IO_A14 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_B14 +bit 157 O 1 IO_B14 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_D14 +bit 154 O 1 IO_D14 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_E14 +bit 151 O 1 IO_E14 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_F13 +bit 148 O 1 IO_F13 147 1 Z +bit 147 C 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 I 1 IO_B15 +bit 139 O 1 IO_B15 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_A15 +bit 136 O 1 IO_A15 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_D15 +bit 130 O 1 IO_D15 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_E15 +bit 127 O 1 IO_E15 126 1 Z +bit 126 C 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 I 1 IO_F14 +bit 118 O 1 IO_F14 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_A16 +bit 115 O 1 IO_A16 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_B16 +bit 112 O 1 IO_B16 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_C16 +bit 109 O 1 IO_C16 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_D16 +bit 106 O 1 IO_D16 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 I 1 IO_E16 +bit 88 O 1 IO_E16 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_B17 +bit 85 O 1 IO_B17 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_C17 +bit 82 O 1 IO_C17 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_D17 +bit 79 O 1 IO_D17 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_E17 +bit 76 O 1 IO_E17 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 I 1 IO_F16 +bit 58 O 1 IO_F16 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A18 +bit 55 O 1 IO_A18 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B18 +bit 52 O 1 IO_B18 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_C18 +bit 49 O 1 IO_C18 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D18 +bit 46 O 1 IO_D18 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 I 1 IO_F17 +bit 28 O 1 IO_F17 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_A19 +bit 25 O 1 IO_A19 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_B19 +bit 22 O 1 IO_B19 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_C19 +bit 4 O 1 IO_C19 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B20 +bit 1 O 1 IO_B20 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg676 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg676 new file mode 100644 index 0000000..5c99f66 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s1500/xc3s1500l_fg676 @@ -0,0 +1,2244 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal CCLK_AD26 +signal DONE_AC24 +signal HSWAP_EN_C2 +signal M0_AE3 +signal M1_AC3 +signal M2_AF3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_A19 +signal IO_A20 +signal IO_A21 +signal IO_A22 +signal IO_A23 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D25 +signal IO_D26 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_E23 +signal IO_E24 +signal IO_E25 +signal IO_E26 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_G1 +signal IO_G2 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G9 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G25 +signal IO_G26 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H20 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J7 +signal IO_J20 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J24 +signal IO_J25 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K24 +signal IO_K25 +signal IO_K26 +signal IO_L1 +signal IO_L2 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L23 +signal IO_L25 +signal IO_L26 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N24 +signal IO_N25 +signal IO_N26 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R19 +signal IO_R20 +signal IO_R21 +signal IO_R22 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_T1 +signal IO_T2 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T25 +signal IO_T26 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U20 +signal IO_U21 +signal IO_U22 +signal IO_U23 +signal IO_U24 +signal IO_U25 +signal IO_U26 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V24 +signal IO_V25 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_Y1 +signal IO_Y2 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y14 +signal IO_Y15 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_Y23 +signal IO_Y25 +signal IO_Y26 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA23 +signal IO_AA24 +signal IO_AA25 +signal IO_AA26 +signal IO_AB1 +signal IO_AB2 +signal IO_AB3 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB20 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB24 +signal IO_AB25 +signal IO_AB26 +signal IO_AC1 +signal IO_AC2 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC13 +signal IO_AC14 +signal IO_AC16 +signal IO_AC17 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC21 +signal IO_AC22 +signal IO_AC25 +signal IO_AC26 +signal IO_AD1 +signal IO_AD2 +signal IO_AD4 +signal IO_AD5 +signal IO_AD6 +signal IO_AD8 +signal IO_AD9 +signal IO_AD10 +signal IO_AD12 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AF4 +signal IO_AF5 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF10 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF14 +signal IO_AF15 +signal IO_AF16 +signal IO_AF17 +signal IO_AF19 +signal IO_AF20 +signal IO_AF21 +signal IO_AF22 +signal IO_AF23 + +register BSR 1559 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1558 I 1 IO_C25 +bit 1557 O 1 IO_C25 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_C26 +bit 1554 O 1 IO_C26 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_E23 +bit 1551 O 1 IO_E23 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_E24 +bit 1548 O 1 IO_E24 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_D25 +bit 1545 O 1 IO_D25 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_D26 +bit 1542 O 1 IO_D26 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_E25 +bit 1539 O 1 IO_E25 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_E26 +bit 1536 O 1 IO_E26 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_G20 +bit 1533 O 1 IO_G20 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_G21 +bit 1530 O 1 IO_G21 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_F23 +bit 1527 O 1 IO_F23 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_F24 +bit 1524 O 1 IO_F24 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_G22 +bit 1521 O 1 IO_G22 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_G23 +bit 1518 O 1 IO_G23 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_F25 +bit 1515 O 1 IO_F25 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_F26 +bit 1512 O 1 IO_F26 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_G25 +bit 1509 O 1 IO_G25 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_G26 +bit 1506 O 1 IO_G26 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_H20 +bit 1503 O 1 IO_H20 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_H21 +bit 1500 O 1 IO_H21 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_H22 +bit 1497 O 1 IO_H22 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_J21 +bit 1494 O 1 IO_J21 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_H23 +bit 1491 O 1 IO_H23 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_H24 +bit 1488 O 1 IO_H24 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_H25 +bit 1485 O 1 IO_H25 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_H26 +bit 1482 O 1 IO_H26 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_J20 +bit 1479 O 1 IO_J20 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_K20 +bit 1476 O 1 IO_K20 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_J22 +bit 1473 O 1 IO_J22 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_J23 +bit 1470 O 1 IO_J23 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_J24 +bit 1467 O 1 IO_J24 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_J25 +bit 1464 O 1 IO_J25 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_K21 +bit 1461 O 1 IO_K21 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_K22 +bit 1458 O 1 IO_K22 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_K23 +bit 1455 O 1 IO_K23 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_K24 +bit 1452 O 1 IO_K24 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_K25 +bit 1449 O 1 IO_K25 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_K26 +bit 1446 O 1 IO_K26 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_L19 +bit 1443 O 1 IO_L19 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_L20 +bit 1440 O 1 IO_L20 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_L21 +bit 1437 O 1 IO_L21 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_L22 +bit 1434 O 1 IO_L22 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_L25 +bit 1431 O 1 IO_L25 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_L26 +bit 1428 O 1 IO_L26 1427 1 Z +bit 1427 C 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_M19 +bit 1419 O 1 IO_M19 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_M20 +bit 1416 O 1 IO_M20 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_M21 +bit 1413 O 1 IO_M21 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_M22 +bit 1410 O 1 IO_M22 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_L23 +bit 1407 O 1 IO_L23 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_M24 +bit 1404 O 1 IO_M24 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_M25 +bit 1401 O 1 IO_M25 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_M26 +bit 1398 O 1 IO_M26 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_N19 +bit 1395 O 1 IO_N19 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_N20 +bit 1392 O 1 IO_N20 1391 1 Z +bit 1391 C 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 I 1 IO_N21 +bit 1383 O 1 IO_N21 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_N22 +bit 1380 O 1 IO_N22 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_N23 +bit 1377 O 1 IO_N23 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_N24 +bit 1374 O 1 IO_N24 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_N25 +bit 1371 O 1 IO_N25 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_N26 +bit 1368 O 1 IO_N26 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_P26 +bit 1365 O 1 IO_P26 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_P25 +bit 1362 O 1 IO_P25 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_P24 +bit 1359 O 1 IO_P24 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_P23 +bit 1356 O 1 IO_P23 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_P22 +bit 1353 O 1 IO_P22 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_P21 +bit 1350 O 1 IO_P21 1349 1 Z +bit 1349 C 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_P20 +bit 1341 O 1 IO_P20 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_P19 +bit 1338 O 1 IO_P19 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_R26 +bit 1335 O 1 IO_R26 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_R25 +bit 1332 O 1 IO_R25 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_R24 +bit 1329 O 1 IO_R24 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_T23 +bit 1326 O 1 IO_T23 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_R22 +bit 1323 O 1 IO_R22 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_R21 +bit 1320 O 1 IO_R21 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_R20 +bit 1317 O 1 IO_R20 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_R19 +bit 1314 O 1 IO_R19 1313 1 Z +bit 1313 C 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_T26 +bit 1305 O 1 IO_T26 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_T25 +bit 1302 O 1 IO_T25 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_T22 +bit 1299 O 1 IO_T22 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_T21 +bit 1296 O 1 IO_T21 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_T20 +bit 1293 O 1 IO_T20 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_T19 +bit 1290 O 1 IO_T19 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_U26 +bit 1287 O 1 IO_U26 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_U25 +bit 1284 O 1 IO_U25 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_U24 +bit 1281 O 1 IO_U24 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_U23 +bit 1278 O 1 IO_U23 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_U22 +bit 1275 O 1 IO_U22 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_U21 +bit 1272 O 1 IO_U21 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_V25 +bit 1269 O 1 IO_V25 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_V24 +bit 1266 O 1 IO_V24 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_V23 +bit 1263 O 1 IO_V23 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_V22 +bit 1260 O 1 IO_V22 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_U20 +bit 1257 O 1 IO_U20 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_V20 +bit 1254 O 1 IO_V20 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_W26 +bit 1251 O 1 IO_W26 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_W25 +bit 1248 O 1 IO_W25 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_W24 +bit 1245 O 1 IO_W24 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_W23 +bit 1242 O 1 IO_W23 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_V21 +bit 1239 O 1 IO_V21 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_W22 +bit 1236 O 1 IO_W22 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_Y26 +bit 1233 O 1 IO_Y26 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_Y25 +bit 1230 O 1 IO_Y25 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_W21 +bit 1227 O 1 IO_W21 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_W20 +bit 1224 O 1 IO_W20 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AA26 +bit 1221 O 1 IO_AA26 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AA25 +bit 1218 O 1 IO_AA25 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_Y23 +bit 1215 O 1 IO_Y23 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_Y22 +bit 1212 O 1 IO_Y22 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AA24 +bit 1209 O 1 IO_AA24 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AA23 +bit 1206 O 1 IO_AA23 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AB26 +bit 1203 O 1 IO_AB26 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AB25 +bit 1200 O 1 IO_AB25 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_Y21 +bit 1197 O 1 IO_Y21 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_Y20 +bit 1194 O 1 IO_Y20 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AC26 +bit 1191 O 1 IO_AC26 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AC25 +bit 1188 O 1 IO_AC25 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AB24 +bit 1185 O 1 IO_AB24 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AB23 +bit 1182 O 1 IO_AB23 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AA22 +bit 1179 O 1 IO_AA22 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AA21 +bit 1176 O 1 IO_AA21 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 CCLK_AD26 +bit 1173 O 1 CCLK_AD26 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 DONE_AC24 +bit 1170 O 1 DONE_AC24 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AD25 +bit 1167 O 1 IO_AD25 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AB22 +bit 1164 O 1 IO_AB22 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AC22 +bit 1161 O 1 IO_AC22 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AE24 +bit 1158 O 1 IO_AE24 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AF24 +bit 1155 O 1 IO_AF24 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AD23 +bit 1152 O 1 IO_AD23 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AE23 +bit 1149 O 1 IO_AE23 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AF23 +bit 1146 O 1 IO_AF23 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AD22 +bit 1143 O 1 IO_AD22 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_AE22 +bit 1140 O 1 IO_AE22 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AF22 +bit 1137 O 1 IO_AF22 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AB21 +bit 1134 O 1 IO_AB21 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AC21 +bit 1131 O 1 IO_AC21 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AD21 +bit 1128 O 1 IO_AD21 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AE21 +bit 1125 O 1 IO_AE21 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AF21 +bit 1122 O 1 IO_AF21 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AB20 +bit 1119 O 1 IO_AB20 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AC20 +bit 1116 O 1 IO_AC20 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AE20 +bit 1113 O 1 IO_AE20 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AF20 +bit 1110 O 1 IO_AF20 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AA20 +bit 1107 O 1 IO_AA20 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_Y19 +bit 1104 O 1 IO_Y19 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AA19 +bit 1101 O 1 IO_AA19 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_AB19 +bit 1098 O 1 IO_AB19 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_AC19 +bit 1095 O 1 IO_AC19 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AD19 +bit 1092 O 1 IO_AD19 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AE19 +bit 1089 O 1 IO_AE19 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AF19 +bit 1086 O 1 IO_AF19 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_Y18 +bit 1083 O 1 IO_Y18 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AA18 +bit 1080 O 1 IO_AA18 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 I 1 IO_AB18 +bit 1074 O 1 IO_AB18 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_AC18 +bit 1071 O 1 IO_AC18 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_AD18 +bit 1068 O 1 IO_AD18 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AE18 +bit 1065 O 1 IO_AE18 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_Y17 +bit 1062 O 1 IO_Y17 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AC17 +bit 1059 O 1 IO_AC17 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AA17 +bit 1056 O 1 IO_AA17 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AD17 +bit 1053 O 1 IO_AD17 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AB17 +bit 1050 O 1 IO_AB17 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_W16 +bit 1047 O 1 IO_W16 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AE17 +bit 1044 O 1 IO_AE17 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AF17 +bit 1041 O 1 IO_AF17 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_Y16 +bit 1038 O 1 IO_Y16 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AA16 +bit 1035 O 1 IO_AA16 1034 1 Z +bit 1034 C 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 I 1 IO_AB16 +bit 1029 O 1 IO_AB16 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AC16 +bit 1026 O 1 IO_AC16 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AE16 +bit 1023 O 1 IO_AE16 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AF16 +bit 1020 O 1 IO_AF16 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_W15 +bit 1017 O 1 IO_W15 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_Y15 +bit 1014 O 1 IO_Y15 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_W14 +bit 1011 O 1 IO_W14 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AA15 +bit 1008 O 1 IO_AA15 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AB15 +bit 1005 O 1 IO_AB15 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AD15 +bit 1002 O 1 IO_AD15 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_AE15 +bit 999 O 1 IO_AE15 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AF15 +bit 996 O 1 IO_AF15 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_Y14 +bit 993 O 1 IO_Y14 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AA14 +bit 990 O 1 IO_AA14 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AB14 +bit 987 O 1 IO_AB14 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_AC14 +bit 984 O 1 IO_AC14 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_AD14 +bit 981 O 1 IO_AD14 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AE14 +bit 978 O 1 IO_AE14 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AF14 +bit 975 O 1 IO_AF14 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AF13 +bit 972 O 1 IO_AF13 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AE13 +bit 969 O 1 IO_AE13 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AD13 +bit 966 O 1 IO_AD13 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_AC13 +bit 963 O 1 IO_AC13 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_AB13 +bit 960 O 1 IO_AB13 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AA13 +bit 957 O 1 IO_AA13 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_Y13 +bit 954 O 1 IO_Y13 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_W13 +bit 951 O 1 IO_W13 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AF12 +bit 948 O 1 IO_AF12 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AE12 +bit 945 O 1 IO_AE12 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AD12 +bit 942 O 1 IO_AD12 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AB12 +bit 939 O 1 IO_AB12 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_AA12 +bit 936 O 1 IO_AA12 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_Y12 +bit 933 O 1 IO_Y12 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_W12 +bit 930 O 1 IO_W12 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_AC11 +bit 927 O 1 IO_AC11 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AF11 +bit 924 O 1 IO_AF11 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AE11 +bit 921 O 1 IO_AE11 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_AB11 +bit 918 O 1 IO_AB11 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AA11 +bit 915 O 1 IO_AA11 914 1 Z +bit 914 C 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 I 1 IO_Y11 +bit 909 O 1 IO_Y11 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_W11 +bit 906 O 1 IO_W11 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_AF10 +bit 903 O 1 IO_AF10 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_AE10 +bit 900 O 1 IO_AE10 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_AD10 +bit 897 O 1 IO_AD10 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_AC10 +bit 894 O 1 IO_AC10 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_AB10 +bit 891 O 1 IO_AB10 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_AA10 +bit 888 O 1 IO_AA10 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_Y10 +bit 885 O 1 IO_Y10 884 1 Z +bit 884 C 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 I 1 IO_AE9 +bit 879 O 1 IO_AE9 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_AD9 +bit 876 O 1 IO_AD9 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_AC9 +bit 873 O 1 IO_AC9 872 1 Z +bit 872 C 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 I 1 IO_AB9 +bit 867 O 1 IO_AB9 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_AA9 +bit 864 O 1 IO_AA9 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_Y9 +bit 861 O 1 IO_Y9 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_AF8 +bit 858 O 1 IO_AF8 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_AE8 +bit 855 O 1 IO_AE8 854 1 Z +bit 854 C 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 I 1 IO_AD8 +bit 849 O 1 IO_AD8 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_AC8 +bit 846 O 1 IO_AC8 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_AB8 +bit 843 O 1 IO_AB8 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_AA8 +bit 840 O 1 IO_AA8 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_Y8 +bit 837 O 1 IO_Y8 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_AF7 +bit 834 O 1 IO_AF7 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_AE7 +bit 831 O 1 IO_AE7 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_AC7 +bit 828 O 1 IO_AC7 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_AB7 +bit 825 O 1 IO_AB7 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_AA7 +bit 822 O 1 IO_AA7 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_AF6 +bit 819 O 1 IO_AF6 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_AE6 +bit 816 O 1 IO_AE6 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_AD6 +bit 813 O 1 IO_AD6 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_AC6 +bit 810 O 1 IO_AC6 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_AF5 +bit 807 O 1 IO_AF5 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_AE5 +bit 804 O 1 IO_AE5 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_AD5 +bit 801 O 1 IO_AD5 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_AB6 +bit 798 O 1 IO_AB6 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_AA6 +bit 795 O 1 IO_AA6 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_AF4 +bit 792 O 1 IO_AF4 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_AE4 +bit 789 O 1 IO_AE4 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_AD4 +bit 786 O 1 IO_AD4 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_AC5 +bit 783 O 1 IO_AC5 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_AB5 +bit 780 O 1 IO_AB5 779 1 Z +bit 779 C 1 * +bit 778 I 1 M2_AF3 +bit 777 I 1 M0_AE3 +bit 776 I 1 M1_AC3 +bit 775 I 1 IO_AD2 +bit 774 O 1 IO_AD2 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_AD1 +bit 771 O 1 IO_AD1 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_AB4 +bit 768 O 1 IO_AB4 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_AB3 +bit 765 O 1 IO_AB3 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_AC2 +bit 762 O 1 IO_AC2 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_AC1 +bit 759 O 1 IO_AC1 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_AB2 +bit 756 O 1 IO_AB2 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_AB1 +bit 753 O 1 IO_AB1 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_Y7 +bit 750 O 1 IO_Y7 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_Y6 +bit 747 O 1 IO_Y6 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_AA4 +bit 744 O 1 IO_AA4 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_AA3 +bit 741 O 1 IO_AA3 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_Y5 +bit 738 O 1 IO_Y5 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_Y4 +bit 735 O 1 IO_Y4 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_AA2 +bit 732 O 1 IO_AA2 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_AA1 +bit 729 O 1 IO_AA1 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_Y2 +bit 726 O 1 IO_Y2 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_Y1 +bit 723 O 1 IO_Y1 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_W7 +bit 720 O 1 IO_W7 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_W6 +bit 717 O 1 IO_W6 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_V6 +bit 714 O 1 IO_V6 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_W5 +bit 711 O 1 IO_W5 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_W4 +bit 708 O 1 IO_W4 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_W3 +bit 705 O 1 IO_W3 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_W2 +bit 702 O 1 IO_W2 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_W1 +bit 699 O 1 IO_W1 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_V7 +bit 696 O 1 IO_V7 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_U7 +bit 693 O 1 IO_U7 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_V5 +bit 690 O 1 IO_V5 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_V4 +bit 687 O 1 IO_V4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_V3 +bit 684 O 1 IO_V3 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_V2 +bit 681 O 1 IO_V2 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_U6 +bit 678 O 1 IO_U6 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_U5 +bit 675 O 1 IO_U5 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_U4 +bit 672 O 1 IO_U4 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_U3 +bit 669 O 1 IO_U3 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_U2 +bit 666 O 1 IO_U2 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_U1 +bit 663 O 1 IO_U1 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_T8 +bit 660 O 1 IO_T8 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_T7 +bit 657 O 1 IO_T7 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_T6 +bit 654 O 1 IO_T6 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_T5 +bit 651 O 1 IO_T5 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_T2 +bit 648 O 1 IO_T2 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_T1 +bit 645 O 1 IO_T1 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_R8 +bit 636 O 1 IO_R8 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_R7 +bit 633 O 1 IO_R7 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_R6 +bit 630 O 1 IO_R6 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_R5 +bit 627 O 1 IO_R5 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_T4 +bit 624 O 1 IO_T4 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_R3 +bit 621 O 1 IO_R3 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_R2 +bit 618 O 1 IO_R2 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_R1 +bit 615 O 1 IO_R1 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_P8 +bit 612 O 1 IO_P8 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_P7 +bit 609 O 1 IO_P7 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 I 1 IO_P6 +bit 600 O 1 IO_P6 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_P5 +bit 597 O 1 IO_P5 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_P4 +bit 594 O 1 IO_P4 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_P3 +bit 591 O 1 IO_P3 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_P2 +bit 588 O 1 IO_P2 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_P1 +bit 585 O 1 IO_P1 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_N1 +bit 582 O 1 IO_N1 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_N2 +bit 579 O 1 IO_N2 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_N3 +bit 576 O 1 IO_N3 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_N4 +bit 573 O 1 IO_N4 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_N5 +bit 570 O 1 IO_N5 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_N6 +bit 567 O 1 IO_N6 566 1 Z +bit 566 C 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_N7 +bit 558 O 1 IO_N7 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_N8 +bit 555 O 1 IO_N8 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_M1 +bit 552 O 1 IO_M1 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_M2 +bit 549 O 1 IO_M2 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_M3 +bit 546 O 1 IO_M3 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_L4 +bit 543 O 1 IO_L4 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_M6 +bit 540 O 1 IO_M6 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_M5 +bit 537 O 1 IO_M5 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_M7 +bit 534 O 1 IO_M7 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_M8 +bit 531 O 1 IO_M8 530 1 Z +bit 530 C 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 I 1 IO_L1 +bit 522 O 1 IO_L1 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_L2 +bit 519 O 1 IO_L2 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_L5 +bit 516 O 1 IO_L5 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_L6 +bit 513 O 1 IO_L6 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_L7 +bit 510 O 1 IO_L7 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_L8 +bit 507 O 1 IO_L8 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_K1 +bit 504 O 1 IO_K1 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_K2 +bit 501 O 1 IO_K2 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_K3 +bit 498 O 1 IO_K3 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_K4 +bit 495 O 1 IO_K4 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_K5 +bit 492 O 1 IO_K5 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_K6 +bit 489 O 1 IO_K6 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_J2 +bit 486 O 1 IO_J2 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_J3 +bit 483 O 1 IO_J3 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_J4 +bit 480 O 1 IO_J4 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_J5 +bit 477 O 1 IO_J5 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_K7 +bit 474 O 1 IO_K7 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_J7 +bit 471 O 1 IO_J7 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_H1 +bit 468 O 1 IO_H1 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_H2 +bit 465 O 1 IO_H2 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_H3 +bit 462 O 1 IO_H3 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_H4 +bit 459 O 1 IO_H4 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_J6 +bit 456 O 1 IO_J6 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_H5 +bit 453 O 1 IO_H5 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_G1 +bit 450 O 1 IO_G1 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_G2 +bit 447 O 1 IO_G2 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_H6 +bit 444 O 1 IO_H6 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_H7 +bit 441 O 1 IO_H7 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_F1 +bit 438 O 1 IO_F1 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_F2 +bit 435 O 1 IO_F2 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_G4 +bit 432 O 1 IO_G4 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_G5 +bit 429 O 1 IO_G5 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_F3 +bit 426 O 1 IO_F3 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_F4 +bit 423 O 1 IO_F4 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_E1 +bit 420 O 1 IO_E1 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_E2 +bit 417 O 1 IO_E2 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_G6 +bit 414 O 1 IO_G6 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_G7 +bit 411 O 1 IO_G7 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_D1 +bit 408 O 1 IO_D1 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_D2 +bit 405 O 1 IO_D2 404 1 Z +bit 404 C 1 * +bit 403 I 1 IO_E3 +bit 402 O 1 IO_E3 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_E4 +bit 399 O 1 IO_E4 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_F5 +bit 396 O 1 IO_F5 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_F6 +bit 393 O 1 IO_F6 392 1 Z +bit 392 C 1 * +bit 391 O 1 * +bit 390 I 1 HSWAP_EN_C2 +bit 389 I 1 IO_B3 +bit 388 O 1 IO_B3 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_E5 +bit 385 O 1 IO_E5 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_D5 +bit 382 O 1 IO_D5 381 1 Z +bit 381 C 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 I 1 IO_A3 +bit 376 O 1 IO_A3 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_C4 +bit 373 O 1 IO_C4 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_B4 +bit 370 O 1 IO_B4 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_A4 +bit 367 O 1 IO_A4 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_C5 +bit 364 O 1 IO_C5 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_B5 +bit 361 O 1 IO_B5 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_A5 +bit 358 O 1 IO_A5 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_E6 +bit 355 O 1 IO_E6 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_D6 +bit 352 O 1 IO_D6 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_C6 +bit 349 O 1 IO_C6 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_B6 +bit 346 O 1 IO_B6 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_A6 +bit 343 O 1 IO_A6 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_E7 +bit 340 O 1 IO_E7 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_D7 +bit 337 O 1 IO_D7 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_B7 +bit 334 O 1 IO_B7 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_A7 +bit 331 O 1 IO_A7 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_F7 +bit 328 O 1 IO_F7 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_G8 +bit 325 O 1 IO_G8 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_F8 +bit 322 O 1 IO_F8 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_E8 +bit 319 O 1 IO_E8 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_D8 +bit 316 O 1 IO_D8 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_C8 +bit 313 O 1 IO_C8 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_B8 +bit 310 O 1 IO_B8 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_A8 +bit 307 O 1 IO_A8 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_G9 +bit 304 O 1 IO_G9 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_F9 +bit 301 O 1 IO_F9 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 IO_E9 +bit 295 O 1 IO_E9 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_D9 +bit 292 O 1 IO_D9 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_C9 +bit 289 O 1 IO_C9 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_B9 +bit 286 O 1 IO_B9 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_G10 +bit 283 O 1 IO_G10 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_F10 +bit 280 O 1 IO_F10 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_E10 +bit 277 O 1 IO_E10 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_D10 +bit 274 O 1 IO_D10 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_C10 +bit 271 O 1 IO_C10 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_H11 +bit 268 O 1 IO_H11 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B10 +bit 265 O 1 IO_B10 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_A10 +bit 262 O 1 IO_A10 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_G11 +bit 259 O 1 IO_G11 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_F11 +bit 256 O 1 IO_F11 255 1 Z +bit 255 C 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 I 1 IO_E11 +bit 250 O 1 IO_E11 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_D11 +bit 247 O 1 IO_D11 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_B11 +bit 244 O 1 IO_B11 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_A11 +bit 241 O 1 IO_A11 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_H12 +bit 238 O 1 IO_H12 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_G12 +bit 235 O 1 IO_G12 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_H13 +bit 232 O 1 IO_H13 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F12 +bit 229 O 1 IO_F12 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E12 +bit 226 O 1 IO_E12 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_C12 +bit 223 O 1 IO_C12 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_B12 +bit 220 O 1 IO_B12 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_A12 +bit 217 O 1 IO_A12 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_G13 +bit 214 O 1 IO_G13 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_F13 +bit 211 O 1 IO_F13 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_E13 +bit 208 O 1 IO_E13 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_D13 +bit 205 O 1 IO_D13 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_C13 +bit 202 O 1 IO_C13 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_B13 +bit 199 O 1 IO_B13 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_A13 +bit 196 O 1 IO_A13 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_A14 +bit 193 O 1 IO_A14 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_B14 +bit 190 O 1 IO_B14 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_C14 +bit 187 O 1 IO_C14 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_D14 +bit 184 O 1 IO_D14 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_E14 +bit 181 O 1 IO_E14 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_F14 +bit 178 O 1 IO_F14 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_G14 +bit 175 O 1 IO_G14 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_H14 +bit 172 O 1 IO_H14 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_A15 +bit 169 O 1 IO_A15 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_B15 +bit 166 O 1 IO_B15 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C15 +bit 163 O 1 IO_C15 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_E15 +bit 160 O 1 IO_E15 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_F15 +bit 157 O 1 IO_F15 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_G15 +bit 154 O 1 IO_G15 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_H15 +bit 151 O 1 IO_H15 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_D16 +bit 148 O 1 IO_D16 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_A16 +bit 145 O 1 IO_A16 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_B16 +bit 142 O 1 IO_B16 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_E16 +bit 139 O 1 IO_E16 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_F16 +bit 136 O 1 IO_F16 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_G16 +bit 130 O 1 IO_G16 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_H16 +bit 127 O 1 IO_H16 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_A17 +bit 124 O 1 IO_A17 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_B17 +bit 121 O 1 IO_B17 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_C17 +bit 118 O 1 IO_C17 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_D17 +bit 115 O 1 IO_D17 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_E17 +bit 112 O 1 IO_E17 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_F17 +bit 109 O 1 IO_F17 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_G17 +bit 106 O 1 IO_G17 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 I 1 IO_B18 +bit 100 O 1 IO_B18 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_C18 +bit 97 O 1 IO_C18 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_D18 +bit 94 O 1 IO_D18 93 1 Z +bit 93 C 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 I 1 IO_E18 +bit 88 O 1 IO_E18 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_F18 +bit 85 O 1 IO_F18 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_G18 +bit 82 O 1 IO_G18 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_A19 +bit 79 O 1 IO_A19 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_B19 +bit 76 O 1 IO_B19 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_C19 +bit 70 O 1 IO_C19 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D19 +bit 67 O 1 IO_D19 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_E19 +bit 64 O 1 IO_E19 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_F19 +bit 61 O 1 IO_F19 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_G19 +bit 58 O 1 IO_G19 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A20 +bit 55 O 1 IO_A20 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B20 +bit 52 O 1 IO_B20 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_D20 +bit 49 O 1 IO_D20 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_E20 +bit 46 O 1 IO_E20 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_F20 +bit 43 O 1 IO_F20 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_A21 +bit 40 O 1 IO_A21 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_B21 +bit 37 O 1 IO_B21 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_C21 +bit 34 O 1 IO_C21 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_D21 +bit 31 O 1 IO_D21 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_A22 +bit 28 O 1 IO_A22 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B22 +bit 25 O 1 IO_B22 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C22 +bit 22 O 1 IO_C22 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_E21 +bit 19 O 1 IO_E21 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_F21 +bit 16 O 1 IO_F21 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_A23 +bit 13 O 1 IO_A23 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_B23 +bit 10 O 1 IO_B23 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_C23 +bit 7 O 1 IO_C23 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_D22 +bit 4 O 1 IO_D22 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_E22 +bit 1 O 1 IO_E22 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/STEPPINGS new file mode 100644 index 0000000..68abc7f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s200 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200 new file mode 100644 index 0000000..eef50d3 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200 @@ -0,0 +1,941 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal NC1 +signal NC2 +signal NC3 +signal NC4 +signal NC5 +signal NC6 +signal NC7 +signal NC8 +signal NC9 +signal NC10 +signal CCLK_PAD208 +signal DONE_PAD207 +signal HSWAP_EN_PAD41 +signal M0_PAD125 +signal M1_PAD124 +signal M2_PAD126 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD6 +signal IO_PAD8 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD12 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD16 +signal IO_PAD18 +signal IO_PAD20 +signal IO_PAD22 +signal IO_PAD24 +signal IO_PAD25 +signal IO_PAD28 +signal IO_PAD30 +signal IO_PAD31 +signal IO_PAD32 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD38 +signal IO_PAD39 +signal IO_PAD40 +signal IO_PAD47 +signal IO_PAD48 +signal IO_PAD50 +signal IO_PAD52 +signal IO_PAD53 +signal IO_PAD54 +signal IO_PAD56 +signal IO_PAD58 +signal IO_PAD59 +signal IO_PAD60 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD64 +signal IO_PAD66 +signal IO_PAD68 +signal IO_PAD70 +signal IO_PAD71 +signal IO_PAD72 +signal IO_PAD74 +signal IO_PAD75 +signal IO_PAD76 +signal IO_PAD78 +signal IO_PAD80 +signal IO_PAD82 +signal IO_PAD85 +signal IO_PAD87 +signal IO_PAD89 +signal IO_PAD91 +signal IO_PAD92 +signal IO_PAD93 +signal IO_PAD95 +signal IO_PAD96 +signal IO_PAD97 +signal IO_PAD99 +signal IO_PAD101 +signal IO_PAD103 +signal IO_PAD105 +signal IO_PAD106 +signal IO_PAD107 +signal IO_PAD108 +signal IO_PAD109 +signal IO_PAD111 +signal IO_PAD113 +signal IO_PAD114 +signal IO_PAD115 +signal IO_PAD117 +signal IO_PAD119 +signal IO_PAD120 +signal IO_PAD127 +signal IO_PAD128 +signal IO_PAD131 +signal IO_PAD133 +signal IO_PAD134 +signal IO_PAD135 +signal IO_PAD136 +signal IO_PAD137 +signal IO_PAD139 +signal IO_PAD142 +signal IO_PAD143 +signal IO_PAD145 +signal IO_PAD147 +signal IO_PAD149 +signal IO_PAD151 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD157 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD161 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD165 +signal IO_PAD169 +signal IO_PAD170 +signal IO_PAD171 +signal IO_PAD173 +signal IO_PAD175 +signal IO_PAD176 +signal IO_PAD177 +signal IO_PAD179 +signal IO_PAD180 +signal IO_PAD181 +signal IO_PAD183 +signal IO_PAD185 +signal IO_PAD187 +signal IO_PAD189 +signal IO_PAD191 +signal IO_PAD192 +signal IO_PAD195 +signal IO_PAD197 +signal IO_PAD198 +signal IO_PAD199 +signal IO_PAD200 +signal IO_PAD201 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD206 +signal IO_PAD212 +signal IO_PAD213 +signal IO_PAD215 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD219 +signal IO_PAD221 +signal IO_PAD223 +signal IO_PAD224 +signal IO_PAD225 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD229 +signal IO_PAD231 +signal IO_PAD233 +signal IO_PAD235 +signal IO_PAD236 +signal IO_PAD237 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD241 +signal IO_PAD243 +signal IO_PAD245 +signal IO_PAD247 +signal IO_PAD250 +signal IO_PAD252 +signal IO_PAD254 +signal IO_PAD256 +signal IO_PAD257 +signal IO_PAD258 +signal IO_PAD260 +signal IO_PAD261 +signal IO_PAD262 +signal IO_PAD264 +signal IO_PAD266 +signal IO_PAD268 +signal IO_PAD270 +signal IO_PAD271 +signal IO_PAD272 +signal IO_PAD273 +signal IO_PAD274 +signal IO_PAD276 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD280 +signal IO_PAD282 +signal IO_PAD284 +signal IO_PAD285 +signal IO_PAD292 +signal IO_PAD293 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD299 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD302 +signal IO_PAD304 +signal IO_PAD307 +signal IO_PAD308 +signal IO_PAD310 +signal IO_PAD312 +signal IO_PAD314 +signal IO_PAD316 +signal IO_PAD318 +signal IO_PAD319 +signal IO_PAD320 +signal IO_PAD322 +signal IO_PAD323 +signal IO_PAD324 +signal IO_PAD326 +signal IO_PAD328 +signal IO_PAD329 + +register BSR 599 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 598 I 1 IO_PAD285 +bit 597 O 1 IO_PAD285 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD284 +bit 594 O 1 IO_PAD284 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD282 +bit 591 O 1 IO_PAD282 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_PAD280 +bit 588 O 1 IO_PAD280 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_PAD279 +bit 585 O 1 IO_PAD279 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_PAD278 +bit 582 O 1 IO_PAD278 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_PAD276 +bit 579 O 1 IO_PAD276 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD274 +bit 576 O 1 IO_PAD274 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD273 +bit 573 O 1 IO_PAD273 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD272 +bit 570 O 1 IO_PAD272 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD271 +bit 567 O 1 IO_PAD271 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_PAD270 +bit 564 O 1 IO_PAD270 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_PAD268 +bit 561 O 1 IO_PAD268 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_PAD266 +bit 558 O 1 IO_PAD266 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD264 +bit 555 O 1 IO_PAD264 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD262 +bit 552 O 1 IO_PAD262 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD261 +bit 549 O 1 IO_PAD261 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD260 +bit 546 O 1 IO_PAD260 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD258 +bit 543 O 1 IO_PAD258 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_PAD257 +bit 540 O 1 IO_PAD257 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_PAD256 +bit 537 O 1 IO_PAD256 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_PAD254 +bit 534 O 1 IO_PAD254 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_PAD252 +bit 531 O 1 IO_PAD252 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_PAD250 +bit 528 O 1 IO_PAD250 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_PAD247 +bit 525 O 1 IO_PAD247 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_PAD245 +bit 522 O 1 IO_PAD245 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_PAD243 +bit 519 O 1 IO_PAD243 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_PAD241 +bit 516 O 1 IO_PAD241 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_PAD240 +bit 513 O 1 IO_PAD240 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_PAD239 +bit 510 O 1 IO_PAD239 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_PAD237 +bit 507 O 1 IO_PAD237 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_PAD236 +bit 504 O 1 IO_PAD236 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_PAD235 +bit 501 O 1 IO_PAD235 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_PAD233 +bit 498 O 1 IO_PAD233 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_PAD231 +bit 495 O 1 IO_PAD231 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_PAD229 +bit 492 O 1 IO_PAD229 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_PAD227 +bit 489 O 1 IO_PAD227 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_PAD226 +bit 486 O 1 IO_PAD226 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_PAD225 +bit 483 O 1 IO_PAD225 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_PAD224 +bit 480 O 1 IO_PAD224 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_PAD223 +bit 477 O 1 IO_PAD223 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_PAD221 +bit 474 O 1 IO_PAD221 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_PAD219 +bit 471 O 1 IO_PAD219 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_PAD218 +bit 468 O 1 IO_PAD218 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_PAD217 +bit 465 O 1 IO_PAD217 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_PAD215 +bit 462 O 1 IO_PAD215 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_PAD213 +bit 459 O 1 IO_PAD213 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_PAD212 +bit 456 O 1 IO_PAD212 455 1 Z +bit 455 C 1 * +bit 454 I 1 CCLK_PAD208 +bit 453 O 1 CCLK_PAD208 452 1 Z +bit 452 C 1 * +bit 451 I 1 DONE_PAD207 +bit 450 O 1 DONE_PAD207 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_PAD206 +bit 447 O 1 IO_PAD206 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_PAD205 +bit 444 O 1 IO_PAD205 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_PAD204 +bit 441 O 1 IO_PAD204 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_PAD201 +bit 438 O 1 IO_PAD201 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_PAD200 +bit 435 O 1 IO_PAD200 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_PAD199 +bit 432 O 1 IO_PAD199 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_PAD198 +bit 429 O 1 IO_PAD198 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_PAD197 +bit 426 O 1 IO_PAD197 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_PAD195 +bit 423 O 1 IO_PAD195 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_PAD192 +bit 420 O 1 IO_PAD192 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_PAD191 +bit 417 O 1 IO_PAD191 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_PAD189 +bit 414 O 1 IO_PAD189 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_PAD187 +bit 411 O 1 IO_PAD187 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_PAD185 +bit 408 O 1 IO_PAD185 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_PAD183 +bit 405 O 1 IO_PAD183 404 1 Z +bit 404 C 1 * +bit 403 I 1 IO_PAD181 +bit 402 O 1 IO_PAD181 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_PAD180 +bit 399 O 1 IO_PAD180 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_PAD179 +bit 396 O 1 IO_PAD179 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_PAD177 +bit 393 O 1 IO_PAD177 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_PAD176 +bit 390 O 1 IO_PAD176 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_PAD175 +bit 387 O 1 IO_PAD175 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_PAD173 +bit 384 O 1 IO_PAD173 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_PAD171 +bit 381 O 1 IO_PAD171 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_PAD170 +bit 378 O 1 IO_PAD170 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_PAD169 +bit 375 O 1 IO_PAD169 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_PAD165 +bit 372 O 1 IO_PAD165 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_PAD164 +bit 369 O 1 IO_PAD164 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_PAD163 +bit 366 O 1 IO_PAD163 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_PAD161 +bit 363 O 1 IO_PAD161 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_PAD159 +bit 360 O 1 IO_PAD159 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_PAD158 +bit 357 O 1 IO_PAD158 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_PAD157 +bit 354 O 1 IO_PAD157 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_PAD155 +bit 351 O 1 IO_PAD155 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_PAD154 +bit 348 O 1 IO_PAD154 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_PAD153 +bit 345 O 1 IO_PAD153 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_PAD151 +bit 342 O 1 IO_PAD151 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_PAD149 +bit 339 O 1 IO_PAD149 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_PAD147 +bit 336 O 1 IO_PAD147 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_PAD145 +bit 333 O 1 IO_PAD145 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_PAD143 +bit 330 O 1 IO_PAD143 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_PAD142 +bit 327 O 1 IO_PAD142 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_PAD139 +bit 324 O 1 IO_PAD139 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_PAD137 +bit 321 O 1 IO_PAD137 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_PAD136 +bit 318 O 1 IO_PAD136 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_PAD135 +bit 315 O 1 IO_PAD135 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_PAD134 +bit 312 O 1 IO_PAD134 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_PAD133 +bit 309 O 1 IO_PAD133 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_PAD131 +bit 306 O 1 IO_PAD131 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_PAD128 +bit 303 O 1 IO_PAD128 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_PAD127 +bit 300 O 1 IO_PAD127 299 1 Z +bit 299 C 1 * +bit 298 I 1 M2_PAD126 +bit 297 I 1 M0_PAD125 +bit 296 I 1 M1_PAD124 +bit 295 I 1 IO_PAD120 +bit 294 O 1 IO_PAD120 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_PAD119 +bit 291 O 1 IO_PAD119 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_PAD117 +bit 288 O 1 IO_PAD117 287 1 Z +bit 287 C 1 * +bit 286 I 1 IO_PAD115 +bit 285 O 1 IO_PAD115 284 1 Z +bit 284 C 1 * +bit 283 I 1 IO_PAD114 +bit 282 O 1 IO_PAD114 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_PAD113 +bit 279 O 1 IO_PAD113 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_PAD111 +bit 276 O 1 IO_PAD111 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_PAD109 +bit 273 O 1 IO_PAD109 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_PAD108 +bit 270 O 1 IO_PAD108 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_PAD107 +bit 267 O 1 IO_PAD107 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_PAD106 +bit 264 O 1 IO_PAD106 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_PAD105 +bit 261 O 1 IO_PAD105 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_PAD103 +bit 258 O 1 IO_PAD103 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_PAD101 +bit 255 O 1 IO_PAD101 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_PAD99 +bit 252 O 1 IO_PAD99 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_PAD97 +bit 249 O 1 IO_PAD97 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_PAD96 +bit 246 O 1 IO_PAD96 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_PAD95 +bit 243 O 1 IO_PAD95 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_PAD93 +bit 240 O 1 IO_PAD93 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_PAD92 +bit 237 O 1 IO_PAD92 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_PAD91 +bit 234 O 1 IO_PAD91 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_PAD89 +bit 231 O 1 IO_PAD89 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_PAD87 +bit 228 O 1 IO_PAD87 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_PAD85 +bit 225 O 1 IO_PAD85 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_PAD82 +bit 222 O 1 IO_PAD82 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_PAD80 +bit 219 O 1 IO_PAD80 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_PAD78 +bit 216 O 1 IO_PAD78 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_PAD76 +bit 213 O 1 IO_PAD76 212 1 Z +bit 212 C 1 * +bit 211 I 1 IO_PAD75 +bit 210 O 1 IO_PAD75 209 1 Z +bit 209 C 1 * +bit 208 I 1 IO_PAD74 +bit 207 O 1 IO_PAD74 206 1 Z +bit 206 C 1 * +bit 205 I 1 IO_PAD72 +bit 204 O 1 IO_PAD72 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_PAD71 +bit 201 O 1 IO_PAD71 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_PAD70 +bit 198 O 1 IO_PAD70 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_PAD68 +bit 195 O 1 IO_PAD68 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_PAD66 +bit 192 O 1 IO_PAD66 191 1 Z +bit 191 C 1 * +bit 190 I 1 IO_PAD64 +bit 189 O 1 IO_PAD64 188 1 Z +bit 188 C 1 * +bit 187 I 1 IO_PAD62 +bit 186 O 1 IO_PAD62 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_PAD61 +bit 183 O 1 IO_PAD61 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_PAD60 +bit 180 O 1 IO_PAD60 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_PAD59 +bit 177 O 1 IO_PAD59 176 1 Z +bit 176 C 1 * +bit 175 I 1 IO_PAD58 +bit 174 O 1 IO_PAD58 173 1 Z +bit 173 C 1 * +bit 172 I 1 IO_PAD56 +bit 171 O 1 IO_PAD56 170 1 Z +bit 170 C 1 * +bit 169 I 1 IO_PAD54 +bit 168 O 1 IO_PAD54 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_PAD53 +bit 165 O 1 IO_PAD53 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_PAD52 +bit 162 O 1 IO_PAD52 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_PAD50 +bit 159 O 1 IO_PAD50 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_PAD48 +bit 156 O 1 IO_PAD48 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_PAD47 +bit 153 O 1 IO_PAD47 152 1 Z +bit 152 C 1 * +bit 151 O 1 * +bit 150 I 1 HSWAP_EN_PAD41 +bit 149 I 1 IO_PAD40 +bit 148 O 1 IO_PAD40 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD39 +bit 145 O 1 IO_PAD39 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD38 +bit 142 O 1 IO_PAD38 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD34 +bit 139 O 1 IO_PAD34 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD33 +bit 136 O 1 IO_PAD33 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD32 +bit 133 O 1 IO_PAD32 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD31 +bit 130 O 1 IO_PAD31 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD30 +bit 127 O 1 IO_PAD30 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD28 +bit 124 O 1 IO_PAD28 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD25 +bit 121 O 1 IO_PAD25 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD24 +bit 118 O 1 IO_PAD24 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD22 +bit 115 O 1 IO_PAD22 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD20 +bit 112 O 1 IO_PAD20 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD18 +bit 109 O 1 IO_PAD18 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD16 +bit 106 O 1 IO_PAD16 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD14 +bit 103 O 1 IO_PAD14 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD13 +bit 100 O 1 IO_PAD13 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD12 +bit 97 O 1 IO_PAD12 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD10 +bit 94 O 1 IO_PAD10 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD9 +bit 91 O 1 IO_PAD9 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD8 +bit 88 O 1 IO_PAD8 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD6 +bit 85 O 1 IO_PAD6 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD4 +bit 82 O 1 IO_PAD4 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD3 +bit 79 O 1 IO_PAD3 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD2 +bit 76 O 1 IO_PAD2 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD330 +bit 73 O 1 IO_PAD330 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD329 +bit 70 O 1 IO_PAD329 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD328 +bit 67 O 1 IO_PAD328 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD326 +bit 64 O 1 IO_PAD326 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD324 +bit 61 O 1 IO_PAD324 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD323 +bit 58 O 1 IO_PAD323 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD322 +bit 55 O 1 IO_PAD322 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD320 +bit 52 O 1 IO_PAD320 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD319 +bit 49 O 1 IO_PAD319 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD318 +bit 46 O 1 IO_PAD318 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD316 +bit 43 O 1 IO_PAD316 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD314 +bit 40 O 1 IO_PAD314 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD312 +bit 37 O 1 IO_PAD312 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD310 +bit 34 O 1 IO_PAD310 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD308 +bit 31 O 1 IO_PAD308 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD307 +bit 28 O 1 IO_PAD307 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD304 +bit 25 O 1 IO_PAD304 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD302 +bit 22 O 1 IO_PAD302 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD301 +bit 19 O 1 IO_PAD301 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD300 +bit 16 O 1 IO_PAD300 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD299 +bit 13 O 1 IO_PAD299 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD298 +bit 10 O 1 IO_PAD298 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD296 +bit 7 O 1 IO_PAD296 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD293 +bit 4 O 1 IO_PAD293 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD292 +bit 1 O 1 IO_PAD292 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_ft256 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_ft256 new file mode 100644 index 0000000..7ff73ba --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_ft256 @@ -0,0 +1,866 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal CCLK_T15 +signal DONE_R14 +signal HSWAP_EN_C4 +signal M0_P3 +signal M1_T2 +signal M2_P4 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_B1 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B16 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C15 +signal IO_C16 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E6 +signal IO_E7 +signal IO_E10 +signal IO_E11 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_H1 +signal IO_H3 +signal IO_H4 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_J1 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J13 +signal IO_J14 +signal IO_J16 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M6 +signal IO_M7 +signal IO_M10 +signal IO_M11 +signal IO_M13 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N11 +signal IO_N12 +signal IO_N14 +signal IO_N15 +signal IO_N16 +signal IO_P1 +signal IO_P2 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P16 +signal IO_R1 +signal IO_R3 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R12 +signal IO_R13 +signal IO_R16 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T12 +signal IO_T13 + +register BSR 599 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 598 I 1 IO_B16 +bit 597 O 1 IO_B16 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_C16 +bit 594 O 1 IO_C16 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_C15 +bit 591 O 1 IO_C15 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_D14 +bit 588 O 1 IO_D14 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_D15 +bit 585 O 1 IO_D15 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_D16 +bit 582 O 1 IO_D16 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_E13 +bit 579 O 1 IO_E13 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_E14 +bit 576 O 1 IO_E14 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_E15 +bit 573 O 1 IO_E15 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_E16 +bit 570 O 1 IO_E16 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_F12 +bit 567 O 1 IO_F12 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_F13 +bit 564 O 1 IO_F13 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_F14 +bit 561 O 1 IO_F14 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_F15 +bit 558 O 1 IO_F15 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_G12 +bit 555 O 1 IO_G12 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_G13 +bit 552 O 1 IO_G13 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_G14 +bit 549 O 1 IO_G14 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_G15 +bit 546 O 1 IO_G15 545 1 Z +bit 545 C 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 I 1 IO_G16 +bit 540 O 1 IO_G16 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_H13 +bit 537 O 1 IO_H13 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_H14 +bit 534 O 1 IO_H14 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_H15 +bit 531 O 1 IO_H15 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_H16 +bit 528 O 1 IO_H16 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_J16 +bit 525 O 1 IO_J16 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_K16 +bit 522 O 1 IO_K16 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_J14 +bit 519 O 1 IO_J14 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_J13 +bit 516 O 1 IO_J13 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_K15 +bit 513 O 1 IO_K15 512 1 Z +bit 512 C 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 I 1 IO_K14 +bit 507 O 1 IO_K14 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_K13 +bit 504 O 1 IO_K13 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_K12 +bit 501 O 1 IO_K12 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_L12 +bit 498 O 1 IO_L12 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_L15 +bit 495 O 1 IO_L15 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_L14 +bit 492 O 1 IO_L14 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_L13 +bit 489 O 1 IO_L13 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_M13 +bit 486 O 1 IO_M13 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_M16 +bit 483 O 1 IO_M16 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_M15 +bit 480 O 1 IO_M15 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_M14 +bit 477 O 1 IO_M14 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_N14 +bit 474 O 1 IO_N14 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_N16 +bit 471 O 1 IO_N16 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_N15 +bit 468 O 1 IO_N15 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_P15 +bit 465 O 1 IO_P15 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_P14 +bit 462 O 1 IO_P14 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_P16 +bit 459 O 1 IO_P16 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_R16 +bit 456 O 1 IO_R16 455 1 Z +bit 455 C 1 * +bit 454 I 1 CCLK_T15 +bit 453 O 1 CCLK_T15 452 1 Z +bit 452 C 1 * +bit 451 I 1 DONE_R14 +bit 450 O 1 DONE_R14 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_P13 +bit 447 O 1 IO_P13 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_R13 +bit 444 O 1 IO_R13 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_T13 +bit 441 O 1 IO_T13 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_T14 +bit 438 O 1 IO_T14 437 1 Z +bit 437 C 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 I 1 IO_N12 +bit 432 O 1 IO_N12 431 1 Z +bit 431 C 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 I 1 IO_P12 +bit 423 O 1 IO_P12 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_R12 +bit 420 O 1 IO_R12 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_T12 +bit 417 O 1 IO_T12 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_M11 +bit 414 O 1 IO_M11 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_N11 +bit 411 O 1 IO_N11 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_P11 +bit 408 O 1 IO_P11 407 1 Z +bit 407 C 1 * +bit 406 I 1 IO_R11 +bit 405 O 1 IO_R11 404 1 Z +bit 404 C 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 I 1 IO_M10 +bit 399 O 1 IO_M10 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_N10 +bit 396 O 1 IO_N10 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_P10 +bit 393 O 1 IO_P10 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_R10 +bit 390 O 1 IO_R10 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_T10 +bit 387 O 1 IO_T10 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_N9 +bit 384 O 1 IO_N9 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_P9 +bit 381 O 1 IO_P9 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_R9 +bit 378 O 1 IO_R9 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_T9 +bit 375 O 1 IO_T9 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_T8 +bit 372 O 1 IO_T8 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_P8 +bit 369 O 1 IO_P8 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_N8 +bit 366 O 1 IO_N8 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_T7 +bit 363 O 1 IO_T7 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_R7 +bit 360 O 1 IO_R7 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_P7 +bit 357 O 1 IO_P7 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_N7 +bit 354 O 1 IO_N7 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_M7 +bit 351 O 1 IO_M7 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_R6 +bit 348 O 1 IO_R6 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_P6 +bit 345 O 1 IO_P6 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_N5 +bit 342 O 1 IO_N5 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_N6 +bit 339 O 1 IO_N6 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_M6 +bit 336 O 1 IO_M6 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_R5 +bit 333 O 1 IO_R5 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_P5 +bit 330 O 1 IO_P5 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_T5 +bit 327 O 1 IO_T5 326 1 Z +bit 326 C 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 I 1 IO_T4 +bit 309 O 1 IO_T4 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_R4 +bit 306 O 1 IO_R4 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_T3 +bit 303 O 1 IO_T3 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_R3 +bit 300 O 1 IO_R3 299 1 Z +bit 299 C 1 * +bit 298 I 1 M2_P4 +bit 297 I 1 M0_P3 +bit 296 I 1 M1_T2 +bit 295 I 1 IO_R1 +bit 294 O 1 IO_R1 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_P1 +bit 291 O 1 IO_P1 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_P2 +bit 288 O 1 IO_P2 287 1 Z +bit 287 C 1 * +bit 286 I 1 IO_N3 +bit 285 O 1 IO_N3 284 1 Z +bit 284 C 1 * +bit 283 I 1 IO_N2 +bit 282 O 1 IO_N2 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_N1 +bit 279 O 1 IO_N1 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_M4 +bit 276 O 1 IO_M4 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_M3 +bit 273 O 1 IO_M3 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_M2 +bit 270 O 1 IO_M2 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_M1 +bit 267 O 1 IO_M1 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_L5 +bit 264 O 1 IO_L5 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_L4 +bit 261 O 1 IO_L4 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_L3 +bit 258 O 1 IO_L3 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_L2 +bit 255 O 1 IO_L2 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_K5 +bit 252 O 1 IO_K5 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_K4 +bit 249 O 1 IO_K4 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_K3 +bit 246 O 1 IO_K3 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_K2 +bit 243 O 1 IO_K2 242 1 Z +bit 242 C 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 O 1 * +bit 238 I 1 IO_K1 +bit 237 O 1 IO_K1 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_J4 +bit 234 O 1 IO_J4 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_J3 +bit 231 O 1 IO_J3 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_J2 +bit 228 O 1 IO_J2 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_J1 +bit 225 O 1 IO_J1 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_H1 +bit 222 O 1 IO_H1 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_G1 +bit 219 O 1 IO_G1 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_H3 +bit 216 O 1 IO_H3 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_H4 +bit 213 O 1 IO_H4 212 1 Z +bit 212 C 1 * +bit 211 I 1 IO_G2 +bit 210 O 1 IO_G2 209 1 Z +bit 209 C 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 I 1 IO_G3 +bit 204 O 1 IO_G3 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_G4 +bit 201 O 1 IO_G4 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_G5 +bit 198 O 1 IO_G5 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_F5 +bit 195 O 1 IO_F5 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_F2 +bit 192 O 1 IO_F2 191 1 Z +bit 191 C 1 * +bit 190 I 1 IO_F3 +bit 189 O 1 IO_F3 188 1 Z +bit 188 C 1 * +bit 187 I 1 IO_F4 +bit 186 O 1 IO_F4 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_E4 +bit 183 O 1 IO_E4 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_E1 +bit 180 O 1 IO_E1 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_E2 +bit 177 O 1 IO_E2 176 1 Z +bit 176 C 1 * +bit 175 I 1 IO_E3 +bit 174 O 1 IO_E3 173 1 Z +bit 173 C 1 * +bit 172 I 1 IO_D3 +bit 171 O 1 IO_D3 170 1 Z +bit 170 C 1 * +bit 169 I 1 IO_D1 +bit 168 O 1 IO_D1 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_D2 +bit 165 O 1 IO_D2 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_C2 +bit 162 O 1 IO_C2 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_C3 +bit 159 O 1 IO_C3 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_C1 +bit 156 O 1 IO_C1 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_B1 +bit 153 O 1 IO_B1 152 1 Z +bit 152 C 1 * +bit 151 O 1 * +bit 150 I 1 HSWAP_EN_C4 +bit 149 I 1 IO_A3 +bit 148 O 1 IO_A3 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_B4 +bit 145 O 1 IO_B4 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_A4 +bit 142 O 1 IO_A4 141 1 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 I 1 IO_D5 +bit 133 O 1 IO_D5 132 1 Z +bit 132 C 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 I 1 IO_C5 +bit 124 O 1 IO_C5 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_B5 +bit 121 O 1 IO_B5 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_A5 +bit 118 O 1 IO_A5 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_E6 +bit 115 O 1 IO_E6 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_D6 +bit 112 O 1 IO_D6 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_C6 +bit 109 O 1 IO_C6 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B6 +bit 106 O 1 IO_B6 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 I 1 IO_E7 +bit 100 O 1 IO_E7 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_D7 +bit 97 O 1 IO_D7 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_C7 +bit 94 O 1 IO_C7 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_B7 +bit 91 O 1 IO_B7 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_A7 +bit 88 O 1 IO_A7 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_D8 +bit 85 O 1 IO_D8 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_C8 +bit 82 O 1 IO_C8 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_B8 +bit 79 O 1 IO_B8 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_A8 +bit 76 O 1 IO_A8 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_A9 +bit 73 O 1 IO_A9 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_C9 +bit 70 O 1 IO_C9 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D9 +bit 67 O 1 IO_D9 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_A10 +bit 64 O 1 IO_A10 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_B10 +bit 61 O 1 IO_B10 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_C10 +bit 58 O 1 IO_C10 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_D10 +bit 55 O 1 IO_D10 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_E10 +bit 52 O 1 IO_E10 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_B11 +bit 49 O 1 IO_B11 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_C11 +bit 46 O 1 IO_C11 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_D12 +bit 43 O 1 IO_D12 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_D11 +bit 40 O 1 IO_D11 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_E11 +bit 37 O 1 IO_E11 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_B12 +bit 34 O 1 IO_B12 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_C12 +bit 31 O 1 IO_C12 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_A12 +bit 28 O 1 IO_A12 27 1 Z +bit 27 C 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_A13 +bit 10 O 1 IO_A13 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_B13 +bit 7 O 1 IO_B13 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A14 +bit 4 O 1 IO_A14 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B14 +bit 1 O 1 IO_B14 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_pq208 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_pq208 new file mode 100644 index 0000000..d245c0d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_pq208 @@ -0,0 +1,818 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal CCLK_P104 +signal DONE_P103 +signal HSWAP_EN_P206 +signal M0_P55 +signal M1_P54 +signal M2_P56 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO01 +signal VCCO02 +signal VCCO11 +signal VCCO12 +signal VCCO21 +signal VCCO22 +signal VCCO31 +signal VCCO32 +signal VCCO41 +signal VCCO42 +signal VCCO51 +signal VCCO52 +signal VCCO61 +signal VCCO62 +signal VCCO71 +signal VCCO72 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P15 +signal IO_P16 +signal IO_P18 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P24 +signal IO_P26 +signal IO_P27 +signal IO_P28 +signal IO_P29 +signal IO_P31 +signal IO_P33 +signal IO_P34 +signal IO_P35 +signal IO_P36 +signal IO_P37 +signal IO_P39 +signal IO_P40 +signal IO_P42 +signal IO_P43 +signal IO_P44 +signal IO_P45 +signal IO_P46 +signal IO_P48 +signal IO_P50 +signal IO_P51 +signal IO_P52 +signal IO_P57 +signal IO_P58 +signal IO_P61 +signal IO_P62 +signal IO_P63 +signal IO_P64 +signal IO_P65 +signal IO_P67 +signal IO_P68 +signal IO_P71 +signal IO_P72 +signal IO_P74 +signal IO_P76 +signal IO_P77 +signal IO_P78 +signal IO_P79 +signal IO_P80 +signal IO_P81 +signal IO_P83 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P90 +signal IO_P92 +signal IO_P93 +signal IO_P94 +signal IO_P95 +signal IO_P96 +signal IO_P97 +signal IO_P100 +signal IO_P101 +signal IO_P102 +signal IO_P106 +signal IO_P107 +signal IO_P108 +signal IO_P109 +signal IO_P111 +signal IO_P113 +signal IO_P114 +signal IO_P115 +signal IO_P116 +signal IO_P117 +signal IO_P119 +signal IO_P120 +signal IO_P122 +signal IO_P123 +signal IO_P124 +signal IO_P125 +signal IO_P126 +signal IO_P128 +signal IO_P130 +signal IO_P131 +signal IO_P132 +signal IO_P133 +signal IO_P135 +signal IO_P137 +signal IO_P138 +signal IO_P139 +signal IO_P140 +signal IO_P141 +signal IO_P143 +signal IO_P144 +signal IO_P146 +signal IO_P147 +signal IO_P148 +signal IO_P149 +signal IO_P150 +signal IO_P152 +signal IO_P154 +signal IO_P155 +signal IO_P156 +signal IO_P161 +signal IO_P162 +signal IO_P165 +signal IO_P166 +signal IO_P167 +signal IO_P168 +signal IO_P169 +signal IO_P171 +signal IO_P172 +signal IO_P175 +signal IO_P176 +signal IO_P178 +signal IO_P180 +signal IO_P181 +signal IO_P182 +signal IO_P183 +signal IO_P184 +signal IO_P185 +signal IO_P187 +signal IO_P189 +signal IO_P190 +signal IO_P191 +signal IO_P194 +signal IO_P196 +signal IO_P197 +signal IO_P198 +signal IO_P199 +signal IO_P200 +signal IO_P203 +signal IO_P204 + +register BSR 599 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 598 I 1 IO_P156 +bit 597 O 1 IO_P156 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_P155 +bit 594 O 1 IO_P155 593 1 Z +bit 593 C 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_P154 +bit 582 O 1 IO_P154 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_P152 +bit 579 O 1 IO_P152 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_P150 +bit 576 O 1 IO_P150 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_P149 +bit 573 O 1 IO_P149 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_P148 +bit 570 O 1 IO_P148 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_P147 +bit 567 O 1 IO_P147 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_P146 +bit 564 O 1 IO_P146 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_P144 +bit 561 O 1 IO_P144 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_P143 +bit 558 O 1 IO_P143 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_P141 +bit 555 O 1 IO_P141 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_P140 +bit 552 O 1 IO_P140 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_P139 +bit 549 O 1 IO_P139 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_P138 +bit 546 O 1 IO_P138 545 1 Z +bit 545 C 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 I 1 IO_P137 +bit 537 O 1 IO_P137 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_P135 +bit 534 O 1 IO_P135 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_P133 +bit 531 O 1 IO_P133 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_P132 +bit 528 O 1 IO_P132 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_P131 +bit 525 O 1 IO_P131 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_P130 +bit 522 O 1 IO_P130 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_P128 +bit 519 O 1 IO_P128 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_P126 +bit 516 O 1 IO_P126 515 1 Z +bit 515 C 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 I 1 IO_P125 +bit 507 O 1 IO_P125 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_P124 +bit 504 O 1 IO_P124 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_P123 +bit 501 O 1 IO_P123 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_P122 +bit 498 O 1 IO_P122 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_P120 +bit 495 O 1 IO_P120 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_P119 +bit 492 O 1 IO_P119 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_P117 +bit 489 O 1 IO_P117 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_P116 +bit 486 O 1 IO_P116 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_P115 +bit 483 O 1 IO_P115 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_P114 +bit 480 O 1 IO_P114 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_P113 +bit 477 O 1 IO_P113 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_P111 +bit 474 O 1 IO_P111 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_P109 +bit 471 O 1 IO_P109 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_P108 +bit 468 O 1 IO_P108 467 1 Z +bit 467 C 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 I 1 IO_P107 +bit 459 O 1 IO_P107 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_P106 +bit 456 O 1 IO_P106 455 1 Z +bit 455 C 1 * +bit 454 I 1 CCLK_P104 +bit 453 O 1 CCLK_P104 452 1 Z +bit 452 C 1 * +bit 451 I 1 DONE_P103 +bit 450 O 1 DONE_P103 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_P102 +bit 447 O 1 IO_P102 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_P101 +bit 444 O 1 IO_P101 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_P100 +bit 441 O 1 IO_P100 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_P97 +bit 438 O 1 IO_P97 437 1 Z +bit 437 C 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 I 1 IO_P96 +bit 432 O 1 IO_P96 431 1 Z +bit 431 C 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 I 1 IO_P95 +bit 423 O 1 IO_P95 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_P94 +bit 420 O 1 IO_P94 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_P93 +bit 417 O 1 IO_P93 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_P92 +bit 414 O 1 IO_P92 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_P90 +bit 411 O 1 IO_P90 410 1 Z +bit 410 C 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 IO_P87 +bit 393 O 1 IO_P87 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_P86 +bit 390 O 1 IO_P86 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_P85 +bit 387 O 1 IO_P85 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_P83 +bit 384 O 1 IO_P83 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_P81 +bit 381 O 1 IO_P81 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P80 +bit 378 O 1 IO_P80 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_P79 +bit 375 O 1 IO_P79 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_P78 +bit 372 O 1 IO_P78 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_P77 +bit 369 O 1 IO_P77 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_P76 +bit 366 O 1 IO_P76 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P74 +bit 363 O 1 IO_P74 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P72 +bit 360 O 1 IO_P72 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_P71 +bit 357 O 1 IO_P71 356 1 Z +bit 356 C 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 I 1 IO_P68 +bit 339 O 1 IO_P68 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_P67 +bit 336 O 1 IO_P67 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_P65 +bit 333 O 1 IO_P65 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_P64 +bit 330 O 1 IO_P64 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_P63 +bit 327 O 1 IO_P63 326 1 Z +bit 326 C 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 I 1 IO_P62 +bit 309 O 1 IO_P62 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_P61 +bit 306 O 1 IO_P61 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_P58 +bit 303 O 1 IO_P58 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_P57 +bit 300 O 1 IO_P57 299 1 Z +bit 299 C 1 * +bit 298 I 1 M2_P56 +bit 297 I 1 M0_P55 +bit 296 I 1 M1_P54 +bit 295 I 1 IO_P52 +bit 294 O 1 IO_P52 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_P51 +bit 291 O 1 IO_P51 290 1 Z +bit 290 C 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 I 1 IO_P50 +bit 279 O 1 IO_P50 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_P48 +bit 276 O 1 IO_P48 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_P46 +bit 273 O 1 IO_P46 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_P45 +bit 270 O 1 IO_P45 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_P44 +bit 267 O 1 IO_P44 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_P43 +bit 264 O 1 IO_P43 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_P42 +bit 261 O 1 IO_P42 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_P40 +bit 258 O 1 IO_P40 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_P39 +bit 255 O 1 IO_P39 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P37 +bit 252 O 1 IO_P37 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_P36 +bit 249 O 1 IO_P36 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_P35 +bit 246 O 1 IO_P35 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P34 +bit 243 O 1 IO_P34 242 1 Z +bit 242 C 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 O 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 O 1 * +bit 235 I 1 IO_P33 +bit 234 O 1 IO_P33 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_P31 +bit 231 O 1 IO_P31 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_P29 +bit 228 O 1 IO_P29 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_P28 +bit 225 O 1 IO_P28 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_P27 +bit 222 O 1 IO_P27 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_P26 +bit 219 O 1 IO_P26 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_P24 +bit 216 O 1 IO_P24 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_P22 +bit 213 O 1 IO_P22 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 I 1 IO_P21 +bit 204 O 1 IO_P21 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_P20 +bit 201 O 1 IO_P20 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_P19 +bit 198 O 1 IO_P19 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_P18 +bit 195 O 1 IO_P18 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_P16 +bit 192 O 1 IO_P16 191 1 Z +bit 191 C 1 * +bit 190 I 1 IO_P15 +bit 189 O 1 IO_P15 188 1 Z +bit 188 C 1 * +bit 187 I 1 IO_P13 +bit 186 O 1 IO_P13 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_P12 +bit 183 O 1 IO_P12 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_P11 +bit 180 O 1 IO_P11 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_P10 +bit 177 O 1 IO_P10 176 1 Z +bit 176 C 1 * +bit 175 I 1 IO_P9 +bit 174 O 1 IO_P9 173 1 Z +bit 173 C 1 * +bit 172 I 1 IO_P7 +bit 171 O 1 IO_P7 170 1 Z +bit 170 C 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 I 1 IO_P5 +bit 162 O 1 IO_P5 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_P4 +bit 159 O 1 IO_P4 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_P3 +bit 156 O 1 IO_P3 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_P2 +bit 153 O 1 IO_P2 152 1 Z +bit 152 C 1 * +bit 151 O 1 * +bit 150 I 1 HSWAP_EN_P206 +bit 149 I 1 IO_P205 +bit 148 O 1 IO_P205 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_P204 +bit 145 O 1 IO_P204 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_P203 +bit 142 O 1 IO_P203 141 1 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 I 1 IO_P200 +bit 133 O 1 IO_P200 132 1 Z +bit 132 C 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 I 1 IO_P199 +bit 124 O 1 IO_P199 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_P198 +bit 121 O 1 IO_P198 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_P197 +bit 118 O 1 IO_P197 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_P196 +bit 115 O 1 IO_P196 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_P194 +bit 112 O 1 IO_P194 111 1 Z +bit 111 C 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 I 1 IO_P191 +bit 94 O 1 IO_P191 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_P190 +bit 91 O 1 IO_P190 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_P189 +bit 88 O 1 IO_P189 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_P187 +bit 85 O 1 IO_P187 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_P185 +bit 82 O 1 IO_P185 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_P184 +bit 79 O 1 IO_P184 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_P183 +bit 76 O 1 IO_P183 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_P182 +bit 73 O 1 IO_P182 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_P181 +bit 70 O 1 IO_P181 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P180 +bit 67 O 1 IO_P180 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P178 +bit 64 O 1 IO_P178 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_P176 +bit 61 O 1 IO_P176 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_P175 +bit 58 O 1 IO_P175 57 1 Z +bit 57 C 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_P172 +bit 40 O 1 IO_P172 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_P171 +bit 37 O 1 IO_P171 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_P169 +bit 34 O 1 IO_P169 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_P168 +bit 31 O 1 IO_P168 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_P167 +bit 28 O 1 IO_P167 27 1 Z +bit 27 C 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_P166 +bit 10 O 1 IO_P166 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_P165 +bit 7 O 1 IO_P165 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_P162 +bit 4 O 1 IO_P162 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P161 +bit 1 O 1 IO_P161 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_tq144 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_tq144 new file mode 100644 index 0000000..ba92635 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_tq144 @@ -0,0 +1,754 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal CCLK_P72 +signal DONE_P71 +signal HSWAP_EN_P142 +signal M0_P38 +signal M1_P37 +signal M2_P39 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO231 +signal VCCO232 +signal VCCO233 +signal VCCO451 +signal VCCO452 +signal VCCO453 +signal VCCO671 +signal VCCO672 +signal VCCO673 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P17 +signal IO_P18 +signal IO_P20 +signal IO_P21 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_P27 +signal IO_P28 +signal IO_P30 +signal IO_P31 +signal IO_P32 +signal IO_P33 +signal IO_P35 +signal IO_P36 +signal IO_P40 +signal IO_P41 +signal IO_P44 +signal IO_P46 +signal IO_P47 +signal IO_P50 +signal IO_P51 +signal IO_P52 +signal IO_P53 +signal IO_P55 +signal IO_P56 +signal IO_P57 +signal IO_P58 +signal IO_P59 +signal IO_P60 +signal IO_P63 +signal IO_P65 +signal IO_P68 +signal IO_P69 +signal IO_P70 +signal IO_P73 +signal IO_P74 +signal IO_P76 +signal IO_P77 +signal IO_P78 +signal IO_P79 +signal IO_P80 +signal IO_P82 +signal IO_P83 +signal IO_P84 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P89 +signal IO_P90 +signal IO_P92 +signal IO_P93 +signal IO_P95 +signal IO_P96 +signal IO_P97 +signal IO_P98 +signal IO_P99 +signal IO_P100 +signal IO_P102 +signal IO_P103 +signal IO_P104 +signal IO_P105 +signal IO_P107 +signal IO_P108 +signal IO_P112 +signal IO_P113 +signal IO_P116 +signal IO_P118 +signal IO_P119 +signal IO_P122 +signal IO_P123 +signal IO_P124 +signal IO_P125 +signal IO_P127 +signal IO_P128 +signal IO_P129 +signal IO_P130 +signal IO_P131 +signal IO_P132 +signal IO_P135 +signal IO_P137 +signal IO_P140 + +register BSR 599 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 598 I 1 IO_P108 +bit 597 O 1 IO_P108 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_P107 +bit 594 O 1 IO_P107 593 1 Z +bit 593 C 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 O 1 * +bit 576 O 1 * +bit 575 O 1 * +bit 574 I 1 IO_P105 +bit 573 O 1 IO_P105 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_P104 +bit 570 O 1 IO_P104 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_P103 +bit 567 O 1 IO_P103 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_P102 +bit 564 O 1 IO_P102 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_P100 +bit 561 O 1 IO_P100 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_P99 +bit 558 O 1 IO_P99 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_P98 +bit 555 O 1 IO_P98 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_P97 +bit 552 O 1 IO_P97 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_P96 +bit 549 O 1 IO_P96 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_P95 +bit 546 O 1 IO_P95 545 1 Z +bit 545 C 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 I 1 IO_P93 +bit 531 O 1 IO_P93 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_P92 +bit 528 O 1 IO_P92 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_P90 +bit 525 O 1 IO_P90 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_P89 +bit 522 O 1 IO_P89 521 1 Z +bit 521 C 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 I 1 IO_P87 +bit 507 O 1 IO_P87 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_P86 +bit 504 O 1 IO_P86 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_P85 +bit 501 O 1 IO_P85 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_P84 +bit 498 O 1 IO_P84 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_P83 +bit 495 O 1 IO_P83 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_P82 +bit 492 O 1 IO_P82 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_P80 +bit 489 O 1 IO_P80 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_P79 +bit 486 O 1 IO_P79 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_P78 +bit 483 O 1 IO_P78 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_P77 +bit 480 O 1 IO_P77 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_P76 +bit 477 O 1 IO_P76 476 1 Z +bit 476 C 1 * +bit 475 O 1 * +bit 474 O 1 * +bit 473 O 1 * +bit 472 O 1 * +bit 471 O 1 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 I 1 IO_P74 +bit 459 O 1 IO_P74 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_P73 +bit 456 O 1 IO_P73 455 1 Z +bit 455 C 1 * +bit 454 I 1 CCLK_P72 +bit 453 O 1 CCLK_P72 452 1 Z +bit 452 C 1 * +bit 451 I 1 DONE_P71 +bit 450 O 1 DONE_P71 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_P70 +bit 447 O 1 IO_P70 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_P69 +bit 444 O 1 IO_P69 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_P68 +bit 441 O 1 IO_P68 440 1 Z +bit 440 C 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 I 1 IO_P65 +bit 414 O 1 IO_P65 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_P63 +bit 411 O 1 IO_P63 410 1 Z +bit 410 C 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 IO_P60 +bit 393 O 1 IO_P60 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_P59 +bit 390 O 1 IO_P59 389 1 Z +bit 389 C 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 I 1 IO_P58 +bit 384 O 1 IO_P58 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_P57 +bit 381 O 1 IO_P57 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P56 +bit 378 O 1 IO_P56 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_P55 +bit 375 O 1 IO_P55 374 1 Z +bit 374 C 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 I 1 IO_P53 +bit 369 O 1 IO_P53 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_P52 +bit 366 O 1 IO_P52 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P51 +bit 363 O 1 IO_P51 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P50 +bit 360 O 1 IO_P50 359 1 Z +bit 359 C 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 I 1 IO_P47 +bit 339 O 1 IO_P47 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_P46 +bit 336 O 1 IO_P46 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_P44 +bit 333 O 1 IO_P44 332 1 Z +bit 332 C 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 O 1 * +bit 309 O 1 * +bit 308 O 1 * +bit 307 O 1 * +bit 306 O 1 * +bit 305 O 1 * +bit 304 I 1 IO_P41 +bit 303 O 1 IO_P41 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_P40 +bit 300 O 1 IO_P40 299 1 Z +bit 299 C 1 * +bit 298 I 1 M2_P39 +bit 297 I 1 M0_P38 +bit 296 I 1 M1_P37 +bit 295 I 1 IO_P36 +bit 294 O 1 IO_P36 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_P35 +bit 291 O 1 IO_P35 290 1 Z +bit 290 C 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 I 1 IO_P33 +bit 270 O 1 IO_P33 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_P32 +bit 267 O 1 IO_P32 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_P31 +bit 264 O 1 IO_P31 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_P30 +bit 261 O 1 IO_P30 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_P28 +bit 258 O 1 IO_P28 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_P27 +bit 255 O 1 IO_P27 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P26 +bit 252 O 1 IO_P26 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_P25 +bit 249 O 1 IO_P25 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_P24 +bit 246 O 1 IO_P24 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P23 +bit 243 O 1 IO_P23 242 1 Z +bit 242 C 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 O 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 O 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 O 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 I 1 IO_P21 +bit 228 O 1 IO_P21 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_P20 +bit 225 O 1 IO_P20 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_P18 +bit 222 O 1 IO_P18 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_P17 +bit 219 O 1 IO_P17 218 1 Z +bit 218 C 1 * +bit 217 O 1 * +bit 216 O 1 * +bit 215 O 1 * +bit 214 O 1 * +bit 213 O 1 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 I 1 IO_P15 +bit 204 O 1 IO_P15 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_P14 +bit 201 O 1 IO_P14 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_P13 +bit 198 O 1 IO_P13 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_P12 +bit 195 O 1 IO_P12 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_P11 +bit 192 O 1 IO_P11 191 1 Z +bit 191 C 1 * +bit 190 I 1 IO_P10 +bit 189 O 1 IO_P10 188 1 Z +bit 188 C 1 * +bit 187 I 1 IO_P8 +bit 186 O 1 IO_P8 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_P7 +bit 183 O 1 IO_P7 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_P6 +bit 180 O 1 IO_P6 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_P5 +bit 177 O 1 IO_P5 176 1 Z +bit 176 C 1 * +bit 175 I 1 IO_P4 +bit 174 O 1 IO_P4 173 1 Z +bit 173 C 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 I 1 IO_P2 +bit 156 O 1 IO_P2 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_P1 +bit 153 O 1 IO_P1 152 1 Z +bit 152 C 1 * +bit 151 O 1 * +bit 150 I 1 HSWAP_EN_P142 +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 I 1 IO_P141 +bit 145 O 1 IO_P141 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_P140 +bit 142 O 1 IO_P140 141 1 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 I 1 IO_P137 +bit 115 O 1 IO_P137 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_P135 +bit 112 O 1 IO_P135 111 1 Z +bit 111 C 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 I 1 IO_P132 +bit 94 O 1 IO_P132 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_P131 +bit 91 O 1 IO_P131 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_P130 +bit 85 O 1 IO_P130 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_P129 +bit 82 O 1 IO_P129 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_P128 +bit 79 O 1 IO_P128 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_P127 +bit 76 O 1 IO_P127 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_P125 +bit 70 O 1 IO_P125 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P124 +bit 67 O 1 IO_P124 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P123 +bit 64 O 1 IO_P123 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_P122 +bit 61 O 1 IO_P122 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_P119 +bit 40 O 1 IO_P119 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_P118 +bit 37 O 1 IO_P118 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_P116 +bit 34 O 1 IO_P116 33 1 Z +bit 33 C 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_P113 +bit 4 O 1 IO_P113 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P112 +bit 1 O 1 IO_P112 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_vq100 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_vq100 new file mode 100644 index 0000000..024520f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s200/xc3s200_vq100 @@ -0,0 +1,710 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal CCLK_P52 +signal DONE_P51 +signal HSWAP_EN_P98 +signal M0_P25 +signal M1_P24 +signal M2_P26 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO0 +signal VCCO1 +signal VCCO2 +signal VCCO3 +signal VCCO4 +signal VCCO5 +signal VCCO6 +signal VCCO7 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P8 +signal IO_P9 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P16 +signal IO_P17 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P27 +signal IO_P28 +signal IO_P30 +signal IO_P32 +signal IO_P34 +signal IO_P35 +signal IO_P36 +signal IO_P37 +signal IO_P38 +signal IO_P39 +signal IO_P40 +signal IO_P42 +signal IO_P43 +signal IO_P44 +signal IO_P47 +signal IO_P48 +signal IO_P49 +signal IO_P50 +signal IO_P53 +signal IO_P54 +signal IO_P55 +signal IO_P59 +signal IO_P60 +signal IO_P61 +signal IO_P62 +signal IO_P63 +signal IO_P64 +signal IO_P65 +signal IO_P67 +signal IO_P68 +signal IO_P71 +signal IO_P72 +signal IO_P74 +signal IO_P75 +signal IO_P79 +signal IO_P80 +signal IO_P81 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P88 +signal IO_P89 +signal IO_P90 +signal IO_P91 +signal IO_P92 +signal IO_P96 + +register BSR 599 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 598 I 1 IO_P75 +bit 597 O 1 IO_P75 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_P74 +bit 594 O 1 IO_P74 593 1 Z +bit 593 C 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 O 1 * +bit 576 O 1 * +bit 575 O 1 * +bit 574 O 1 * +bit 573 O 1 * +bit 572 O 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 O 1 * +bit 568 I 1 IO_P72 +bit 567 O 1 IO_P72 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_P71 +bit 564 O 1 IO_P71 563 1 Z +bit 563 C 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 O 1 * +bit 556 O 1 * +bit 555 O 1 * +bit 554 O 1 * +bit 553 O 1 * +bit 552 O 1 * +bit 551 O 1 * +bit 550 I 1 IO_P68 +bit 549 O 1 IO_P68 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_P67 +bit 546 O 1 IO_P67 545 1 Z +bit 545 C 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 I 1 IO_P65 +bit 531 O 1 IO_P65 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_P64 +bit 528 O 1 IO_P64 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_P63 +bit 525 O 1 IO_P63 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_P62 +bit 522 O 1 IO_P62 521 1 Z +bit 521 C 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 I 1 IO_P61 +bit 507 O 1 IO_P61 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_P60 +bit 504 O 1 IO_P60 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_P59 +bit 501 O 1 IO_P59 500 1 Z +bit 500 C 1 * +bit 499 O 1 * +bit 498 O 1 * +bit 497 O 1 * +bit 496 O 1 * +bit 495 O 1 * +bit 494 O 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 O 1 * +bit 490 O 1 * +bit 489 O 1 * +bit 488 O 1 * +bit 487 O 1 * +bit 486 O 1 * +bit 485 O 1 * +bit 484 I 1 IO_P55 +bit 483 O 1 IO_P55 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 O 1 * +bit 478 O 1 * +bit 477 O 1 * +bit 476 O 1 * +bit 475 O 1 * +bit 474 O 1 * +bit 473 O 1 * +bit 472 O 1 * +bit 471 O 1 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 I 1 IO_P54 +bit 459 O 1 IO_P54 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_P53 +bit 456 O 1 IO_P53 455 1 Z +bit 455 C 1 * +bit 454 I 1 CCLK_P52 +bit 453 O 1 CCLK_P52 452 1 Z +bit 452 C 1 * +bit 451 I 1 DONE_P51 +bit 450 O 1 DONE_P51 449 1 Z +bit 449 C 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 I 1 IO_P50 +bit 444 O 1 IO_P50 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_P49 +bit 441 O 1 IO_P49 440 1 Z +bit 440 C 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 I 1 IO_P48 +bit 414 O 1 IO_P48 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_P47 +bit 411 O 1 IO_P47 410 1 Z +bit 410 C 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 IO_P44 +bit 393 O 1 IO_P44 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_P43 +bit 390 O 1 IO_P43 389 1 Z +bit 389 C 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 I 1 IO_P42 +bit 384 O 1 IO_P42 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_P40 +bit 381 O 1 IO_P40 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P39 +bit 378 O 1 IO_P39 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_P38 +bit 375 O 1 IO_P38 374 1 Z +bit 374 C 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 I 1 IO_P37 +bit 369 O 1 IO_P37 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_P36 +bit 366 O 1 IO_P36 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P35 +bit 363 O 1 IO_P35 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P34 +bit 360 O 1 IO_P34 359 1 Z +bit 359 C 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 I 1 IO_P32 +bit 339 O 1 IO_P32 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_P30 +bit 336 O 1 IO_P30 335 1 Z +bit 335 C 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 O 1 * +bit 309 O 1 * +bit 308 O 1 * +bit 307 O 1 * +bit 306 O 1 * +bit 305 O 1 * +bit 304 I 1 IO_P28 +bit 303 O 1 IO_P28 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_P27 +bit 300 O 1 IO_P27 299 1 Z +bit 299 C 1 * +bit 298 I 1 M2_P26 +bit 297 I 1 M0_P25 +bit 296 I 1 M1_P24 +bit 295 I 1 IO_P23 +bit 294 O 1 IO_P23 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_P22 +bit 291 O 1 IO_P22 290 1 Z +bit 290 C 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 I 1 IO_P21 +bit 267 O 1 IO_P21 266 1 Z +bit 266 C 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 O 1 * +bit 261 O 1 * +bit 260 O 1 * +bit 259 O 1 * +bit 258 O 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 O 1 * +bit 250 I 1 IO_P17 +bit 249 O 1 IO_P17 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_P16 +bit 246 O 1 IO_P16 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P15 +bit 243 O 1 IO_P15 242 1 Z +bit 242 C 1 * +bit 241 O 1 * +bit 240 O 1 * +bit 239 O 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 O 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 O 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 I 1 IO_P14 +bit 228 O 1 IO_P14 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_P13 +bit 225 O 1 IO_P13 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_P12 +bit 222 O 1 IO_P12 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_P11 +bit 219 O 1 IO_P11 218 1 Z +bit 218 C 1 * +bit 217 O 1 * +bit 216 O 1 * +bit 215 O 1 * +bit 214 O 1 * +bit 213 O 1 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 O 1 * +bit 201 O 1 * +bit 200 O 1 * +bit 199 I 1 IO_P9 +bit 198 O 1 IO_P9 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_P8 +bit 195 O 1 IO_P8 194 1 Z +bit 194 C 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 I 1 IO_P5 +bit 186 O 1 IO_P5 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_P4 +bit 183 O 1 IO_P4 182 1 Z +bit 182 C 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 I 1 IO_P2 +bit 156 O 1 IO_P2 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_P1 +bit 153 O 1 IO_P1 152 1 Z +bit 152 C 1 * +bit 151 O 1 * +bit 150 I 1 HSWAP_EN_P98 +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 I 1 IO_P97 +bit 145 O 1 IO_P97 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_P96 +bit 142 O 1 IO_P96 141 1 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_P92 +bit 85 O 1 IO_P92 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_P91 +bit 82 O 1 IO_P91 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_P90 +bit 79 O 1 IO_P90 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_P89 +bit 76 O 1 IO_P89 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_P88 +bit 70 O 1 IO_P88 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P87 +bit 67 O 1 IO_P87 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P86 +bit 64 O 1 IO_P86 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_P85 +bit 61 O 1 IO_P85 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 I 1 IO_P81 +bit 28 O 1 IO_P81 27 1 Z +bit 27 C 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_P80 +bit 4 O 1 IO_P80 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P79 +bit 1 O 1 IO_P79 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/STEPPINGS new file mode 100644 index 0000000..a89ab04 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s2000 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000 new file mode 100644 index 0000000..a3f0f56 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000 @@ -0,0 +1,2797 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal CCLK_PAD544 +signal DONE_PAD543 +signal HSWAP_EN_PAD112 +signal M0_PAD319 +signal M1_PAD318 +signal M2_PAD320 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD6 +signal IO_PAD8 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD12 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD16 +signal IO_PAD18 +signal IO_PAD20 +signal IO_PAD22 +signal IO_PAD23 +signal IO_PAD24 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD28 +signal IO_PAD30 +signal IO_PAD31 +signal IO_PAD32 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD36 +signal IO_PAD38 +signal IO_PAD40 +signal IO_PAD41 +signal IO_PAD42 +signal IO_PAD44 +signal IO_PAD45 +signal IO_PAD46 +signal IO_PAD48 +signal IO_PAD50 +signal IO_PAD52 +signal IO_PAD54 +signal IO_PAD55 +signal IO_PAD56 +signal IO_PAD57 +signal IO_PAD58 +signal IO_PAD60 +signal IO_PAD62 +signal IO_PAD63 +signal IO_PAD64 +signal IO_PAD66 +signal IO_PAD67 +signal IO_PAD68 +signal IO_PAD69 +signal IO_PAD70 +signal IO_PAD72 +signal IO_PAD73 +signal IO_PAD74 +signal IO_PAD76 +signal IO_PAD77 +signal IO_PAD78 +signal IO_PAD80 +signal IO_PAD82 +signal IO_PAD84 +signal IO_PAD86 +signal IO_PAD87 +signal IO_PAD88 +signal IO_PAD89 +signal IO_PAD90 +signal IO_PAD92 +signal IO_PAD94 +signal IO_PAD95 +signal IO_PAD96 +signal IO_PAD97 +signal IO_PAD98 +signal IO_PAD100 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD106 +signal IO_PAD108 +signal IO_PAD109 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD116 +signal IO_PAD117 +signal IO_PAD118 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD123 +signal IO_PAD124 +signal IO_PAD126 +signal IO_PAD128 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD131 +signal IO_PAD132 +signal IO_PAD134 +signal IO_PAD136 +signal IO_PAD138 +signal IO_PAD140 +signal IO_PAD141 +signal IO_PAD142 +signal IO_PAD144 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD148 +signal IO_PAD149 +signal IO_PAD150 +signal IO_PAD152 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD156 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD160 +signal IO_PAD162 +signal IO_PAD164 +signal IO_PAD165 +signal IO_PAD166 +signal IO_PAD167 +signal IO_PAD168 +signal IO_PAD170 +signal IO_PAD172 +signal IO_PAD174 +signal IO_PAD176 +signal IO_PAD177 +signal IO_PAD178 +signal IO_PAD180 +signal IO_PAD182 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD185 +signal IO_PAD186 +signal IO_PAD187 +signal IO_PAD188 +signal IO_PAD190 +signal IO_PAD192 +signal IO_PAD193 +signal IO_PAD194 +signal IO_PAD195 +signal IO_PAD196 +signal IO_PAD198 +signal IO_PAD200 +signal IO_PAD202 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD206 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD210 +signal IO_PAD212 +signal IO_PAD214 +signal IO_PAD215 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD220 +signal IO_PAD222 +signal IO_PAD223 +signal IO_PAD224 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD228 +signal IO_PAD230 +signal IO_PAD232 +signal IO_PAD234 +signal IO_PAD236 +signal IO_PAD237 +signal IO_PAD238 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD242 +signal IO_PAD244 +signal IO_PAD245 +signal IO_PAD246 +signal IO_PAD247 +signal IO_PAD248 +signal IO_PAD249 +signal IO_PAD250 +signal IO_PAD252 +signal IO_PAD254 +signal IO_PAD255 +signal IO_PAD256 +signal IO_PAD258 +signal IO_PAD260 +signal IO_PAD262 +signal IO_PAD264 +signal IO_PAD265 +signal IO_PAD266 +signal IO_PAD267 +signal IO_PAD268 +signal IO_PAD270 +signal IO_PAD272 +signal IO_PAD273 +signal IO_PAD274 +signal IO_PAD276 +signal IO_PAD277 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD280 +signal IO_PAD282 +signal IO_PAD283 +signal IO_PAD284 +signal IO_PAD285 +signal IO_PAD286 +signal IO_PAD288 +signal IO_PAD290 +signal IO_PAD291 +signal IO_PAD292 +signal IO_PAD294 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD302 +signal IO_PAD303 +signal IO_PAD304 +signal IO_PAD306 +signal IO_PAD308 +signal IO_PAD309 +signal IO_PAD310 +signal IO_PAD311 +signal IO_PAD312 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD316 +signal IO_PAD321 +signal IO_PAD322 +signal IO_PAD323 +signal IO_PAD324 +signal IO_PAD326 +signal IO_PAD327 +signal IO_PAD328 +signal IO_PAD330 +signal IO_PAD331 +signal IO_PAD332 +signal IO_PAD334 +signal IO_PAD335 +signal IO_PAD336 +signal IO_PAD337 +signal IO_PAD338 +signal IO_PAD340 +signal IO_PAD342 +signal IO_PAD343 +signal IO_PAD344 +signal IO_PAD345 +signal IO_PAD346 +signal IO_PAD348 +signal IO_PAD350 +signal IO_PAD352 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD356 +signal IO_PAD358 +signal IO_PAD359 +signal IO_PAD360 +signal IO_PAD362 +signal IO_PAD363 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD366 +signal IO_PAD368 +signal IO_PAD369 +signal IO_PAD370 +signal IO_PAD372 +signal IO_PAD374 +signal IO_PAD375 +signal IO_PAD376 +signal IO_PAD377 +signal IO_PAD378 +signal IO_PAD380 +signal IO_PAD382 +signal IO_PAD384 +signal IO_PAD386 +signal IO_PAD387 +signal IO_PAD388 +signal IO_PAD390 +signal IO_PAD391 +signal IO_PAD392 +signal IO_PAD394 +signal IO_PAD396 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD399 +signal IO_PAD400 +signal IO_PAD401 +signal IO_PAD402 +signal IO_PAD404 +signal IO_PAD406 +signal IO_PAD407 +signal IO_PAD408 +signal IO_PAD409 +signal IO_PAD410 +signal IO_PAD412 +signal IO_PAD414 +signal IO_PAD416 +signal IO_PAD418 +signal IO_PAD419 +signal IO_PAD420 +signal IO_PAD422 +signal IO_PAD423 +signal IO_PAD424 +signal IO_PAD426 +signal IO_PAD428 +signal IO_PAD429 +signal IO_PAD430 +signal IO_PAD432 +signal IO_PAD433 +signal IO_PAD434 +signal IO_PAD436 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD440 +signal IO_PAD442 +signal IO_PAD443 +signal IO_PAD444 +signal IO_PAD446 +signal IO_PAD448 +signal IO_PAD450 +signal IO_PAD452 +signal IO_PAD453 +signal IO_PAD454 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD458 +signal IO_PAD460 +signal IO_PAD461 +signal IO_PAD462 +signal IO_PAD463 +signal IO_PAD464 +signal IO_PAD465 +signal IO_PAD466 +signal IO_PAD468 +signal IO_PAD470 +signal IO_PAD471 +signal IO_PAD472 +signal IO_PAD474 +signal IO_PAD475 +signal IO_PAD476 +signal IO_PAD478 +signal IO_PAD480 +signal IO_PAD482 +signal IO_PAD484 +signal IO_PAD485 +signal IO_PAD486 +signal IO_PAD487 +signal IO_PAD488 +signal IO_PAD490 +signal IO_PAD492 +signal IO_PAD493 +signal IO_PAD494 +signal IO_PAD496 +signal IO_PAD497 +signal IO_PAD498 +signal IO_PAD499 +signal IO_PAD500 +signal IO_PAD502 +signal IO_PAD503 +signal IO_PAD504 +signal IO_PAD506 +signal IO_PAD507 +signal IO_PAD508 +signal IO_PAD510 +signal IO_PAD512 +signal IO_PAD514 +signal IO_PAD516 +signal IO_PAD517 +signal IO_PAD518 +signal IO_PAD519 +signal IO_PAD520 +signal IO_PAD522 +signal IO_PAD524 +signal IO_PAD525 +signal IO_PAD526 +signal IO_PAD527 +signal IO_PAD528 +signal IO_PAD530 +signal IO_PAD531 +signal IO_PAD532 +signal IO_PAD534 +signal IO_PAD535 +signal IO_PAD536 +signal IO_PAD538 +signal IO_PAD539 +signal IO_PAD540 +signal IO_PAD541 +signal IO_PAD547 +signal IO_PAD548 +signal IO_PAD549 +signal IO_PAD551 +signal IO_PAD552 +signal IO_PAD553 +signal IO_PAD554 +signal IO_PAD555 +signal IO_PAD557 +signal IO_PAD559 +signal IO_PAD560 +signal IO_PAD561 +signal IO_PAD562 +signal IO_PAD563 +signal IO_PAD565 +signal IO_PAD567 +signal IO_PAD569 +signal IO_PAD571 +signal IO_PAD572 +signal IO_PAD573 +signal IO_PAD575 +signal IO_PAD577 +signal IO_PAD578 +signal IO_PAD579 +signal IO_PAD580 +signal IO_PAD581 +signal IO_PAD583 +signal IO_PAD584 +signal IO_PAD585 +signal IO_PAD586 +signal IO_PAD587 +signal IO_PAD589 +signal IO_PAD590 +signal IO_PAD591 +signal IO_PAD593 +signal IO_PAD595 +signal IO_PAD596 +signal IO_PAD597 +signal IO_PAD598 +signal IO_PAD599 +signal IO_PAD601 +signal IO_PAD603 +signal IO_PAD605 +signal IO_PAD607 +signal IO_PAD608 +signal IO_PAD609 +signal IO_PAD611 +signal IO_PAD613 +signal IO_PAD614 +signal IO_PAD615 +signal IO_PAD616 +signal IO_PAD617 +signal IO_PAD618 +signal IO_PAD619 +signal IO_PAD621 +signal IO_PAD623 +signal IO_PAD624 +signal IO_PAD625 +signal IO_PAD626 +signal IO_PAD627 +signal IO_PAD629 +signal IO_PAD631 +signal IO_PAD633 +signal IO_PAD635 +signal IO_PAD636 +signal IO_PAD637 +signal IO_PAD639 +signal IO_PAD640 +signal IO_PAD641 +signal IO_PAD643 +signal IO_PAD645 +signal IO_PAD646 +signal IO_PAD648 +signal IO_PAD649 +signal IO_PAD651 +signal IO_PAD653 +signal IO_PAD654 +signal IO_PAD655 +signal IO_PAD657 +signal IO_PAD658 +signal IO_PAD659 +signal IO_PAD661 +signal IO_PAD663 +signal IO_PAD665 +signal IO_PAD667 +signal IO_PAD668 +signal IO_PAD669 +signal IO_PAD670 +signal IO_PAD671 +signal IO_PAD673 +signal IO_PAD675 +signal IO_PAD676 +signal IO_PAD677 +signal IO_PAD678 +signal IO_PAD679 +signal IO_PAD680 +signal IO_PAD681 +signal IO_PAD683 +signal IO_PAD685 +signal IO_PAD686 +signal IO_PAD687 +signal IO_PAD689 +signal IO_PAD691 +signal IO_PAD693 +signal IO_PAD695 +signal IO_PAD696 +signal IO_PAD697 +signal IO_PAD698 +signal IO_PAD699 +signal IO_PAD701 +signal IO_PAD703 +signal IO_PAD704 +signal IO_PAD705 +signal IO_PAD707 +signal IO_PAD708 +signal IO_PAD709 +signal IO_PAD710 +signal IO_PAD711 +signal IO_PAD713 +signal IO_PAD714 +signal IO_PAD715 +signal IO_PAD716 +signal IO_PAD717 +signal IO_PAD719 +signal IO_PAD721 +signal IO_PAD722 +signal IO_PAD723 +signal IO_PAD725 +signal IO_PAD727 +signal IO_PAD729 +signal IO_PAD731 +signal IO_PAD732 +signal IO_PAD733 +signal IO_PAD734 +signal IO_PAD735 +signal IO_PAD737 +signal IO_PAD739 +signal IO_PAD740 +signal IO_PAD741 +signal IO_PAD742 +signal IO_PAD743 +signal IO_PAD745 +signal IO_PAD746 +signal IO_PAD747 +signal IO_PAD752 +signal IO_PAD753 +signal IO_PAD754 +signal IO_PAD755 +signal IO_PAD757 +signal IO_PAD758 +signal IO_PAD759 +signal IO_PAD761 +signal IO_PAD762 +signal IO_PAD763 +signal IO_PAD765 +signal IO_PAD766 +signal IO_PAD767 +signal IO_PAD768 +signal IO_PAD769 +signal IO_PAD771 +signal IO_PAD773 +signal IO_PAD774 +signal IO_PAD775 +signal IO_PAD776 +signal IO_PAD777 +signal IO_PAD779 +signal IO_PAD781 +signal IO_PAD783 +signal IO_PAD785 +signal IO_PAD786 +signal IO_PAD787 +signal IO_PAD789 +signal IO_PAD790 +signal IO_PAD791 +signal IO_PAD793 +signal IO_PAD794 +signal IO_PAD795 +signal IO_PAD796 +signal IO_PAD797 +signal IO_PAD799 +signal IO_PAD800 +signal IO_PAD801 +signal IO_PAD803 +signal IO_PAD805 +signal IO_PAD806 +signal IO_PAD807 +signal IO_PAD808 +signal IO_PAD809 +signal IO_PAD811 +signal IO_PAD813 +signal IO_PAD815 +signal IO_PAD817 +signal IO_PAD818 +signal IO_PAD819 +signal IO_PAD821 +signal IO_PAD822 +signal IO_PAD823 +signal IO_PAD825 +signal IO_PAD827 +signal IO_PAD828 +signal IO_PAD829 +signal IO_PAD830 +signal IO_PAD831 +signal IO_PAD832 +signal IO_PAD833 +signal IO_PAD835 +signal IO_PAD837 +signal IO_PAD838 +signal IO_PAD839 +signal IO_PAD840 +signal IO_PAD841 +signal IO_PAD843 +signal IO_PAD845 +signal IO_PAD847 +signal IO_PAD849 +signal IO_PAD850 +signal IO_PAD851 +signal IO_PAD853 +signal IO_PAD854 +signal IO_PAD855 +signal IO_PAD857 +signal IO_PAD859 +signal IO_PAD860 + +register BSR 1931 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1930 I 1 IO_PAD747 +bit 1929 O 1 IO_PAD747 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_PAD746 +bit 1926 O 1 IO_PAD746 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_PAD745 +bit 1923 O 1 IO_PAD745 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_PAD743 +bit 1920 O 1 IO_PAD743 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_PAD742 +bit 1917 O 1 IO_PAD742 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_PAD741 +bit 1914 O 1 IO_PAD741 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_PAD740 +bit 1911 O 1 IO_PAD740 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_PAD739 +bit 1908 O 1 IO_PAD739 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_PAD737 +bit 1905 O 1 IO_PAD737 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_PAD735 +bit 1902 O 1 IO_PAD735 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_PAD734 +bit 1899 O 1 IO_PAD734 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_PAD733 +bit 1896 O 1 IO_PAD733 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_PAD732 +bit 1893 O 1 IO_PAD732 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_PAD731 +bit 1890 O 1 IO_PAD731 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_PAD729 +bit 1887 O 1 IO_PAD729 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_PAD727 +bit 1884 O 1 IO_PAD727 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_PAD725 +bit 1881 O 1 IO_PAD725 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_PAD723 +bit 1878 O 1 IO_PAD723 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_PAD722 +bit 1875 O 1 IO_PAD722 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_PAD721 +bit 1872 O 1 IO_PAD721 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_PAD719 +bit 1869 O 1 IO_PAD719 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_PAD717 +bit 1866 O 1 IO_PAD717 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_PAD716 +bit 1863 O 1 IO_PAD716 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_PAD715 +bit 1860 O 1 IO_PAD715 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_PAD714 +bit 1857 O 1 IO_PAD714 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_PAD713 +bit 1854 O 1 IO_PAD713 1853 1 Z +bit 1853 C 1 * +bit 1852 I 1 IO_PAD711 +bit 1851 O 1 IO_PAD711 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_PAD710 +bit 1848 O 1 IO_PAD710 1847 1 Z +bit 1847 C 1 * +bit 1846 I 1 IO_PAD709 +bit 1845 O 1 IO_PAD709 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_PAD708 +bit 1842 O 1 IO_PAD708 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_PAD707 +bit 1839 O 1 IO_PAD707 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_PAD705 +bit 1836 O 1 IO_PAD705 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_PAD704 +bit 1833 O 1 IO_PAD704 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_PAD703 +bit 1830 O 1 IO_PAD703 1829 1 Z +bit 1829 C 1 * +bit 1828 O 1 * +bit 1827 O 1 * +bit 1826 O 1 * +bit 1825 O 1 * +bit 1824 O 1 * +bit 1823 O 1 * +bit 1822 I 1 IO_PAD701 +bit 1821 O 1 IO_PAD701 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_PAD699 +bit 1818 O 1 IO_PAD699 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_PAD698 +bit 1815 O 1 IO_PAD698 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_PAD697 +bit 1812 O 1 IO_PAD697 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_PAD696 +bit 1809 O 1 IO_PAD696 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_PAD695 +bit 1806 O 1 IO_PAD695 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_PAD693 +bit 1803 O 1 IO_PAD693 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_PAD691 +bit 1800 O 1 IO_PAD691 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_PAD689 +bit 1797 O 1 IO_PAD689 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_PAD687 +bit 1794 O 1 IO_PAD687 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_PAD686 +bit 1791 O 1 IO_PAD686 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_PAD685 +bit 1788 O 1 IO_PAD685 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_PAD683 +bit 1779 O 1 IO_PAD683 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_PAD681 +bit 1776 O 1 IO_PAD681 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_PAD680 +bit 1773 O 1 IO_PAD680 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_PAD679 +bit 1770 O 1 IO_PAD679 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_PAD678 +bit 1767 O 1 IO_PAD678 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_PAD677 +bit 1764 O 1 IO_PAD677 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_PAD676 +bit 1761 O 1 IO_PAD676 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_PAD675 +bit 1758 O 1 IO_PAD675 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 I 1 IO_PAD673 +bit 1749 O 1 IO_PAD673 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_PAD671 +bit 1746 O 1 IO_PAD671 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_PAD670 +bit 1743 O 1 IO_PAD670 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_PAD669 +bit 1740 O 1 IO_PAD669 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_PAD668 +bit 1737 O 1 IO_PAD668 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_PAD667 +bit 1734 O 1 IO_PAD667 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_PAD665 +bit 1731 O 1 IO_PAD665 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_PAD663 +bit 1728 O 1 IO_PAD663 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_PAD661 +bit 1725 O 1 IO_PAD661 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_PAD659 +bit 1722 O 1 IO_PAD659 1721 1 Z +bit 1721 C 1 * +bit 1720 O 1 * +bit 1719 O 1 * +bit 1718 O 1 * +bit 1717 O 1 * +bit 1716 O 1 * +bit 1715 O 1 * +bit 1714 I 1 IO_PAD658 +bit 1713 O 1 IO_PAD658 1712 1 Z +bit 1712 C 1 * +bit 1711 I 1 IO_PAD657 +bit 1710 O 1 IO_PAD657 1709 1 Z +bit 1709 C 1 * +bit 1708 I 1 IO_PAD655 +bit 1707 O 1 IO_PAD655 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_PAD654 +bit 1704 O 1 IO_PAD654 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_PAD653 +bit 1701 O 1 IO_PAD653 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_PAD651 +bit 1698 O 1 IO_PAD651 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_PAD649 +bit 1695 O 1 IO_PAD649 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_PAD648 +bit 1692 O 1 IO_PAD648 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_PAD646 +bit 1689 O 1 IO_PAD646 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_PAD645 +bit 1686 O 1 IO_PAD645 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_PAD643 +bit 1683 O 1 IO_PAD643 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_PAD641 +bit 1680 O 1 IO_PAD641 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_PAD640 +bit 1677 O 1 IO_PAD640 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_PAD639 +bit 1674 O 1 IO_PAD639 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_PAD637 +bit 1671 O 1 IO_PAD637 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_PAD636 +bit 1668 O 1 IO_PAD636 1667 1 Z +bit 1667 C 1 * +bit 1666 O 1 * +bit 1665 O 1 * +bit 1664 O 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_PAD635 +bit 1659 O 1 IO_PAD635 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_PAD633 +bit 1656 O 1 IO_PAD633 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_PAD631 +bit 1653 O 1 IO_PAD631 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_PAD629 +bit 1650 O 1 IO_PAD629 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_PAD627 +bit 1647 O 1 IO_PAD627 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_PAD626 +bit 1644 O 1 IO_PAD626 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_PAD625 +bit 1641 O 1 IO_PAD625 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_PAD624 +bit 1638 O 1 IO_PAD624 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_PAD623 +bit 1635 O 1 IO_PAD623 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_PAD621 +bit 1632 O 1 IO_PAD621 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 I 1 IO_PAD619 +bit 1623 O 1 IO_PAD619 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_PAD618 +bit 1620 O 1 IO_PAD618 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_PAD617 +bit 1617 O 1 IO_PAD617 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_PAD616 +bit 1614 O 1 IO_PAD616 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_PAD615 +bit 1611 O 1 IO_PAD615 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_PAD614 +bit 1608 O 1 IO_PAD614 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_PAD613 +bit 1605 O 1 IO_PAD613 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_PAD611 +bit 1602 O 1 IO_PAD611 1601 1 Z +bit 1601 C 1 * +bit 1600 O 1 * +bit 1599 O 1 * +bit 1598 O 1 * +bit 1597 O 1 * +bit 1596 O 1 * +bit 1595 O 1 * +bit 1594 I 1 IO_PAD609 +bit 1593 O 1 IO_PAD609 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_PAD608 +bit 1590 O 1 IO_PAD608 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_PAD607 +bit 1587 O 1 IO_PAD607 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_PAD605 +bit 1584 O 1 IO_PAD605 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_PAD603 +bit 1581 O 1 IO_PAD603 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_PAD601 +bit 1578 O 1 IO_PAD601 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_PAD599 +bit 1575 O 1 IO_PAD599 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_PAD598 +bit 1572 O 1 IO_PAD598 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_PAD597 +bit 1569 O 1 IO_PAD597 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_PAD596 +bit 1566 O 1 IO_PAD596 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_PAD595 +bit 1563 O 1 IO_PAD595 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_PAD593 +bit 1560 O 1 IO_PAD593 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_PAD591 +bit 1551 O 1 IO_PAD591 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_PAD590 +bit 1548 O 1 IO_PAD590 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_PAD589 +bit 1545 O 1 IO_PAD589 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_PAD587 +bit 1542 O 1 IO_PAD587 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_PAD586 +bit 1539 O 1 IO_PAD586 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_PAD585 +bit 1536 O 1 IO_PAD585 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_PAD584 +bit 1533 O 1 IO_PAD584 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_PAD583 +bit 1530 O 1 IO_PAD583 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_PAD581 +bit 1527 O 1 IO_PAD581 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_PAD580 +bit 1524 O 1 IO_PAD580 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_PAD579 +bit 1521 O 1 IO_PAD579 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_PAD578 +bit 1518 O 1 IO_PAD578 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_PAD577 +bit 1515 O 1 IO_PAD577 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_PAD575 +bit 1512 O 1 IO_PAD575 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_PAD573 +bit 1509 O 1 IO_PAD573 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_PAD572 +bit 1506 O 1 IO_PAD572 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_PAD571 +bit 1503 O 1 IO_PAD571 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_PAD569 +bit 1500 O 1 IO_PAD569 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_PAD567 +bit 1497 O 1 IO_PAD567 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_PAD565 +bit 1494 O 1 IO_PAD565 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_PAD563 +bit 1491 O 1 IO_PAD563 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_PAD562 +bit 1488 O 1 IO_PAD562 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_PAD561 +bit 1485 O 1 IO_PAD561 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_PAD560 +bit 1482 O 1 IO_PAD560 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_PAD559 +bit 1479 O 1 IO_PAD559 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_PAD557 +bit 1476 O 1 IO_PAD557 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_PAD555 +bit 1473 O 1 IO_PAD555 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_PAD554 +bit 1470 O 1 IO_PAD554 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_PAD553 +bit 1467 O 1 IO_PAD553 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_PAD552 +bit 1464 O 1 IO_PAD552 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_PAD551 +bit 1461 O 1 IO_PAD551 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_PAD549 +bit 1458 O 1 IO_PAD549 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_PAD548 +bit 1455 O 1 IO_PAD548 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_PAD547 +bit 1452 O 1 IO_PAD547 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 CCLK_PAD544 +bit 1449 O 1 CCLK_PAD544 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 DONE_PAD543 +bit 1446 O 1 DONE_PAD543 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_PAD541 +bit 1443 O 1 IO_PAD541 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_PAD540 +bit 1440 O 1 IO_PAD540 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_PAD539 +bit 1437 O 1 IO_PAD539 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_PAD538 +bit 1434 O 1 IO_PAD538 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_PAD536 +bit 1431 O 1 IO_PAD536 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_PAD535 +bit 1428 O 1 IO_PAD535 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_PAD534 +bit 1425 O 1 IO_PAD534 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_PAD532 +bit 1422 O 1 IO_PAD532 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_PAD531 +bit 1419 O 1 IO_PAD531 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_PAD530 +bit 1416 O 1 IO_PAD530 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_PAD528 +bit 1413 O 1 IO_PAD528 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_PAD527 +bit 1410 O 1 IO_PAD527 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_PAD526 +bit 1407 O 1 IO_PAD526 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_PAD525 +bit 1404 O 1 IO_PAD525 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_PAD524 +bit 1401 O 1 IO_PAD524 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_PAD522 +bit 1398 O 1 IO_PAD522 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_PAD520 +bit 1395 O 1 IO_PAD520 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_PAD519 +bit 1392 O 1 IO_PAD519 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_PAD518 +bit 1389 O 1 IO_PAD518 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_PAD517 +bit 1386 O 1 IO_PAD517 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_PAD516 +bit 1383 O 1 IO_PAD516 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_PAD514 +bit 1380 O 1 IO_PAD514 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_PAD512 +bit 1377 O 1 IO_PAD512 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_PAD510 +bit 1374 O 1 IO_PAD510 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_PAD508 +bit 1371 O 1 IO_PAD508 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_PAD507 +bit 1368 O 1 IO_PAD507 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_PAD506 +bit 1365 O 1 IO_PAD506 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_PAD504 +bit 1362 O 1 IO_PAD504 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_PAD503 +bit 1359 O 1 IO_PAD503 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_PAD502 +bit 1356 O 1 IO_PAD502 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_PAD500 +bit 1353 O 1 IO_PAD500 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_PAD499 +bit 1350 O 1 IO_PAD499 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_PAD498 +bit 1347 O 1 IO_PAD498 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_PAD497 +bit 1344 O 1 IO_PAD497 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_PAD496 +bit 1341 O 1 IO_PAD496 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_PAD494 +bit 1338 O 1 IO_PAD494 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_PAD493 +bit 1335 O 1 IO_PAD493 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_PAD492 +bit 1332 O 1 IO_PAD492 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_PAD490 +bit 1329 O 1 IO_PAD490 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_PAD488 +bit 1326 O 1 IO_PAD488 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_PAD487 +bit 1323 O 1 IO_PAD487 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_PAD486 +bit 1320 O 1 IO_PAD486 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_PAD485 +bit 1317 O 1 IO_PAD485 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_PAD484 +bit 1314 O 1 IO_PAD484 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_PAD482 +bit 1311 O 1 IO_PAD482 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_PAD480 +bit 1308 O 1 IO_PAD480 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_PAD478 +bit 1305 O 1 IO_PAD478 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_PAD476 +bit 1302 O 1 IO_PAD476 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_PAD475 +bit 1299 O 1 IO_PAD475 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_PAD474 +bit 1296 O 1 IO_PAD474 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_PAD472 +bit 1293 O 1 IO_PAD472 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_PAD471 +bit 1290 O 1 IO_PAD471 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_PAD470 +bit 1287 O 1 IO_PAD470 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_PAD468 +bit 1284 O 1 IO_PAD468 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_PAD466 +bit 1281 O 1 IO_PAD466 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_PAD465 +bit 1278 O 1 IO_PAD465 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_PAD464 +bit 1275 O 1 IO_PAD464 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_PAD463 +bit 1272 O 1 IO_PAD463 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_PAD462 +bit 1269 O 1 IO_PAD462 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_PAD461 +bit 1266 O 1 IO_PAD461 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_PAD460 +bit 1263 O 1 IO_PAD460 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_PAD458 +bit 1260 O 1 IO_PAD458 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_PAD456 +bit 1257 O 1 IO_PAD456 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_PAD455 +bit 1254 O 1 IO_PAD455 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_PAD454 +bit 1251 O 1 IO_PAD454 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_PAD453 +bit 1248 O 1 IO_PAD453 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_PAD452 +bit 1245 O 1 IO_PAD452 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_PAD450 +bit 1242 O 1 IO_PAD450 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_PAD448 +bit 1239 O 1 IO_PAD448 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_PAD446 +bit 1236 O 1 IO_PAD446 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_PAD444 +bit 1233 O 1 IO_PAD444 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_PAD443 +bit 1230 O 1 IO_PAD443 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_PAD442 +bit 1227 O 1 IO_PAD442 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_PAD440 +bit 1224 O 1 IO_PAD440 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_PAD439 +bit 1221 O 1 IO_PAD439 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_PAD438 +bit 1218 O 1 IO_PAD438 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_PAD436 +bit 1215 O 1 IO_PAD436 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_PAD434 +bit 1212 O 1 IO_PAD434 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_PAD433 +bit 1209 O 1 IO_PAD433 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_PAD432 +bit 1206 O 1 IO_PAD432 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_PAD430 +bit 1203 O 1 IO_PAD430 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_PAD429 +bit 1200 O 1 IO_PAD429 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_PAD428 +bit 1197 O 1 IO_PAD428 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_PAD426 +bit 1194 O 1 IO_PAD426 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_PAD424 +bit 1191 O 1 IO_PAD424 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_PAD423 +bit 1188 O 1 IO_PAD423 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_PAD422 +bit 1185 O 1 IO_PAD422 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_PAD420 +bit 1182 O 1 IO_PAD420 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_PAD419 +bit 1179 O 1 IO_PAD419 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_PAD418 +bit 1176 O 1 IO_PAD418 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_PAD416 +bit 1173 O 1 IO_PAD416 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_PAD414 +bit 1170 O 1 IO_PAD414 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_PAD412 +bit 1167 O 1 IO_PAD412 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_PAD410 +bit 1164 O 1 IO_PAD410 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD409 +bit 1161 O 1 IO_PAD409 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD408 +bit 1158 O 1 IO_PAD408 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD407 +bit 1155 O 1 IO_PAD407 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD406 +bit 1152 O 1 IO_PAD406 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD404 +bit 1149 O 1 IO_PAD404 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD402 +bit 1146 O 1 IO_PAD402 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD401 +bit 1143 O 1 IO_PAD401 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD400 +bit 1140 O 1 IO_PAD400 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD399 +bit 1137 O 1 IO_PAD399 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD398 +bit 1134 O 1 IO_PAD398 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD397 +bit 1131 O 1 IO_PAD397 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD396 +bit 1128 O 1 IO_PAD396 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD394 +bit 1125 O 1 IO_PAD394 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD392 +bit 1122 O 1 IO_PAD392 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_PAD391 +bit 1119 O 1 IO_PAD391 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_PAD390 +bit 1116 O 1 IO_PAD390 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD388 +bit 1113 O 1 IO_PAD388 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD387 +bit 1110 O 1 IO_PAD387 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD386 +bit 1107 O 1 IO_PAD386 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD384 +bit 1104 O 1 IO_PAD384 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_PAD382 +bit 1101 O 1 IO_PAD382 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_PAD380 +bit 1098 O 1 IO_PAD380 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_PAD378 +bit 1095 O 1 IO_PAD378 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_PAD377 +bit 1092 O 1 IO_PAD377 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD376 +bit 1089 O 1 IO_PAD376 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD375 +bit 1086 O 1 IO_PAD375 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_PAD374 +bit 1083 O 1 IO_PAD374 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_PAD372 +bit 1080 O 1 IO_PAD372 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_PAD370 +bit 1077 O 1 IO_PAD370 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_PAD369 +bit 1074 O 1 IO_PAD369 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_PAD368 +bit 1071 O 1 IO_PAD368 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD366 +bit 1068 O 1 IO_PAD366 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_PAD365 +bit 1065 O 1 IO_PAD365 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_PAD364 +bit 1062 O 1 IO_PAD364 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD363 +bit 1059 O 1 IO_PAD363 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD362 +bit 1056 O 1 IO_PAD362 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD360 +bit 1053 O 1 IO_PAD360 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD359 +bit 1050 O 1 IO_PAD359 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_PAD358 +bit 1047 O 1 IO_PAD358 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_PAD356 +bit 1044 O 1 IO_PAD356 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD355 +bit 1041 O 1 IO_PAD355 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD354 +bit 1038 O 1 IO_PAD354 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD352 +bit 1035 O 1 IO_PAD352 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD350 +bit 1032 O 1 IO_PAD350 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_PAD348 +bit 1029 O 1 IO_PAD348 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_PAD346 +bit 1026 O 1 IO_PAD346 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_PAD345 +bit 1023 O 1 IO_PAD345 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_PAD344 +bit 1020 O 1 IO_PAD344 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD343 +bit 1017 O 1 IO_PAD343 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_PAD342 +bit 1014 O 1 IO_PAD342 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_PAD340 +bit 1011 O 1 IO_PAD340 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_PAD338 +bit 1008 O 1 IO_PAD338 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_PAD337 +bit 1005 O 1 IO_PAD337 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_PAD336 +bit 1002 O 1 IO_PAD336 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_PAD335 +bit 999 O 1 IO_PAD335 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_PAD334 +bit 996 O 1 IO_PAD334 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD332 +bit 993 O 1 IO_PAD332 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_PAD331 +bit 990 O 1 IO_PAD331 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_PAD330 +bit 987 O 1 IO_PAD330 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD328 +bit 984 O 1 IO_PAD328 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD327 +bit 981 O 1 IO_PAD327 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD326 +bit 978 O 1 IO_PAD326 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD324 +bit 975 O 1 IO_PAD324 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_PAD323 +bit 972 O 1 IO_PAD323 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_PAD322 +bit 969 O 1 IO_PAD322 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD321 +bit 966 O 1 IO_PAD321 965 1 Z +bit 965 C 1 * +bit 964 I 1 M2_PAD320 +bit 963 I 1 M0_PAD319 +bit 962 I 1 M1_PAD318 +bit 961 I 1 IO_PAD316 +bit 960 O 1 IO_PAD316 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD315 +bit 957 O 1 IO_PAD315 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_PAD314 +bit 954 O 1 IO_PAD314 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_PAD312 +bit 951 O 1 IO_PAD312 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD311 +bit 948 O 1 IO_PAD311 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_PAD310 +bit 945 O 1 IO_PAD310 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_PAD309 +bit 942 O 1 IO_PAD309 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_PAD308 +bit 939 O 1 IO_PAD308 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_PAD306 +bit 936 O 1 IO_PAD306 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_PAD304 +bit 933 O 1 IO_PAD304 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_PAD303 +bit 930 O 1 IO_PAD303 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD302 +bit 927 O 1 IO_PAD302 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD301 +bit 924 O 1 IO_PAD301 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD300 +bit 921 O 1 IO_PAD300 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_PAD298 +bit 918 O 1 IO_PAD298 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_PAD296 +bit 915 O 1 IO_PAD296 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD294 +bit 912 O 1 IO_PAD294 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD292 +bit 909 O 1 IO_PAD292 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD291 +bit 906 O 1 IO_PAD291 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD290 +bit 903 O 1 IO_PAD290 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD288 +bit 900 O 1 IO_PAD288 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD286 +bit 897 O 1 IO_PAD286 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD285 +bit 894 O 1 IO_PAD285 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD284 +bit 891 O 1 IO_PAD284 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD283 +bit 888 O 1 IO_PAD283 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_PAD282 +bit 885 O 1 IO_PAD282 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_PAD280 +bit 882 O 1 IO_PAD280 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_PAD279 +bit 879 O 1 IO_PAD279 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_PAD278 +bit 876 O 1 IO_PAD278 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_PAD277 +bit 873 O 1 IO_PAD277 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_PAD276 +bit 870 O 1 IO_PAD276 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_PAD274 +bit 867 O 1 IO_PAD274 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_PAD273 +bit 864 O 1 IO_PAD273 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_PAD272 +bit 861 O 1 IO_PAD272 860 1 Z +bit 860 C 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_PAD270 +bit 852 O 1 IO_PAD270 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_PAD268 +bit 849 O 1 IO_PAD268 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_PAD267 +bit 846 O 1 IO_PAD267 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_PAD266 +bit 843 O 1 IO_PAD266 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_PAD265 +bit 840 O 1 IO_PAD265 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_PAD264 +bit 837 O 1 IO_PAD264 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_PAD262 +bit 834 O 1 IO_PAD262 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_PAD260 +bit 831 O 1 IO_PAD260 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_PAD258 +bit 828 O 1 IO_PAD258 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_PAD256 +bit 825 O 1 IO_PAD256 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_PAD255 +bit 822 O 1 IO_PAD255 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_PAD254 +bit 819 O 1 IO_PAD254 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 I 1 IO_PAD252 +bit 810 O 1 IO_PAD252 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD250 +bit 807 O 1 IO_PAD250 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_PAD249 +bit 804 O 1 IO_PAD249 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_PAD248 +bit 801 O 1 IO_PAD248 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_PAD247 +bit 798 O 1 IO_PAD247 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_PAD246 +bit 795 O 1 IO_PAD246 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD245 +bit 792 O 1 IO_PAD245 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD244 +bit 789 O 1 IO_PAD244 788 1 Z +bit 788 C 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 I 1 IO_PAD242 +bit 780 O 1 IO_PAD242 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_PAD240 +bit 777 O 1 IO_PAD240 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_PAD239 +bit 774 O 1 IO_PAD239 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD238 +bit 771 O 1 IO_PAD238 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD237 +bit 768 O 1 IO_PAD237 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD236 +bit 765 O 1 IO_PAD236 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD234 +bit 762 O 1 IO_PAD234 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD232 +bit 759 O 1 IO_PAD232 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD230 +bit 756 O 1 IO_PAD230 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD228 +bit 753 O 1 IO_PAD228 752 1 Z +bit 752 C 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 I 1 IO_PAD227 +bit 744 O 1 IO_PAD227 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD226 +bit 741 O 1 IO_PAD226 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD224 +bit 738 O 1 IO_PAD224 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD223 +bit 735 O 1 IO_PAD223 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_PAD222 +bit 732 O 1 IO_PAD222 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_PAD220 +bit 729 O 1 IO_PAD220 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_PAD218 +bit 726 O 1 IO_PAD218 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_PAD217 +bit 723 O 1 IO_PAD217 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_PAD215 +bit 720 O 1 IO_PAD215 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD214 +bit 717 O 1 IO_PAD214 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD212 +bit 714 O 1 IO_PAD212 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD210 +bit 711 O 1 IO_PAD210 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD209 +bit 708 O 1 IO_PAD209 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD208 +bit 705 O 1 IO_PAD208 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD206 +bit 702 O 1 IO_PAD206 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD205 +bit 699 O 1 IO_PAD205 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_PAD204 +bit 690 O 1 IO_PAD204 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD202 +bit 687 O 1 IO_PAD202 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD200 +bit 684 O 1 IO_PAD200 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD198 +bit 681 O 1 IO_PAD198 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD196 +bit 678 O 1 IO_PAD196 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD195 +bit 675 O 1 IO_PAD195 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD194 +bit 672 O 1 IO_PAD194 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD193 +bit 669 O 1 IO_PAD193 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD192 +bit 666 O 1 IO_PAD192 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD190 +bit 663 O 1 IO_PAD190 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_PAD188 +bit 654 O 1 IO_PAD188 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD187 +bit 651 O 1 IO_PAD187 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD186 +bit 648 O 1 IO_PAD186 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD185 +bit 645 O 1 IO_PAD185 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_PAD184 +bit 642 O 1 IO_PAD184 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_PAD183 +bit 639 O 1 IO_PAD183 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_PAD182 +bit 636 O 1 IO_PAD182 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD180 +bit 633 O 1 IO_PAD180 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 I 1 IO_PAD178 +bit 624 O 1 IO_PAD178 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_PAD177 +bit 621 O 1 IO_PAD177 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD176 +bit 618 O 1 IO_PAD176 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD174 +bit 615 O 1 IO_PAD174 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD172 +bit 612 O 1 IO_PAD172 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD170 +bit 609 O 1 IO_PAD170 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_PAD168 +bit 606 O 1 IO_PAD168 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_PAD167 +bit 603 O 1 IO_PAD167 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_PAD166 +bit 600 O 1 IO_PAD166 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD165 +bit 597 O 1 IO_PAD165 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD164 +bit 594 O 1 IO_PAD164 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD162 +bit 591 O 1 IO_PAD162 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_PAD160 +bit 582 O 1 IO_PAD160 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_PAD159 +bit 579 O 1 IO_PAD159 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD158 +bit 576 O 1 IO_PAD158 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD156 +bit 573 O 1 IO_PAD156 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD155 +bit 570 O 1 IO_PAD155 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD154 +bit 567 O 1 IO_PAD154 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_PAD153 +bit 564 O 1 IO_PAD153 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_PAD152 +bit 561 O 1 IO_PAD152 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_PAD150 +bit 558 O 1 IO_PAD150 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD149 +bit 555 O 1 IO_PAD149 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD148 +bit 552 O 1 IO_PAD148 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD147 +bit 549 O 1 IO_PAD147 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD146 +bit 546 O 1 IO_PAD146 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD144 +bit 543 O 1 IO_PAD144 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_PAD142 +bit 540 O 1 IO_PAD142 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_PAD141 +bit 537 O 1 IO_PAD141 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_PAD140 +bit 534 O 1 IO_PAD140 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_PAD138 +bit 531 O 1 IO_PAD138 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_PAD136 +bit 528 O 1 IO_PAD136 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_PAD134 +bit 525 O 1 IO_PAD134 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_PAD132 +bit 522 O 1 IO_PAD132 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_PAD131 +bit 519 O 1 IO_PAD131 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_PAD130 +bit 516 O 1 IO_PAD130 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_PAD129 +bit 513 O 1 IO_PAD129 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_PAD128 +bit 510 O 1 IO_PAD128 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_PAD126 +bit 507 O 1 IO_PAD126 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_PAD124 +bit 504 O 1 IO_PAD124 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_PAD123 +bit 501 O 1 IO_PAD123 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_PAD122 +bit 498 O 1 IO_PAD122 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_PAD121 +bit 495 O 1 IO_PAD121 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_PAD120 +bit 492 O 1 IO_PAD120 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_PAD118 +bit 489 O 1 IO_PAD118 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_PAD117 +bit 486 O 1 IO_PAD117 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_PAD116 +bit 483 O 1 IO_PAD116 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 I 1 HSWAP_EN_PAD112 +bit 479 I 1 IO_PAD111 +bit 478 O 1 IO_PAD111 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_PAD110 +bit 475 O 1 IO_PAD110 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_PAD109 +bit 472 O 1 IO_PAD109 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_PAD108 +bit 469 O 1 IO_PAD108 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_PAD106 +bit 466 O 1 IO_PAD106 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_PAD105 +bit 463 O 1 IO_PAD105 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_PAD104 +bit 460 O 1 IO_PAD104 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_PAD102 +bit 457 O 1 IO_PAD102 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_PAD101 +bit 454 O 1 IO_PAD101 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_PAD100 +bit 451 O 1 IO_PAD100 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_PAD98 +bit 448 O 1 IO_PAD98 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_PAD97 +bit 445 O 1 IO_PAD97 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_PAD96 +bit 442 O 1 IO_PAD96 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_PAD95 +bit 439 O 1 IO_PAD95 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_PAD94 +bit 436 O 1 IO_PAD94 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_PAD92 +bit 433 O 1 IO_PAD92 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_PAD90 +bit 430 O 1 IO_PAD90 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_PAD89 +bit 427 O 1 IO_PAD89 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_PAD88 +bit 424 O 1 IO_PAD88 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_PAD87 +bit 421 O 1 IO_PAD87 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_PAD86 +bit 418 O 1 IO_PAD86 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_PAD84 +bit 415 O 1 IO_PAD84 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_PAD82 +bit 412 O 1 IO_PAD82 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_PAD80 +bit 409 O 1 IO_PAD80 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_PAD78 +bit 406 O 1 IO_PAD78 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_PAD77 +bit 403 O 1 IO_PAD77 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_PAD76 +bit 400 O 1 IO_PAD76 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_PAD74 +bit 397 O 1 IO_PAD74 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_PAD73 +bit 394 O 1 IO_PAD73 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_PAD72 +bit 391 O 1 IO_PAD72 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_PAD70 +bit 388 O 1 IO_PAD70 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_PAD69 +bit 385 O 1 IO_PAD69 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_PAD68 +bit 382 O 1 IO_PAD68 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_PAD67 +bit 379 O 1 IO_PAD67 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_PAD66 +bit 376 O 1 IO_PAD66 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_PAD64 +bit 373 O 1 IO_PAD64 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_PAD63 +bit 370 O 1 IO_PAD63 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_PAD62 +bit 367 O 1 IO_PAD62 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_PAD60 +bit 364 O 1 IO_PAD60 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_PAD58 +bit 361 O 1 IO_PAD58 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_PAD57 +bit 358 O 1 IO_PAD57 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_PAD56 +bit 355 O 1 IO_PAD56 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_PAD55 +bit 352 O 1 IO_PAD55 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_PAD54 +bit 349 O 1 IO_PAD54 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_PAD52 +bit 346 O 1 IO_PAD52 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_PAD50 +bit 343 O 1 IO_PAD50 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_PAD48 +bit 340 O 1 IO_PAD48 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_PAD46 +bit 337 O 1 IO_PAD46 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_PAD45 +bit 334 O 1 IO_PAD45 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_PAD44 +bit 331 O 1 IO_PAD44 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_PAD42 +bit 328 O 1 IO_PAD42 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_PAD41 +bit 325 O 1 IO_PAD41 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_PAD40 +bit 322 O 1 IO_PAD40 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_PAD38 +bit 319 O 1 IO_PAD38 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_PAD36 +bit 316 O 1 IO_PAD36 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_PAD35 +bit 313 O 1 IO_PAD35 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_PAD34 +bit 310 O 1 IO_PAD34 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_PAD33 +bit 307 O 1 IO_PAD33 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_PAD32 +bit 304 O 1 IO_PAD32 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_PAD31 +bit 301 O 1 IO_PAD31 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_PAD30 +bit 298 O 1 IO_PAD30 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_PAD28 +bit 295 O 1 IO_PAD28 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_PAD26 +bit 292 O 1 IO_PAD26 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_PAD25 +bit 289 O 1 IO_PAD25 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_PAD24 +bit 286 O 1 IO_PAD24 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_PAD23 +bit 283 O 1 IO_PAD23 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_PAD22 +bit 280 O 1 IO_PAD22 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_PAD20 +bit 277 O 1 IO_PAD20 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_PAD18 +bit 274 O 1 IO_PAD18 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_PAD16 +bit 271 O 1 IO_PAD16 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_PAD14 +bit 268 O 1 IO_PAD14 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_PAD13 +bit 265 O 1 IO_PAD13 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_PAD12 +bit 262 O 1 IO_PAD12 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_PAD10 +bit 259 O 1 IO_PAD10 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_PAD9 +bit 256 O 1 IO_PAD9 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_PAD8 +bit 253 O 1 IO_PAD8 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_PAD6 +bit 250 O 1 IO_PAD6 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_PAD4 +bit 247 O 1 IO_PAD4 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_PAD3 +bit 244 O 1 IO_PAD3 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_PAD2 +bit 241 O 1 IO_PAD2 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_PAD861 +bit 238 O 1 IO_PAD861 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_PAD860 +bit 235 O 1 IO_PAD860 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_PAD859 +bit 232 O 1 IO_PAD859 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_PAD857 +bit 229 O 1 IO_PAD857 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_PAD855 +bit 226 O 1 IO_PAD855 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_PAD854 +bit 223 O 1 IO_PAD854 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_PAD853 +bit 220 O 1 IO_PAD853 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_PAD851 +bit 217 O 1 IO_PAD851 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_PAD850 +bit 214 O 1 IO_PAD850 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_PAD849 +bit 211 O 1 IO_PAD849 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_PAD847 +bit 208 O 1 IO_PAD847 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD845 +bit 205 O 1 IO_PAD845 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD843 +bit 202 O 1 IO_PAD843 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD841 +bit 199 O 1 IO_PAD841 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD840 +bit 196 O 1 IO_PAD840 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD839 +bit 193 O 1 IO_PAD839 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD838 +bit 190 O 1 IO_PAD838 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD837 +bit 187 O 1 IO_PAD837 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD835 +bit 184 O 1 IO_PAD835 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD833 +bit 181 O 1 IO_PAD833 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD832 +bit 178 O 1 IO_PAD832 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD831 +bit 175 O 1 IO_PAD831 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD830 +bit 172 O 1 IO_PAD830 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD829 +bit 169 O 1 IO_PAD829 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD828 +bit 166 O 1 IO_PAD828 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD827 +bit 163 O 1 IO_PAD827 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD825 +bit 160 O 1 IO_PAD825 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD823 +bit 157 O 1 IO_PAD823 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD822 +bit 154 O 1 IO_PAD822 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD821 +bit 151 O 1 IO_PAD821 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD819 +bit 148 O 1 IO_PAD819 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD818 +bit 145 O 1 IO_PAD818 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD817 +bit 142 O 1 IO_PAD817 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD815 +bit 139 O 1 IO_PAD815 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD813 +bit 136 O 1 IO_PAD813 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD811 +bit 133 O 1 IO_PAD811 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD809 +bit 130 O 1 IO_PAD809 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD808 +bit 127 O 1 IO_PAD808 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD807 +bit 124 O 1 IO_PAD807 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD806 +bit 121 O 1 IO_PAD806 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD805 +bit 118 O 1 IO_PAD805 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD803 +bit 115 O 1 IO_PAD803 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD801 +bit 112 O 1 IO_PAD801 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD800 +bit 109 O 1 IO_PAD800 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD799 +bit 106 O 1 IO_PAD799 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD797 +bit 103 O 1 IO_PAD797 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD796 +bit 100 O 1 IO_PAD796 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD795 +bit 97 O 1 IO_PAD795 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD794 +bit 94 O 1 IO_PAD794 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD793 +bit 91 O 1 IO_PAD793 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD791 +bit 88 O 1 IO_PAD791 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD790 +bit 85 O 1 IO_PAD790 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD789 +bit 82 O 1 IO_PAD789 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD787 +bit 79 O 1 IO_PAD787 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD786 +bit 76 O 1 IO_PAD786 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD785 +bit 73 O 1 IO_PAD785 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD783 +bit 70 O 1 IO_PAD783 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD781 +bit 67 O 1 IO_PAD781 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD779 +bit 64 O 1 IO_PAD779 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD777 +bit 61 O 1 IO_PAD777 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD776 +bit 58 O 1 IO_PAD776 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD775 +bit 55 O 1 IO_PAD775 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD774 +bit 52 O 1 IO_PAD774 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD773 +bit 49 O 1 IO_PAD773 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD771 +bit 46 O 1 IO_PAD771 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD769 +bit 43 O 1 IO_PAD769 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD768 +bit 40 O 1 IO_PAD768 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD767 +bit 37 O 1 IO_PAD767 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD766 +bit 34 O 1 IO_PAD766 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD765 +bit 31 O 1 IO_PAD765 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD763 +bit 28 O 1 IO_PAD763 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD762 +bit 25 O 1 IO_PAD762 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD761 +bit 22 O 1 IO_PAD761 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD759 +bit 19 O 1 IO_PAD759 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD758 +bit 16 O 1 IO_PAD758 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD757 +bit 13 O 1 IO_PAD757 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD755 +bit 10 O 1 IO_PAD755 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD754 +bit 7 O 1 IO_PAD754 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD753 +bit 4 O 1 IO_PAD753 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD752 +bit 1 O 1 IO_PAD752 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg456 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg456 new file mode 100644 index 0000000..e2a6571 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg456 @@ -0,0 +1,2398 @@ +signal CCLK_AA22 +signal DONE_AB21 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal HSWAP_EN_B3 +signal M0_AB2 +signal M1_AA1 +signal M2_AB3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A18 +signal IO_A19 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C13 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C22 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_H1 +signal IO_H2 +signal IO_H4 +signal IO_H5 +signal IO_H18 +signal IO_H19 +signal IO_H21 +signal IO_H22 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J17 +signal IO_J18 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L17 +signal IO_L18 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M17 +signal IO_M18 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N17 +signal IO_N18 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P17 +signal IO_P18 +signal IO_P19 +signal IO_P21 +signal IO_P22 +signal IO_R1 +signal IO_R2 +signal IO_R4 +signal IO_R5 +signal IO_R18 +signal IO_R19 +signal IO_R21 +signal IO_R22 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T17 +signal IO_T18 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U11 +signal IO_U12 +signal IO_U13 +signal IO_U14 +signal IO_U16 +signal IO_U17 +signal IO_U18 +signal IO_U19 +signal IO_U20 +signal IO_U21 +signal IO_V1 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V12 +signal IO_V13 +signal IO_V14 +signal IO_V15 +signal IO_V16 +signal IO_V17 +signal IO_V18 +signal IO_V19 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W8 +signal IO_W9 +signal IO_W10 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W17 +signal IO_W18 +signal IO_W19 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AB4 +signal IO_AB5 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB18 +signal IO_AB19 + +register BSR 1931 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1930 I 1 IO_C20 +bit 1929 O 1 IO_C20 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_C21 +bit 1926 O 1 IO_C21 1925 1 Z +bit 1925 C 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 O 1 * +bit 1920 O 1 * +bit 1919 O 1 * +bit 1918 O 1 * +bit 1917 O 1 * +bit 1916 O 1 * +bit 1915 O 1 * +bit 1914 O 1 * +bit 1913 O 1 * +bit 1912 O 1 * +bit 1911 O 1 * +bit 1910 O 1 * +bit 1909 O 1 * +bit 1908 O 1 * +bit 1907 O 1 * +bit 1906 O 1 * +bit 1905 O 1 * +bit 1904 O 1 * +bit 1903 O 1 * +bit 1902 O 1 * +bit 1901 O 1 * +bit 1900 O 1 * +bit 1899 O 1 * +bit 1898 O 1 * +bit 1897 O 1 * +bit 1896 O 1 * +bit 1895 O 1 * +bit 1894 O 1 * +bit 1893 O 1 * +bit 1892 O 1 * +bit 1891 O 1 * +bit 1890 O 1 * +bit 1889 O 1 * +bit 1888 O 1 * +bit 1887 O 1 * +bit 1886 O 1 * +bit 1885 O 1 * +bit 1884 O 1 * +bit 1883 O 1 * +bit 1882 O 1 * +bit 1881 O 1 * +bit 1880 O 1 * +bit 1879 O 1 * +bit 1878 O 1 * +bit 1877 O 1 * +bit 1876 O 1 * +bit 1875 O 1 * +bit 1874 O 1 * +bit 1873 O 1 * +bit 1872 O 1 * +bit 1871 O 1 * +bit 1870 O 1 * +bit 1869 O 1 * +bit 1868 O 1 * +bit 1867 O 1 * +bit 1866 O 1 * +bit 1865 O 1 * +bit 1864 O 1 * +bit 1863 O 1 * +bit 1862 O 1 * +bit 1861 O 1 * +bit 1860 O 1 * +bit 1859 O 1 * +bit 1858 O 1 * +bit 1857 O 1 * +bit 1856 O 1 * +bit 1855 O 1 * +bit 1854 O 1 * +bit 1853 O 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 I 1 IO_C22 +bit 1848 O 1 IO_C22 1847 1 Z +bit 1847 C 1 * +bit 1846 O 1 * +bit 1845 O 1 * +bit 1844 O 1 * +bit 1843 O 1 * +bit 1842 O 1 * +bit 1841 O 1 * +bit 1840 I 1 IO_D20 +bit 1839 O 1 IO_D20 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_D19 +bit 1836 O 1 IO_D19 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_D21 +bit 1833 O 1 IO_D21 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_D22 +bit 1830 O 1 IO_D22 1829 1 Z +bit 1829 C 1 * +bit 1828 O 1 * +bit 1827 O 1 * +bit 1826 O 1 * +bit 1825 O 1 * +bit 1824 O 1 * +bit 1823 O 1 * +bit 1822 I 1 IO_E18 +bit 1821 O 1 IO_E18 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_F18 +bit 1818 O 1 IO_F18 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_E19 +bit 1815 O 1 IO_E19 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_E20 +bit 1812 O 1 IO_E20 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_E21 +bit 1809 O 1 IO_E21 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_E22 +bit 1806 O 1 IO_E22 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_G17 +bit 1803 O 1 IO_G17 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_G18 +bit 1800 O 1 IO_G18 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_F19 +bit 1797 O 1 IO_F19 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_G19 +bit 1794 O 1 IO_G19 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_F20 +bit 1791 O 1 IO_F20 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_F21 +bit 1788 O 1 IO_F21 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_G20 +bit 1779 O 1 IO_G20 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_H19 +bit 1776 O 1 IO_H19 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_G21 +bit 1773 O 1 IO_G21 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_G22 +bit 1770 O 1 IO_G22 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_H18 +bit 1767 O 1 IO_H18 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_J17 +bit 1764 O 1 IO_J17 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_H21 +bit 1761 O 1 IO_H21 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_H22 +bit 1758 O 1 IO_H22 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 I 1 IO_J18 +bit 1749 O 1 IO_J18 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_J19 +bit 1746 O 1 IO_J19 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_J21 +bit 1743 O 1 IO_J21 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_J22 +bit 1740 O 1 IO_J22 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_K17 +bit 1737 O 1 IO_K17 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_K18 +bit 1734 O 1 IO_K18 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_K19 +bit 1731 O 1 IO_K19 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_K20 +bit 1728 O 1 IO_K20 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_K21 +bit 1725 O 1 IO_K21 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_K22 +bit 1722 O 1 IO_K22 1721 1 Z +bit 1721 C 1 * +bit 1720 O 1 * +bit 1719 O 1 * +bit 1718 O 1 * +bit 1717 O 1 * +bit 1716 O 1 * +bit 1715 O 1 * +bit 1714 O 1 * +bit 1713 O 1 * +bit 1712 O 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 I 1 IO_L17 +bit 1707 O 1 IO_L17 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_L18 +bit 1704 O 1 IO_L18 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_L19 +bit 1701 O 1 IO_L19 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_L20 +bit 1698 O 1 IO_L20 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_L21 +bit 1695 O 1 IO_L21 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_L22 +bit 1692 O 1 IO_L22 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_M22 +bit 1689 O 1 IO_M22 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_M21 +bit 1686 O 1 IO_M21 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_M20 +bit 1683 O 1 IO_M20 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_M19 +bit 1680 O 1 IO_M19 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_M18 +bit 1677 O 1 IO_M18 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_M17 +bit 1674 O 1 IO_M17 1673 1 Z +bit 1673 C 1 * +bit 1672 O 1 * +bit 1671 O 1 * +bit 1670 O 1 * +bit 1669 O 1 * +bit 1668 O 1 * +bit 1667 O 1 * +bit 1666 O 1 * +bit 1665 O 1 * +bit 1664 O 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_N22 +bit 1659 O 1 IO_N22 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_N21 +bit 1656 O 1 IO_N21 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_N20 +bit 1653 O 1 IO_N20 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_N19 +bit 1650 O 1 IO_N19 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_N18 +bit 1647 O 1 IO_N18 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_N17 +bit 1644 O 1 IO_N17 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_P22 +bit 1641 O 1 IO_P22 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_P21 +bit 1638 O 1 IO_P21 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_P18 +bit 1635 O 1 IO_P18 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_P17 +bit 1632 O 1 IO_P17 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 I 1 IO_P19 +bit 1623 O 1 IO_P19 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_R19 +bit 1620 O 1 IO_R19 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_R22 +bit 1617 O 1 IO_R22 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_R21 +bit 1614 O 1 IO_R21 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_T22 +bit 1611 O 1 IO_T22 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_T21 +bit 1608 O 1 IO_T21 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_T20 +bit 1605 O 1 IO_T20 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_T19 +bit 1602 O 1 IO_T19 1601 1 Z +bit 1601 C 1 * +bit 1600 O 1 * +bit 1599 O 1 * +bit 1598 O 1 * +bit 1597 O 1 * +bit 1596 O 1 * +bit 1595 O 1 * +bit 1594 I 1 IO_R18 +bit 1593 O 1 IO_R18 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_T18 +bit 1590 O 1 IO_T18 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_U21 +bit 1587 O 1 IO_U21 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_U20 +bit 1584 O 1 IO_U20 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_T17 +bit 1581 O 1 IO_T17 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_U18 +bit 1578 O 1 IO_U18 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_V22 +bit 1575 O 1 IO_V22 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_V21 +bit 1572 O 1 IO_V21 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_U19 +bit 1569 O 1 IO_U19 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_V20 +bit 1566 O 1 IO_V20 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_W21 +bit 1563 O 1 IO_W21 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_W20 +bit 1560 O 1 IO_W20 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_V19 +bit 1551 O 1 IO_V19 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_W19 +bit 1548 O 1 IO_W19 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_W22 +bit 1545 O 1 IO_W22 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_Y22 +bit 1542 O 1 IO_Y22 1541 1 Z +bit 1541 C 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 I 1 IO_Y21 +bit 1533 O 1 IO_Y21 1532 1 Z +bit 1532 C 1 * +bit 1531 O 1 * +bit 1530 O 1 * +bit 1529 O 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 O 1 * +bit 1521 O 1 * +bit 1520 O 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 O 1 * +bit 1515 O 1 * +bit 1514 O 1 * +bit 1513 O 1 * +bit 1512 O 1 * +bit 1511 O 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 O 1 * +bit 1506 O 1 * +bit 1505 O 1 * +bit 1504 O 1 * +bit 1503 O 1 * +bit 1502 O 1 * +bit 1501 O 1 * +bit 1500 O 1 * +bit 1499 O 1 * +bit 1498 O 1 * +bit 1497 O 1 * +bit 1496 O 1 * +bit 1495 O 1 * +bit 1494 O 1 * +bit 1493 O 1 * +bit 1492 O 1 * +bit 1491 O 1 * +bit 1490 O 1 * +bit 1489 O 1 * +bit 1488 O 1 * +bit 1487 O 1 * +bit 1486 O 1 * +bit 1485 O 1 * +bit 1484 O 1 * +bit 1483 O 1 * +bit 1482 O 1 * +bit 1481 O 1 * +bit 1480 O 1 * +bit 1479 O 1 * +bit 1478 O 1 * +bit 1477 O 1 * +bit 1476 O 1 * +bit 1475 O 1 * +bit 1474 O 1 * +bit 1473 O 1 * +bit 1472 O 1 * +bit 1471 O 1 * +bit 1470 O 1 * +bit 1469 O 1 * +bit 1468 O 1 * +bit 1467 O 1 * +bit 1466 O 1 * +bit 1465 O 1 * +bit 1464 O 1 * +bit 1463 O 1 * +bit 1462 O 1 * +bit 1461 O 1 * +bit 1460 O 1 * +bit 1459 O 1 * +bit 1458 O 1 * +bit 1457 O 1 * +bit 1456 I 1 IO_Y20 +bit 1455 O 1 IO_Y20 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_Y19 +bit 1452 O 1 IO_Y19 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 CCLK_AA22 +bit 1449 O 1 CCLK_AA22 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 DONE_AB21 +bit 1446 O 1 DONE_AB21 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_V18 +bit 1443 O 1 IO_V18 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AA20 +bit 1440 O 1 IO_AA20 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AB20 +bit 1437 O 1 IO_AB20 1436 1 Z +bit 1436 C 1 * +bit 1435 O 1 * +bit 1434 O 1 * +bit 1433 O 1 * +bit 1432 O 1 * +bit 1431 O 1 * +bit 1430 O 1 * +bit 1429 O 1 * +bit 1428 O 1 * +bit 1427 O 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 O 1 * +bit 1419 O 1 * +bit 1418 O 1 * +bit 1417 O 1 * +bit 1416 O 1 * +bit 1415 O 1 * +bit 1414 O 1 * +bit 1413 O 1 * +bit 1412 O 1 * +bit 1411 I 1 IO_AA19 +bit 1410 O 1 IO_AA19 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AB19 +bit 1407 O 1 IO_AB19 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_W18 +bit 1404 O 1 IO_W18 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_Y18 +bit 1401 O 1 IO_Y18 1400 1 Z +bit 1400 C 1 * +bit 1399 O 1 * +bit 1398 O 1 * +bit 1397 O 1 * +bit 1396 O 1 * +bit 1395 O 1 * +bit 1394 O 1 * +bit 1393 O 1 * +bit 1392 O 1 * +bit 1391 O 1 * +bit 1390 O 1 * +bit 1389 O 1 * +bit 1388 O 1 * +bit 1387 O 1 * +bit 1386 O 1 * +bit 1385 O 1 * +bit 1384 I 1 IO_U17 +bit 1383 O 1 IO_U17 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AA18 +bit 1380 O 1 IO_AA18 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AB18 +bit 1377 O 1 IO_AB18 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_V17 +bit 1374 O 1 IO_V17 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_W17 +bit 1371 O 1 IO_W17 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_U16 +bit 1368 O 1 IO_U16 1367 1 Z +bit 1367 C 1 * +bit 1366 O 1 * +bit 1365 O 1 * +bit 1364 O 1 * +bit 1363 O 1 * +bit 1362 O 1 * +bit 1361 O 1 * +bit 1360 O 1 * +bit 1359 O 1 * +bit 1358 O 1 * +bit 1357 O 1 * +bit 1356 O 1 * +bit 1355 O 1 * +bit 1354 O 1 * +bit 1353 O 1 * +bit 1352 O 1 * +bit 1351 O 1 * +bit 1350 O 1 * +bit 1349 O 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 O 1 * +bit 1341 O 1 * +bit 1340 O 1 * +bit 1339 O 1 * +bit 1338 O 1 * +bit 1337 O 1 * +bit 1336 I 1 IO_Y17 +bit 1335 O 1 IO_Y17 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AA17 +bit 1332 O 1 IO_AA17 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_V16 +bit 1329 O 1 IO_V16 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_W16 +bit 1326 O 1 IO_W16 1325 1 Z +bit 1325 C 1 * +bit 1324 O 1 * +bit 1323 O 1 * +bit 1322 O 1 * +bit 1321 O 1 * +bit 1320 O 1 * +bit 1319 O 1 * +bit 1318 O 1 * +bit 1317 O 1 * +bit 1316 O 1 * +bit 1315 O 1 * +bit 1314 O 1 * +bit 1313 O 1 * +bit 1312 O 1 * +bit 1311 O 1 * +bit 1310 O 1 * +bit 1309 I 1 IO_Y16 +bit 1308 O 1 IO_Y16 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AA16 +bit 1305 O 1 IO_AA16 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AB16 +bit 1302 O 1 IO_AB16 1301 1 Z +bit 1301 C 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 O 1 * +bit 1296 O 1 * +bit 1295 O 1 * +bit 1294 O 1 * +bit 1293 O 1 * +bit 1292 O 1 * +bit 1291 O 1 * +bit 1290 O 1 * +bit 1289 O 1 * +bit 1288 O 1 * +bit 1287 O 1 * +bit 1286 O 1 * +bit 1285 I 1 IO_V15 +bit 1284 O 1 IO_V15 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_W15 +bit 1281 O 1 IO_W15 1280 1 Z +bit 1280 C 1 * +bit 1279 O 1 * +bit 1278 O 1 * +bit 1277 O 1 * +bit 1276 O 1 * +bit 1275 O 1 * +bit 1274 O 1 * +bit 1273 O 1 * +bit 1272 O 1 * +bit 1271 O 1 * +bit 1270 I 1 IO_AA15 +bit 1269 O 1 IO_AA15 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AB15 +bit 1266 O 1 IO_AB15 1265 1 Z +bit 1265 C 1 * +bit 1264 O 1 * +bit 1263 O 1 * +bit 1262 O 1 * +bit 1261 I 1 IO_U14 +bit 1260 O 1 IO_U14 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_V14 +bit 1257 O 1 IO_V14 1256 1 Z +bit 1256 C 1 * +bit 1255 O 1 * +bit 1254 O 1 * +bit 1253 O 1 * +bit 1252 O 1 * +bit 1251 O 1 * +bit 1250 O 1 * +bit 1249 I 1 IO_W14 +bit 1248 O 1 IO_W14 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AA14 +bit 1245 O 1 IO_AA14 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AB14 +bit 1242 O 1 IO_AB14 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_U13 +bit 1239 O 1 IO_U13 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_V13 +bit 1236 O 1 IO_V13 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_W13 +bit 1233 O 1 IO_W13 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_Y13 +bit 1230 O 1 IO_Y13 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AA13 +bit 1227 O 1 IO_AA13 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_U12 +bit 1224 O 1 IO_U12 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_V12 +bit 1221 O 1 IO_V12 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AB13 +bit 1218 O 1 IO_AB13 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_W12 +bit 1215 O 1 IO_W12 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_Y12 +bit 1212 O 1 IO_Y12 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AA12 +bit 1209 O 1 IO_AA12 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AB12 +bit 1206 O 1 IO_AB12 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AB11 +bit 1203 O 1 IO_AB11 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AA11 +bit 1200 O 1 IO_AA11 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_Y11 +bit 1197 O 1 IO_Y11 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_W11 +bit 1194 O 1 IO_W11 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_V11 +bit 1191 O 1 IO_V11 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_U11 +bit 1188 O 1 IO_U11 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AB10 +bit 1185 O 1 IO_AB10 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AA10 +bit 1182 O 1 IO_AA10 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_Y10 +bit 1179 O 1 IO_Y10 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_W10 +bit 1176 O 1 IO_W10 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_V10 +bit 1173 O 1 IO_V10 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AB9 +bit 1170 O 1 IO_AB9 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AA9 +bit 1167 O 1 IO_AA9 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_W9 +bit 1164 O 1 IO_W9 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_V9 +bit 1161 O 1 IO_V9 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_U10 +bit 1158 O 1 IO_U10 1157 1 Z +bit 1157 C 1 * +bit 1156 O 1 * +bit 1155 O 1 * +bit 1154 O 1 * +bit 1153 O 1 * +bit 1152 O 1 * +bit 1151 O 1 * +bit 1150 I 1 IO_AB8 +bit 1149 O 1 IO_AB8 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AA8 +bit 1146 O 1 IO_AA8 1145 1 Z +bit 1145 C 1 * +bit 1144 O 1 * +bit 1143 O 1 * +bit 1142 O 1 * +bit 1141 I 1 IO_W8 +bit 1140 O 1 IO_W8 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_V8 +bit 1137 O 1 IO_V8 1136 1 Z +bit 1136 C 1 * +bit 1135 O 1 * +bit 1134 O 1 * +bit 1133 O 1 * +bit 1132 O 1 * +bit 1131 O 1 * +bit 1130 O 1 * +bit 1129 I 1 IO_U9 +bit 1128 O 1 IO_U9 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AB7 +bit 1125 O 1 IO_AB7 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AA7 +bit 1122 O 1 IO_AA7 1121 1 Z +bit 1121 C 1 * +bit 1120 O 1 * +bit 1119 O 1 * +bit 1118 O 1 * +bit 1117 O 1 * +bit 1116 O 1 * +bit 1115 O 1 * +bit 1114 O 1 * +bit 1113 O 1 * +bit 1112 O 1 * +bit 1111 O 1 * +bit 1110 O 1 * +bit 1109 O 1 * +bit 1108 O 1 * +bit 1107 O 1 * +bit 1106 O 1 * +bit 1105 I 1 IO_Y7 +bit 1104 O 1 IO_Y7 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_W7 +bit 1101 O 1 IO_W7 1100 1 Z +bit 1100 C 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 O 1 * +bit 1092 O 1 * +bit 1091 O 1 * +bit 1090 O 1 * +bit 1089 O 1 * +bit 1088 O 1 * +bit 1087 O 1 * +bit 1086 O 1 * +bit 1085 O 1 * +bit 1084 I 1 IO_V7 +bit 1083 O 1 IO_V7 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AA6 +bit 1080 O 1 IO_AA6 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_Y6 +bit 1077 O 1 IO_Y6 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_W6 +bit 1074 O 1 IO_W6 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_V6 +bit 1071 O 1 IO_V6 1070 1 Z +bit 1070 C 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 O 1 * +bit 1062 O 1 * +bit 1061 O 1 * +bit 1060 O 1 * +bit 1059 O 1 * +bit 1058 O 1 * +bit 1057 O 1 * +bit 1056 O 1 * +bit 1055 O 1 * +bit 1054 O 1 * +bit 1053 O 1 * +bit 1052 O 1 * +bit 1051 O 1 * +bit 1050 O 1 * +bit 1049 O 1 * +bit 1048 O 1 * +bit 1047 O 1 * +bit 1046 O 1 * +bit 1045 O 1 * +bit 1044 O 1 * +bit 1043 O 1 * +bit 1042 O 1 * +bit 1041 O 1 * +bit 1040 O 1 * +bit 1039 I 1 IO_U7 +bit 1038 O 1 IO_U7 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AB5 +bit 1035 O 1 IO_AB5 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AA5 +bit 1032 O 1 IO_AA5 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_Y5 +bit 1029 O 1 IO_Y5 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_W5 +bit 1026 O 1 IO_W5 1025 1 Z +bit 1025 C 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 O 1 * +bit 1020 O 1 * +bit 1019 O 1 * +bit 1018 O 1 * +bit 1017 O 1 * +bit 1016 O 1 * +bit 1015 O 1 * +bit 1014 O 1 * +bit 1013 O 1 * +bit 1012 O 1 * +bit 1011 O 1 * +bit 1010 O 1 * +bit 1009 I 1 IO_U6 +bit 1008 O 1 IO_U6 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AB4 +bit 1005 O 1 IO_AB4 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AA4 +bit 1002 O 1 IO_AA4 1001 1 Z +bit 1001 C 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 O 1 * +bit 996 O 1 * +bit 995 O 1 * +bit 994 O 1 * +bit 993 O 1 * +bit 992 O 1 * +bit 991 O 1 * +bit 990 O 1 * +bit 989 O 1 * +bit 988 O 1 * +bit 987 O 1 * +bit 986 O 1 * +bit 985 O 1 * +bit 984 O 1 * +bit 983 O 1 * +bit 982 O 1 * +bit 981 O 1 * +bit 980 O 1 * +bit 979 O 1 * +bit 978 O 1 * +bit 977 O 1 * +bit 976 O 1 * +bit 975 O 1 * +bit 974 O 1 * +bit 973 O 1 * +bit 972 O 1 * +bit 971 O 1 * +bit 970 I 1 IO_Y4 +bit 969 O 1 IO_Y4 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AA3 +bit 966 O 1 IO_AA3 965 1 Z +bit 965 C 1 * +bit 964 I 1 M2_AB3 +bit 963 I 1 M0_AB2 +bit 962 I 1 M1_AA1 +bit 961 I 1 IO_Y3 +bit 960 O 1 IO_Y3 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_Y2 +bit 957 O 1 IO_Y2 956 1 Z +bit 956 C 1 * +bit 955 O 1 * +bit 954 O 1 * +bit 953 O 1 * +bit 952 O 1 * +bit 951 O 1 * +bit 950 O 1 * +bit 949 O 1 * +bit 948 O 1 * +bit 947 O 1 * +bit 946 O 1 * +bit 945 O 1 * +bit 944 O 1 * +bit 943 O 1 * +bit 942 O 1 * +bit 941 O 1 * +bit 940 O 1 * +bit 939 O 1 * +bit 938 O 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 O 1 * +bit 930 O 1 * +bit 929 O 1 * +bit 928 O 1 * +bit 927 O 1 * +bit 926 O 1 * +bit 925 O 1 * +bit 924 O 1 * +bit 923 O 1 * +bit 922 O 1 * +bit 921 O 1 * +bit 920 O 1 * +bit 919 O 1 * +bit 918 O 1 * +bit 917 O 1 * +bit 916 O 1 * +bit 915 O 1 * +bit 914 O 1 * +bit 913 O 1 * +bit 912 O 1 * +bit 911 O 1 * +bit 910 O 1 * +bit 909 O 1 * +bit 908 O 1 * +bit 907 O 1 * +bit 906 O 1 * +bit 905 O 1 * +bit 904 O 1 * +bit 903 O 1 * +bit 902 O 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 O 1 * +bit 891 O 1 * +bit 890 O 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 I 1 IO_Y1 +bit 879 O 1 IO_Y1 878 1 Z +bit 878 C 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 I 1 IO_W4 +bit 870 O 1 IO_W4 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_W3 +bit 867 O 1 IO_W3 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_W2 +bit 864 O 1 IO_W2 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_W1 +bit 861 O 1 IO_W1 860 1 Z +bit 860 C 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_V5 +bit 852 O 1 IO_V5 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_U5 +bit 849 O 1 IO_U5 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_V4 +bit 846 O 1 IO_V4 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_V3 +bit 843 O 1 IO_V3 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_V2 +bit 840 O 1 IO_V2 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_V1 +bit 837 O 1 IO_V1 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_T6 +bit 834 O 1 IO_T6 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_T5 +bit 831 O 1 IO_T5 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_U4 +bit 828 O 1 IO_U4 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_T4 +bit 825 O 1 IO_T4 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_U3 +bit 822 O 1 IO_U3 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_U2 +bit 819 O 1 IO_U2 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 I 1 IO_T3 +bit 810 O 1 IO_T3 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_R4 +bit 807 O 1 IO_R4 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_T2 +bit 804 O 1 IO_T2 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_T1 +bit 801 O 1 IO_T1 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_R5 +bit 798 O 1 IO_R5 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_P6 +bit 795 O 1 IO_P6 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_R2 +bit 792 O 1 IO_R2 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_R1 +bit 789 O 1 IO_R1 788 1 Z +bit 788 C 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 I 1 IO_P5 +bit 780 O 1 IO_P5 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_P4 +bit 777 O 1 IO_P4 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_P2 +bit 774 O 1 IO_P2 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_P1 +bit 771 O 1 IO_P1 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_N6 +bit 768 O 1 IO_N6 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_N5 +bit 765 O 1 IO_N5 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_N4 +bit 762 O 1 IO_N4 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_N3 +bit 759 O 1 IO_N3 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_N2 +bit 756 O 1 IO_N2 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_N1 +bit 753 O 1 IO_N1 752 1 Z +bit 752 C 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 I 1 IO_M6 +bit 738 O 1 IO_M6 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_M5 +bit 735 O 1 IO_M5 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_M4 +bit 732 O 1 IO_M4 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_M3 +bit 729 O 1 IO_M3 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_M2 +bit 726 O 1 IO_M2 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_M1 +bit 723 O 1 IO_M1 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_L1 +bit 720 O 1 IO_L1 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_L2 +bit 717 O 1 IO_L2 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_L3 +bit 714 O 1 IO_L3 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_L4 +bit 711 O 1 IO_L4 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_L5 +bit 708 O 1 IO_L5 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_L6 +bit 705 O 1 IO_L6 704 1 Z +bit 704 C 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 O 1 * +bit 699 O 1 * +bit 698 O 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_K1 +bit 690 O 1 IO_K1 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_K2 +bit 687 O 1 IO_K2 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_K3 +bit 684 O 1 IO_K3 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_K4 +bit 681 O 1 IO_K4 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_K5 +bit 678 O 1 IO_K5 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_K6 +bit 675 O 1 IO_K6 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_J1 +bit 672 O 1 IO_J1 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_J2 +bit 669 O 1 IO_J2 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_J5 +bit 666 O 1 IO_J5 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_J6 +bit 663 O 1 IO_J6 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_J4 +bit 654 O 1 IO_J4 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_H4 +bit 651 O 1 IO_H4 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_H1 +bit 648 O 1 IO_H1 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_H2 +bit 645 O 1 IO_H2 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_G1 +bit 642 O 1 IO_G1 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_G2 +bit 639 O 1 IO_G2 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_G3 +bit 636 O 1 IO_G3 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_G4 +bit 633 O 1 IO_G4 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 I 1 IO_H5 +bit 624 O 1 IO_H5 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_G5 +bit 621 O 1 IO_G5 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_F2 +bit 618 O 1 IO_F2 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_F3 +bit 615 O 1 IO_F3 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_G6 +bit 612 O 1 IO_G6 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_F5 +bit 609 O 1 IO_F5 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_E1 +bit 606 O 1 IO_E1 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_E2 +bit 603 O 1 IO_E2 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_F4 +bit 600 O 1 IO_F4 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_E3 +bit 597 O 1 IO_E3 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_D3 +bit 594 O 1 IO_D3 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_D2 +bit 591 O 1 IO_D2 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_E4 +bit 582 O 1 IO_E4 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_D4 +bit 579 O 1 IO_D4 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_D1 +bit 576 O 1 IO_D1 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_C1 +bit 573 O 1 IO_C1 572 1 Z +bit 572 C 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 O 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 I 1 IO_C2 +bit 564 O 1 IO_C2 563 1 Z +bit 563 C 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 O 1 * +bit 556 O 1 * +bit 555 O 1 * +bit 554 O 1 * +bit 553 O 1 * +bit 552 O 1 * +bit 551 O 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 O 1 * +bit 531 O 1 * +bit 530 O 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 O 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 O 1 * +bit 507 O 1 * +bit 506 O 1 * +bit 505 O 1 * +bit 504 O 1 * +bit 503 O 1 * +bit 502 O 1 * +bit 501 O 1 * +bit 500 O 1 * +bit 499 O 1 * +bit 498 O 1 * +bit 497 O 1 * +bit 496 O 1 * +bit 495 O 1 * +bit 494 O 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 O 1 * +bit 490 O 1 * +bit 489 O 1 * +bit 488 O 1 * +bit 487 I 1 IO_C3 +bit 486 O 1 IO_C3 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_C4 +bit 483 O 1 IO_C4 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 I 1 HSWAP_EN_B3 +bit 479 I 1 IO_A3 +bit 478 O 1 IO_A3 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_B4 +bit 475 O 1 IO_B4 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_A4 +bit 472 O 1 IO_A4 471 1 Z +bit 471 C 1 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 O 1 * +bit 451 O 1 * +bit 450 O 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 I 1 IO_E5 +bit 442 O 1 IO_E5 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_D5 +bit 439 O 1 IO_D5 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_C5 +bit 436 O 1 IO_C5 435 1 Z +bit 435 C 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 I 1 IO_F6 +bit 418 O 1 IO_F6 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_B5 +bit 415 O 1 IO_B5 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_A5 +bit 412 O 1 IO_A5 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_E6 +bit 409 O 1 IO_E6 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_D6 +bit 406 O 1 IO_D6 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_F7 +bit 403 O 1 IO_F7 402 1 Z +bit 402 C 1 * +bit 401 O 1 * +bit 400 O 1 * +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 IO_C6 +bit 370 O 1 IO_C6 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_B6 +bit 367 O 1 IO_B6 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_E7 +bit 364 O 1 IO_E7 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_D7 +bit 361 O 1 IO_D7 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 I 1 IO_C7 +bit 343 O 1 IO_C7 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_B7 +bit 340 O 1 IO_B7 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_A7 +bit 337 O 1 IO_A7 336 1 Z +bit 336 C 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 I 1 IO_E8 +bit 319 O 1 IO_E8 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_D8 +bit 316 O 1 IO_D8 315 1 Z +bit 315 C 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 O 1 * +bit 309 O 1 * +bit 308 O 1 * +bit 307 O 1 * +bit 306 O 1 * +bit 305 I 1 IO_B8 +bit 304 O 1 IO_B8 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_A8 +bit 301 O 1 IO_A8 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 IO_F9 +bit 295 O 1 IO_F9 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_E9 +bit 292 O 1 IO_E9 291 1 Z +bit 291 C 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 I 1 IO_D9 +bit 283 O 1 IO_D9 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_B9 +bit 280 O 1 IO_B9 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_A9 +bit 277 O 1 IO_A9 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_F10 +bit 274 O 1 IO_F10 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_E10 +bit 271 O 1 IO_E10 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_D10 +bit 268 O 1 IO_D10 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_C10 +bit 265 O 1 IO_C10 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_B10 +bit 262 O 1 IO_B10 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_F11 +bit 259 O 1 IO_F11 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_E11 +bit 256 O 1 IO_E11 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_A10 +bit 253 O 1 IO_A10 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_D11 +bit 250 O 1 IO_D11 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_C11 +bit 247 O 1 IO_C11 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_B11 +bit 244 O 1 IO_B11 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_A11 +bit 241 O 1 IO_A11 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_A12 +bit 238 O 1 IO_A12 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B12 +bit 235 O 1 IO_B12 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C12 +bit 232 O 1 IO_C12 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_D12 +bit 229 O 1 IO_D12 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E12 +bit 226 O 1 IO_E12 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_F12 +bit 223 O 1 IO_F12 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_A13 +bit 220 O 1 IO_A13 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_B13 +bit 217 O 1 IO_B13 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_C13 +bit 214 O 1 IO_C13 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_D13 +bit 211 O 1 IO_D13 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_E13 +bit 208 O 1 IO_E13 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_A14 +bit 205 O 1 IO_A14 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_B14 +bit 202 O 1 IO_B14 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_D14 +bit 199 O 1 IO_D14 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_E14 +bit 196 O 1 IO_E14 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_F13 +bit 193 O 1 IO_F13 192 1 Z +bit 192 C 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 I 1 IO_B15 +bit 184 O 1 IO_B15 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_A15 +bit 181 O 1 IO_A15 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_D15 +bit 175 O 1 IO_D15 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_E15 +bit 172 O 1 IO_E15 171 1 Z +bit 171 C 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 I 1 IO_F14 +bit 163 O 1 IO_F14 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_A16 +bit 160 O 1 IO_A16 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_B16 +bit 157 O 1 IO_B16 156 1 Z +bit 156 C 1 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 I 1 IO_C16 +bit 139 O 1 IO_C16 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_D16 +bit 136 O 1 IO_D16 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 I 1 IO_E16 +bit 118 O 1 IO_E16 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_B17 +bit 115 O 1 IO_B17 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_C17 +bit 112 O 1 IO_C17 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_D17 +bit 109 O 1 IO_D17 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_E17 +bit 106 O 1 IO_E17 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 O 1 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 O 1 * +bit 82 O 1 * +bit 81 O 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 I 1 IO_F16 +bit 73 O 1 IO_F16 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_A18 +bit 70 O 1 IO_A18 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B18 +bit 67 O 1 IO_B18 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_C18 +bit 64 O 1 IO_C18 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_D18 +bit 61 O 1 IO_D18 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 I 1 IO_F17 +bit 43 O 1 IO_F17 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_A19 +bit 40 O 1 IO_A19 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_B19 +bit 37 O 1 IO_B19 36 1 Z +bit 36 C 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_C19 +bit 4 O 1 IO_C19 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B20 +bit 1 O 1 IO_B20 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg676 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg676 new file mode 100644 index 0000000..e049248 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg676 @@ -0,0 +1,2618 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal CCLK_AD26 +signal DONE_AC24 +signal HSWAP_EN_C2 +signal M0_AE3 +signal M1_AC3 +signal M2_AF3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_A19 +signal IO_A20 +signal IO_A21 +signal IO_A22 +signal IO_A23 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D25 +signal IO_D26 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_E23 +signal IO_E24 +signal IO_E25 +signal IO_E26 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_G1 +signal IO_G2 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G9 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G25 +signal IO_G26 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H20 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J7 +signal IO_J20 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J24 +signal IO_J25 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K24 +signal IO_K25 +signal IO_K26 +signal IO_L1 +signal IO_L2 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L23 +signal IO_L25 +signal IO_L26 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N24 +signal IO_N25 +signal IO_N26 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R19 +signal IO_R20 +signal IO_R21 +signal IO_R22 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_T1 +signal IO_T2 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T25 +signal IO_T26 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U20 +signal IO_U21 +signal IO_U22 +signal IO_U23 +signal IO_U24 +signal IO_U25 +signal IO_U26 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V24 +signal IO_V25 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_Y1 +signal IO_Y2 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y14 +signal IO_Y15 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_Y23 +signal IO_Y25 +signal IO_Y26 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA23 +signal IO_AA24 +signal IO_AA25 +signal IO_AA26 +signal IO_AB1 +signal IO_AB2 +signal IO_AB3 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB20 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB24 +signal IO_AB25 +signal IO_AB26 +signal IO_AC1 +signal IO_AC2 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC13 +signal IO_AC14 +signal IO_AC16 +signal IO_AC17 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC21 +signal IO_AC22 +signal IO_AC25 +signal IO_AC26 +signal IO_AD1 +signal IO_AD2 +signal IO_AD4 +signal IO_AD5 +signal IO_AD6 +signal IO_AD8 +signal IO_AD9 +signal IO_AD10 +signal IO_AD12 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AF4 +signal IO_AF5 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF10 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF14 +signal IO_AF15 +signal IO_AF16 +signal IO_AF17 +signal IO_AF19 +signal IO_AF20 +signal IO_AF21 +signal IO_AF22 +signal IO_AF23 + +register BSR 1931 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1930 I 1 IO_C25 +bit 1929 O 1 IO_C25 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_C26 +bit 1926 O 1 IO_C26 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_E23 +bit 1923 O 1 IO_E23 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_E24 +bit 1920 O 1 IO_E24 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_D25 +bit 1917 O 1 IO_D25 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_D26 +bit 1914 O 1 IO_D26 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_F22 +bit 1911 O 1 IO_F22 1910 1 Z +bit 1910 C 1 * +bit 1909 O 1 * +bit 1908 O 1 * +bit 1907 O 1 * +bit 1906 I 1 IO_E25 +bit 1905 O 1 IO_E25 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_E26 +bit 1902 O 1 IO_E26 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_G20 +bit 1899 O 1 IO_G20 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_G21 +bit 1896 O 1 IO_G21 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_F23 +bit 1893 O 1 IO_F23 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_F24 +bit 1890 O 1 IO_F24 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_G22 +bit 1887 O 1 IO_G22 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_G23 +bit 1884 O 1 IO_G23 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_F25 +bit 1881 O 1 IO_F25 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_F26 +bit 1878 O 1 IO_F26 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_G25 +bit 1875 O 1 IO_G25 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_G26 +bit 1872 O 1 IO_G26 1871 1 Z +bit 1871 C 1 * +bit 1870 O 1 * +bit 1869 O 1 * +bit 1868 O 1 * +bit 1867 O 1 * +bit 1866 O 1 * +bit 1865 O 1 * +bit 1864 O 1 * +bit 1863 O 1 * +bit 1862 O 1 * +bit 1861 O 1 * +bit 1860 O 1 * +bit 1859 O 1 * +bit 1858 O 1 * +bit 1857 O 1 * +bit 1856 O 1 * +bit 1855 O 1 * +bit 1854 O 1 * +bit 1853 O 1 * +bit 1852 I 1 IO_H20 +bit 1851 O 1 IO_H20 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_H21 +bit 1848 O 1 IO_H21 1847 1 Z +bit 1847 C 1 * +bit 1846 O 1 * +bit 1845 O 1 * +bit 1844 O 1 * +bit 1843 O 1 * +bit 1842 O 1 * +bit 1841 O 1 * +bit 1840 I 1 IO_H22 +bit 1839 O 1 IO_H22 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_J21 +bit 1836 O 1 IO_J21 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_H23 +bit 1833 O 1 IO_H23 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_H24 +bit 1830 O 1 IO_H24 1829 1 Z +bit 1829 C 1 * +bit 1828 O 1 * +bit 1827 O 1 * +bit 1826 O 1 * +bit 1825 O 1 * +bit 1824 O 1 * +bit 1823 O 1 * +bit 1822 I 1 IO_H25 +bit 1821 O 1 IO_H25 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_H26 +bit 1818 O 1 IO_H26 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_J20 +bit 1815 O 1 IO_J20 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_K20 +bit 1812 O 1 IO_K20 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_J22 +bit 1809 O 1 IO_J22 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_J23 +bit 1806 O 1 IO_J23 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_J24 +bit 1803 O 1 IO_J24 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_J25 +bit 1800 O 1 IO_J25 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_K21 +bit 1797 O 1 IO_K21 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_K22 +bit 1794 O 1 IO_K22 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_K23 +bit 1791 O 1 IO_K23 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_K24 +bit 1788 O 1 IO_K24 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_K25 +bit 1779 O 1 IO_K25 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_K26 +bit 1776 O 1 IO_K26 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_L19 +bit 1773 O 1 IO_L19 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_L20 +bit 1770 O 1 IO_L20 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_L21 +bit 1767 O 1 IO_L21 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_L22 +bit 1764 O 1 IO_L22 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_L25 +bit 1761 O 1 IO_L25 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_L26 +bit 1758 O 1 IO_L26 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 I 1 IO_M19 +bit 1749 O 1 IO_M19 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_M20 +bit 1746 O 1 IO_M20 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_M21 +bit 1743 O 1 IO_M21 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_M22 +bit 1740 O 1 IO_M22 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_L23 +bit 1737 O 1 IO_L23 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_M24 +bit 1734 O 1 IO_M24 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_M25 +bit 1731 O 1 IO_M25 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_M26 +bit 1728 O 1 IO_M26 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_N19 +bit 1725 O 1 IO_N19 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_N20 +bit 1722 O 1 IO_N20 1721 1 Z +bit 1721 C 1 * +bit 1720 O 1 * +bit 1719 O 1 * +bit 1718 O 1 * +bit 1717 O 1 * +bit 1716 O 1 * +bit 1715 O 1 * +bit 1714 O 1 * +bit 1713 O 1 * +bit 1712 O 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 I 1 IO_N21 +bit 1707 O 1 IO_N21 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_N22 +bit 1704 O 1 IO_N22 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_N23 +bit 1701 O 1 IO_N23 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_N24 +bit 1698 O 1 IO_N24 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_N25 +bit 1695 O 1 IO_N25 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_N26 +bit 1692 O 1 IO_N26 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_P26 +bit 1689 O 1 IO_P26 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_P25 +bit 1686 O 1 IO_P25 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_P24 +bit 1683 O 1 IO_P24 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_P23 +bit 1680 O 1 IO_P23 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_P22 +bit 1677 O 1 IO_P22 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_P21 +bit 1674 O 1 IO_P21 1673 1 Z +bit 1673 C 1 * +bit 1672 O 1 * +bit 1671 O 1 * +bit 1670 O 1 * +bit 1669 O 1 * +bit 1668 O 1 * +bit 1667 O 1 * +bit 1666 O 1 * +bit 1665 O 1 * +bit 1664 O 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_P20 +bit 1659 O 1 IO_P20 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_P19 +bit 1656 O 1 IO_P19 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_R26 +bit 1653 O 1 IO_R26 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_R25 +bit 1650 O 1 IO_R25 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_R24 +bit 1647 O 1 IO_R24 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_T23 +bit 1644 O 1 IO_T23 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_R22 +bit 1641 O 1 IO_R22 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_R21 +bit 1638 O 1 IO_R21 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_R20 +bit 1635 O 1 IO_R20 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_R19 +bit 1632 O 1 IO_R19 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 I 1 IO_T26 +bit 1623 O 1 IO_T26 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_T25 +bit 1620 O 1 IO_T25 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_T22 +bit 1617 O 1 IO_T22 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_T21 +bit 1614 O 1 IO_T21 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_T20 +bit 1611 O 1 IO_T20 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_T19 +bit 1608 O 1 IO_T19 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_U26 +bit 1605 O 1 IO_U26 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_U25 +bit 1602 O 1 IO_U25 1601 1 Z +bit 1601 C 1 * +bit 1600 O 1 * +bit 1599 O 1 * +bit 1598 O 1 * +bit 1597 O 1 * +bit 1596 O 1 * +bit 1595 O 1 * +bit 1594 I 1 IO_U24 +bit 1593 O 1 IO_U24 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_U23 +bit 1590 O 1 IO_U23 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_U22 +bit 1587 O 1 IO_U22 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_U21 +bit 1584 O 1 IO_U21 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_V25 +bit 1581 O 1 IO_V25 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_V24 +bit 1578 O 1 IO_V24 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_V23 +bit 1575 O 1 IO_V23 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_V22 +bit 1572 O 1 IO_V22 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_U20 +bit 1569 O 1 IO_U20 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_V20 +bit 1566 O 1 IO_V20 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_W26 +bit 1563 O 1 IO_W26 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_W25 +bit 1560 O 1 IO_W25 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_W24 +bit 1551 O 1 IO_W24 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_W23 +bit 1548 O 1 IO_W23 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_V21 +bit 1545 O 1 IO_V21 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_W22 +bit 1542 O 1 IO_W22 1541 1 Z +bit 1541 C 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 I 1 IO_Y26 +bit 1533 O 1 IO_Y26 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_Y25 +bit 1530 O 1 IO_Y25 1529 1 Z +bit 1529 C 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 O 1 * +bit 1521 O 1 * +bit 1520 O 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 O 1 * +bit 1515 O 1 * +bit 1514 O 1 * +bit 1513 O 1 * +bit 1512 O 1 * +bit 1511 O 1 * +bit 1510 I 1 IO_W21 +bit 1509 O 1 IO_W21 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_W20 +bit 1506 O 1 IO_W20 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AA26 +bit 1503 O 1 IO_AA26 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AA25 +bit 1500 O 1 IO_AA25 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_Y23 +bit 1497 O 1 IO_Y23 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_Y22 +bit 1494 O 1 IO_Y22 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AA24 +bit 1491 O 1 IO_AA24 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AA23 +bit 1488 O 1 IO_AA23 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AB26 +bit 1485 O 1 IO_AB26 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AB25 +bit 1482 O 1 IO_AB25 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_Y21 +bit 1479 O 1 IO_Y21 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_Y20 +bit 1476 O 1 IO_Y20 1475 1 Z +bit 1475 C 1 * +bit 1474 O 1 * +bit 1473 O 1 * +bit 1472 O 1 * +bit 1471 O 1 * +bit 1470 O 1 * +bit 1469 O 1 * +bit 1468 I 1 IO_AC26 +bit 1467 O 1 IO_AC26 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AC25 +bit 1464 O 1 IO_AC25 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AB24 +bit 1461 O 1 IO_AB24 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AB23 +bit 1458 O 1 IO_AB23 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AA22 +bit 1455 O 1 IO_AA22 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AA21 +bit 1452 O 1 IO_AA21 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 CCLK_AD26 +bit 1449 O 1 CCLK_AD26 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 DONE_AC24 +bit 1446 O 1 DONE_AC24 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AD25 +bit 1443 O 1 IO_AD25 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AB22 +bit 1440 O 1 IO_AB22 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AC22 +bit 1437 O 1 IO_AC22 1436 1 Z +bit 1436 C 1 * +bit 1435 O 1 * +bit 1434 O 1 * +bit 1433 O 1 * +bit 1432 O 1 * +bit 1431 O 1 * +bit 1430 O 1 * +bit 1429 O 1 * +bit 1428 O 1 * +bit 1427 O 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_AE24 +bit 1419 O 1 IO_AE24 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AF24 +bit 1416 O 1 IO_AF24 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AD23 +bit 1413 O 1 IO_AD23 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AE23 +bit 1410 O 1 IO_AE23 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AF23 +bit 1407 O 1 IO_AF23 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AD22 +bit 1404 O 1 IO_AD22 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AE22 +bit 1401 O 1 IO_AE22 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AF22 +bit 1398 O 1 IO_AF22 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AB21 +bit 1395 O 1 IO_AB21 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AC21 +bit 1392 O 1 IO_AC21 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AD21 +bit 1389 O 1 IO_AD21 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AE21 +bit 1386 O 1 IO_AE21 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AF21 +bit 1383 O 1 IO_AF21 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AB20 +bit 1380 O 1 IO_AB20 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AC20 +bit 1377 O 1 IO_AC20 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AE20 +bit 1374 O 1 IO_AE20 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AF20 +bit 1371 O 1 IO_AF20 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AA20 +bit 1368 O 1 IO_AA20 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_Y19 +bit 1365 O 1 IO_Y19 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AA19 +bit 1362 O 1 IO_AA19 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AB19 +bit 1359 O 1 IO_AB19 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AC19 +bit 1356 O 1 IO_AC19 1355 1 Z +bit 1355 C 1 * +bit 1354 O 1 * +bit 1353 O 1 * +bit 1352 O 1 * +bit 1351 O 1 * +bit 1350 O 1 * +bit 1349 O 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 O 1 * +bit 1341 O 1 * +bit 1340 O 1 * +bit 1339 I 1 IO_AD19 +bit 1338 O 1 IO_AD19 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AE19 +bit 1335 O 1 IO_AE19 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AF19 +bit 1332 O 1 IO_AF19 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_Y18 +bit 1329 O 1 IO_Y18 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AA18 +bit 1326 O 1 IO_AA18 1325 1 Z +bit 1325 C 1 * +bit 1324 O 1 * +bit 1323 O 1 * +bit 1322 O 1 * +bit 1321 I 1 IO_AB18 +bit 1320 O 1 IO_AB18 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AC18 +bit 1317 O 1 IO_AC18 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AD18 +bit 1314 O 1 IO_AD18 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AE18 +bit 1311 O 1 IO_AE18 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_Y17 +bit 1308 O 1 IO_Y17 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AC17 +bit 1305 O 1 IO_AC17 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AA17 +bit 1302 O 1 IO_AA17 1301 1 Z +bit 1301 C 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 O 1 * +bit 1296 O 1 * +bit 1295 O 1 * +bit 1294 O 1 * +bit 1293 O 1 * +bit 1292 O 1 * +bit 1291 O 1 * +bit 1290 O 1 * +bit 1289 O 1 * +bit 1288 O 1 * +bit 1287 O 1 * +bit 1286 O 1 * +bit 1285 I 1 IO_AD17 +bit 1284 O 1 IO_AD17 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_AB17 +bit 1281 O 1 IO_AB17 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_W16 +bit 1278 O 1 IO_W16 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AE17 +bit 1275 O 1 IO_AE17 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AF17 +bit 1272 O 1 IO_AF17 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_Y16 +bit 1269 O 1 IO_Y16 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AA16 +bit 1266 O 1 IO_AA16 1265 1 Z +bit 1265 C 1 * +bit 1264 O 1 * +bit 1263 O 1 * +bit 1262 O 1 * +bit 1261 I 1 IO_AB16 +bit 1260 O 1 IO_AB16 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AC16 +bit 1257 O 1 IO_AC16 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AE16 +bit 1254 O 1 IO_AE16 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AF16 +bit 1251 O 1 IO_AF16 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_W15 +bit 1248 O 1 IO_W15 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_Y15 +bit 1245 O 1 IO_Y15 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_W14 +bit 1242 O 1 IO_W14 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AA15 +bit 1239 O 1 IO_AA15 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AB15 +bit 1236 O 1 IO_AB15 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AD15 +bit 1233 O 1 IO_AD15 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AE15 +bit 1230 O 1 IO_AE15 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AF15 +bit 1227 O 1 IO_AF15 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_Y14 +bit 1224 O 1 IO_Y14 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AA14 +bit 1221 O 1 IO_AA14 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AB14 +bit 1218 O 1 IO_AB14 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AC14 +bit 1215 O 1 IO_AC14 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AD14 +bit 1212 O 1 IO_AD14 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AE14 +bit 1209 O 1 IO_AE14 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AF14 +bit 1206 O 1 IO_AF14 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AF13 +bit 1203 O 1 IO_AF13 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AE13 +bit 1200 O 1 IO_AE13 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AD13 +bit 1197 O 1 IO_AD13 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AC13 +bit 1194 O 1 IO_AC13 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AB13 +bit 1191 O 1 IO_AB13 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AA13 +bit 1188 O 1 IO_AA13 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_Y13 +bit 1185 O 1 IO_Y13 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_W13 +bit 1182 O 1 IO_W13 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AF12 +bit 1179 O 1 IO_AF12 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AE12 +bit 1176 O 1 IO_AE12 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AD12 +bit 1173 O 1 IO_AD12 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AB12 +bit 1170 O 1 IO_AB12 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AA12 +bit 1167 O 1 IO_AA12 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_Y12 +bit 1164 O 1 IO_Y12 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_W12 +bit 1161 O 1 IO_W12 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AC11 +bit 1158 O 1 IO_AC11 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AF11 +bit 1155 O 1 IO_AF11 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AE11 +bit 1152 O 1 IO_AE11 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AB11 +bit 1149 O 1 IO_AB11 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AA11 +bit 1146 O 1 IO_AA11 1145 1 Z +bit 1145 C 1 * +bit 1144 O 1 * +bit 1143 O 1 * +bit 1142 O 1 * +bit 1141 I 1 IO_Y11 +bit 1140 O 1 IO_Y11 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_W11 +bit 1137 O 1 IO_W11 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AF10 +bit 1134 O 1 IO_AF10 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AE10 +bit 1131 O 1 IO_AE10 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AD10 +bit 1128 O 1 IO_AD10 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AC10 +bit 1125 O 1 IO_AC10 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AB10 +bit 1122 O 1 IO_AB10 1121 1 Z +bit 1121 C 1 * +bit 1120 O 1 * +bit 1119 O 1 * +bit 1118 O 1 * +bit 1117 O 1 * +bit 1116 O 1 * +bit 1115 O 1 * +bit 1114 O 1 * +bit 1113 O 1 * +bit 1112 O 1 * +bit 1111 O 1 * +bit 1110 O 1 * +bit 1109 O 1 * +bit 1108 O 1 * +bit 1107 O 1 * +bit 1106 O 1 * +bit 1105 I 1 IO_AA10 +bit 1104 O 1 IO_AA10 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_Y10 +bit 1101 O 1 IO_Y10 1100 1 Z +bit 1100 C 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 I 1 IO_AE9 +bit 1095 O 1 IO_AE9 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AD9 +bit 1092 O 1 IO_AD9 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AC9 +bit 1089 O 1 IO_AC9 1088 1 Z +bit 1088 C 1 * +bit 1087 O 1 * +bit 1086 O 1 * +bit 1085 O 1 * +bit 1084 I 1 IO_AB9 +bit 1083 O 1 IO_AB9 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AA9 +bit 1080 O 1 IO_AA9 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_Y9 +bit 1077 O 1 IO_Y9 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_AF8 +bit 1074 O 1 IO_AF8 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_AE8 +bit 1071 O 1 IO_AE8 1070 1 Z +bit 1070 C 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 O 1 * +bit 1062 O 1 * +bit 1061 O 1 * +bit 1060 O 1 * +bit 1059 O 1 * +bit 1058 O 1 * +bit 1057 O 1 * +bit 1056 O 1 * +bit 1055 O 1 * +bit 1054 O 1 * +bit 1053 O 1 * +bit 1052 O 1 * +bit 1051 I 1 IO_AD8 +bit 1050 O 1 IO_AD8 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AC8 +bit 1047 O 1 IO_AC8 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AB8 +bit 1044 O 1 IO_AB8 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AA8 +bit 1041 O 1 IO_AA8 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_Y8 +bit 1038 O 1 IO_Y8 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AF7 +bit 1035 O 1 IO_AF7 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AE7 +bit 1032 O 1 IO_AE7 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AC7 +bit 1029 O 1 IO_AC7 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AB7 +bit 1026 O 1 IO_AB7 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AA7 +bit 1023 O 1 IO_AA7 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AF6 +bit 1020 O 1 IO_AF6 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AE6 +bit 1017 O 1 IO_AE6 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AD6 +bit 1014 O 1 IO_AD6 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AC6 +bit 1011 O 1 IO_AC6 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AF5 +bit 1008 O 1 IO_AF5 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AE5 +bit 1005 O 1 IO_AE5 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AD5 +bit 1002 O 1 IO_AD5 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_AB6 +bit 999 O 1 IO_AB6 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AA6 +bit 996 O 1 IO_AA6 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AF4 +bit 993 O 1 IO_AF4 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AE4 +bit 990 O 1 IO_AE4 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AD4 +bit 987 O 1 IO_AD4 986 1 Z +bit 986 C 1 * +bit 985 O 1 * +bit 984 O 1 * +bit 983 O 1 * +bit 982 O 1 * +bit 981 O 1 * +bit 980 O 1 * +bit 979 O 1 * +bit 978 O 1 * +bit 977 O 1 * +bit 976 O 1 * +bit 975 O 1 * +bit 974 O 1 * +bit 973 O 1 * +bit 972 O 1 * +bit 971 O 1 * +bit 970 I 1 IO_AC5 +bit 969 O 1 IO_AC5 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AB5 +bit 966 O 1 IO_AB5 965 1 Z +bit 965 C 1 * +bit 964 I 1 M2_AF3 +bit 963 I 1 M0_AE3 +bit 962 I 1 M1_AC3 +bit 961 I 1 IO_AD2 +bit 960 O 1 IO_AD2 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AD1 +bit 957 O 1 IO_AD1 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AB4 +bit 954 O 1 IO_AB4 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AB3 +bit 951 O 1 IO_AB3 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AC2 +bit 948 O 1 IO_AC2 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AC1 +bit 945 O 1 IO_AC1 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AA5 +bit 942 O 1 IO_AA5 941 1 Z +bit 941 C 1 * +bit 940 O 1 * +bit 939 O 1 * +bit 938 O 1 * +bit 937 I 1 IO_AB2 +bit 936 O 1 IO_AB2 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_AB1 +bit 933 O 1 IO_AB1 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_Y7 +bit 930 O 1 IO_Y7 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_Y6 +bit 927 O 1 IO_Y6 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AA4 +bit 924 O 1 IO_AA4 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AA3 +bit 921 O 1 IO_AA3 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_Y5 +bit 918 O 1 IO_Y5 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_Y4 +bit 915 O 1 IO_Y4 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_AA2 +bit 912 O 1 IO_AA2 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_AA1 +bit 909 O 1 IO_AA1 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_Y2 +bit 906 O 1 IO_Y2 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_Y1 +bit 903 O 1 IO_Y1 902 1 Z +bit 902 C 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 O 1 * +bit 891 O 1 * +bit 890 O 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 I 1 IO_W7 +bit 882 O 1 IO_W7 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_W6 +bit 879 O 1 IO_W6 878 1 Z +bit 878 C 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 I 1 IO_V6 +bit 870 O 1 IO_V6 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_W5 +bit 867 O 1 IO_W5 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_W4 +bit 864 O 1 IO_W4 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_W3 +bit 861 O 1 IO_W3 860 1 Z +bit 860 C 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_W2 +bit 852 O 1 IO_W2 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_W1 +bit 849 O 1 IO_W1 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_V7 +bit 846 O 1 IO_V7 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_U7 +bit 843 O 1 IO_U7 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_V5 +bit 840 O 1 IO_V5 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_V4 +bit 837 O 1 IO_V4 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_V3 +bit 834 O 1 IO_V3 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_V2 +bit 831 O 1 IO_V2 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_U6 +bit 828 O 1 IO_U6 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_U5 +bit 825 O 1 IO_U5 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_U4 +bit 822 O 1 IO_U4 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_U3 +bit 819 O 1 IO_U3 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 I 1 IO_U2 +bit 810 O 1 IO_U2 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_U1 +bit 807 O 1 IO_U1 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_T8 +bit 804 O 1 IO_T8 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_T7 +bit 801 O 1 IO_T7 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_T6 +bit 798 O 1 IO_T6 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_T5 +bit 795 O 1 IO_T5 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_T2 +bit 792 O 1 IO_T2 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_T1 +bit 789 O 1 IO_T1 788 1 Z +bit 788 C 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 I 1 IO_R8 +bit 780 O 1 IO_R8 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_R7 +bit 777 O 1 IO_R7 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_R6 +bit 774 O 1 IO_R6 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_R5 +bit 771 O 1 IO_R5 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_T4 +bit 768 O 1 IO_T4 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_R3 +bit 765 O 1 IO_R3 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_R2 +bit 762 O 1 IO_R2 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_R1 +bit 759 O 1 IO_R1 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_P8 +bit 756 O 1 IO_P8 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_P7 +bit 753 O 1 IO_P7 752 1 Z +bit 752 C 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 I 1 IO_P6 +bit 738 O 1 IO_P6 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_P5 +bit 735 O 1 IO_P5 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_P4 +bit 732 O 1 IO_P4 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_P3 +bit 729 O 1 IO_P3 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_P2 +bit 726 O 1 IO_P2 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_P1 +bit 723 O 1 IO_P1 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_N1 +bit 720 O 1 IO_N1 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_N2 +bit 717 O 1 IO_N2 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_N3 +bit 714 O 1 IO_N3 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_N4 +bit 711 O 1 IO_N4 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_N5 +bit 708 O 1 IO_N5 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_N6 +bit 705 O 1 IO_N6 704 1 Z +bit 704 C 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 O 1 * +bit 699 O 1 * +bit 698 O 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_N7 +bit 690 O 1 IO_N7 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_N8 +bit 687 O 1 IO_N8 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_M1 +bit 684 O 1 IO_M1 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_M2 +bit 681 O 1 IO_M2 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_M3 +bit 678 O 1 IO_M3 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_L4 +bit 675 O 1 IO_L4 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_M6 +bit 672 O 1 IO_M6 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_M5 +bit 669 O 1 IO_M5 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_M7 +bit 666 O 1 IO_M7 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_M8 +bit 663 O 1 IO_M8 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_L1 +bit 654 O 1 IO_L1 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_L2 +bit 651 O 1 IO_L2 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_L5 +bit 648 O 1 IO_L5 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_L6 +bit 645 O 1 IO_L6 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_L7 +bit 642 O 1 IO_L7 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_L8 +bit 639 O 1 IO_L8 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_K1 +bit 636 O 1 IO_K1 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_K2 +bit 633 O 1 IO_K2 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 I 1 IO_K3 +bit 624 O 1 IO_K3 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_K4 +bit 621 O 1 IO_K4 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_K5 +bit 618 O 1 IO_K5 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_K6 +bit 615 O 1 IO_K6 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_J2 +bit 612 O 1 IO_J2 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_J3 +bit 609 O 1 IO_J3 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_J4 +bit 606 O 1 IO_J4 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_J5 +bit 603 O 1 IO_J5 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_K7 +bit 600 O 1 IO_K7 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_J7 +bit 597 O 1 IO_J7 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_H1 +bit 594 O 1 IO_H1 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_H2 +bit 591 O 1 IO_H2 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_H3 +bit 582 O 1 IO_H3 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_H4 +bit 579 O 1 IO_H4 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_J6 +bit 576 O 1 IO_J6 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_H5 +bit 573 O 1 IO_H5 572 1 Z +bit 572 C 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 O 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 I 1 IO_G1 +bit 564 O 1 IO_G1 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_G2 +bit 561 O 1 IO_G2 560 1 Z +bit 560 C 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 O 1 * +bit 556 O 1 * +bit 555 O 1 * +bit 554 O 1 * +bit 553 O 1 * +bit 552 O 1 * +bit 551 O 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 I 1 IO_H6 +bit 540 O 1 IO_H6 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_H7 +bit 537 O 1 IO_H7 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_F1 +bit 534 O 1 IO_F1 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_F2 +bit 531 O 1 IO_F2 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_G4 +bit 528 O 1 IO_G4 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_G5 +bit 525 O 1 IO_G5 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_F3 +bit 522 O 1 IO_F3 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_F4 +bit 519 O 1 IO_F4 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_E1 +bit 516 O 1 IO_E1 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_E2 +bit 513 O 1 IO_E2 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_G6 +bit 510 O 1 IO_G6 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_G7 +bit 507 O 1 IO_G7 506 1 Z +bit 506 C 1 * +bit 505 O 1 * +bit 504 O 1 * +bit 503 O 1 * +bit 502 O 1 * +bit 501 O 1 * +bit 500 O 1 * +bit 499 I 1 IO_D1 +bit 498 O 1 IO_D1 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_D2 +bit 495 O 1 IO_D2 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_E3 +bit 492 O 1 IO_E3 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_E4 +bit 489 O 1 IO_E4 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_F5 +bit 486 O 1 IO_F5 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_F6 +bit 483 O 1 IO_F6 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 I 1 HSWAP_EN_C2 +bit 479 I 1 IO_B3 +bit 478 O 1 IO_B3 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_E5 +bit 475 O 1 IO_E5 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_D5 +bit 472 O 1 IO_D5 471 1 Z +bit 471 C 1 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 I 1 IO_A3 +bit 451 O 1 IO_A3 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_C4 +bit 448 O 1 IO_C4 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_B4 +bit 445 O 1 IO_B4 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_A4 +bit 442 O 1 IO_A4 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_C5 +bit 439 O 1 IO_C5 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_B5 +bit 436 O 1 IO_B5 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_A5 +bit 433 O 1 IO_A5 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_E6 +bit 430 O 1 IO_E6 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_D6 +bit 427 O 1 IO_D6 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_C6 +bit 424 O 1 IO_C6 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_B6 +bit 421 O 1 IO_B6 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_A6 +bit 418 O 1 IO_A6 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_E7 +bit 415 O 1 IO_E7 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_D7 +bit 412 O 1 IO_D7 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_B7 +bit 409 O 1 IO_B7 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_A7 +bit 406 O 1 IO_A7 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_F7 +bit 403 O 1 IO_F7 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_G8 +bit 400 O 1 IO_G8 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_F8 +bit 397 O 1 IO_F8 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_E8 +bit 394 O 1 IO_E8 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_D8 +bit 391 O 1 IO_D8 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 I 1 IO_C8 +bit 373 O 1 IO_C8 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_B8 +bit 370 O 1 IO_B8 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_A8 +bit 367 O 1 IO_A8 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_G9 +bit 364 O 1 IO_G9 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_F9 +bit 361 O 1 IO_F9 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 I 1 IO_E9 +bit 355 O 1 IO_E9 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_D9 +bit 352 O 1 IO_D9 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_C9 +bit 349 O 1 IO_C9 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_B9 +bit 346 O 1 IO_B9 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_G10 +bit 343 O 1 IO_G10 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_F10 +bit 340 O 1 IO_F10 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_E10 +bit 337 O 1 IO_E10 336 1 Z +bit 336 C 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 I 1 IO_D10 +bit 319 O 1 IO_D10 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_C10 +bit 316 O 1 IO_C10 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_H11 +bit 313 O 1 IO_H11 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_B10 +bit 310 O 1 IO_B10 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_A10 +bit 307 O 1 IO_A10 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_G11 +bit 304 O 1 IO_G11 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_F11 +bit 301 O 1 IO_F11 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 IO_E11 +bit 295 O 1 IO_E11 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_D11 +bit 292 O 1 IO_D11 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_B11 +bit 289 O 1 IO_B11 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_A11 +bit 286 O 1 IO_A11 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_H12 +bit 283 O 1 IO_H12 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_G12 +bit 280 O 1 IO_G12 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_H13 +bit 277 O 1 IO_H13 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_F12 +bit 274 O 1 IO_F12 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_E12 +bit 271 O 1 IO_E12 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_C12 +bit 268 O 1 IO_C12 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B12 +bit 265 O 1 IO_B12 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_A12 +bit 262 O 1 IO_A12 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_G13 +bit 259 O 1 IO_G13 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_F13 +bit 256 O 1 IO_F13 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_E13 +bit 253 O 1 IO_E13 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_D13 +bit 250 O 1 IO_D13 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_C13 +bit 247 O 1 IO_C13 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_B13 +bit 244 O 1 IO_B13 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_A13 +bit 241 O 1 IO_A13 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_A14 +bit 238 O 1 IO_A14 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B14 +bit 235 O 1 IO_B14 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C14 +bit 232 O 1 IO_C14 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_D14 +bit 229 O 1 IO_D14 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E14 +bit 226 O 1 IO_E14 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_F14 +bit 223 O 1 IO_F14 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_G14 +bit 220 O 1 IO_G14 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_H14 +bit 217 O 1 IO_H14 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_A15 +bit 214 O 1 IO_A15 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_B15 +bit 211 O 1 IO_B15 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_C15 +bit 208 O 1 IO_C15 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_E15 +bit 205 O 1 IO_E15 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_F15 +bit 202 O 1 IO_F15 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_G15 +bit 199 O 1 IO_G15 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_H15 +bit 196 O 1 IO_H15 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_D16 +bit 193 O 1 IO_D16 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A16 +bit 190 O 1 IO_A16 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B16 +bit 187 O 1 IO_B16 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_E16 +bit 184 O 1 IO_E16 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_F16 +bit 181 O 1 IO_F16 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_G16 +bit 175 O 1 IO_G16 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_H16 +bit 172 O 1 IO_H16 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_A17 +bit 169 O 1 IO_A17 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_B17 +bit 166 O 1 IO_B17 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C17 +bit 163 O 1 IO_C17 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_D17 +bit 160 O 1 IO_D17 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_E17 +bit 157 O 1 IO_E17 156 1 Z +bit 156 C 1 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 I 1 IO_F17 +bit 139 O 1 IO_F17 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_G17 +bit 136 O 1 IO_G17 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_B18 +bit 130 O 1 IO_B18 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_C18 +bit 127 O 1 IO_C18 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_D18 +bit 124 O 1 IO_D18 123 1 Z +bit 123 C 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 I 1 IO_E18 +bit 118 O 1 IO_E18 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_F18 +bit 115 O 1 IO_F18 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_G18 +bit 112 O 1 IO_G18 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A19 +bit 109 O 1 IO_A19 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B19 +bit 106 O 1 IO_B19 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_C19 +bit 85 O 1 IO_C19 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_D19 +bit 82 O 1 IO_D19 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_E19 +bit 79 O 1 IO_E19 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_F19 +bit 76 O 1 IO_F19 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_G19 +bit 73 O 1 IO_G19 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_A20 +bit 70 O 1 IO_A20 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B20 +bit 67 O 1 IO_B20 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_D20 +bit 64 O 1 IO_D20 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_E20 +bit 61 O 1 IO_E20 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_F20 +bit 58 O 1 IO_F20 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A21 +bit 55 O 1 IO_A21 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B21 +bit 52 O 1 IO_B21 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_C21 +bit 49 O 1 IO_C21 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D21 +bit 46 O 1 IO_D21 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_A22 +bit 43 O 1 IO_A22 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_B22 +bit 40 O 1 IO_B22 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_C22 +bit 37 O 1 IO_C22 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_E21 +bit 34 O 1 IO_E21 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F21 +bit 31 O 1 IO_F21 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_A23 +bit 28 O 1 IO_A23 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B23 +bit 25 O 1 IO_B23 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C23 +bit 22 O 1 IO_C23 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_D22 +bit 4 O 1 IO_D22 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_E22 +bit 1 O 1 IO_E22 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg900 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg900 new file mode 100644 index 0000000..609bd4f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000_fg900 @@ -0,0 +1,2782 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal NOCONNECT1 +signal NOCONNECT2 +signal NOCONNECT3 +signal NOCONNECT4 +signal NOCONNECT5 +signal NOCONNECT6 +signal NOCONNECT7 +signal NOCONNECT8 +signal CCLK_AH28 +signal DONE_AJ28 +signal HSWAP_EN_A3 +signal M0_AJ3 +signal M1_AH3 +signal M2_AK3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal IO_A4 +signal IO_A5 +signal IO_A8 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A15 +signal IO_A16 +signal IO_A19 +signal IO_A20 +signal IO_A22 +signal IO_A23 +signal IO_A24 +signal IO_A26 +signal IO_A27 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B8 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_C1 +signal IO_C2 +signal IO_C4 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C23 +signal IO_C24 +signal IO_C25 +signal IO_C27 +signal IO_C29 +signal IO_C30 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D7 +signal IO_D8 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D15 +signal IO_D16 +signal IO_D19 +signal IO_D20 +signal IO_D22 +signal IO_D23 +signal IO_D24 +signal IO_D26 +signal IO_D27 +signal IO_D28 +signal IO_D29 +signal IO_D30 +signal IO_E1 +signal IO_E2 +signal IO_E4 +signal IO_E6 +signal IO_E8 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E19 +signal IO_E20 +signal IO_E22 +signal IO_E23 +signal IO_E25 +signal IO_E29 +signal IO_E30 +signal IO_F2 +signal IO_F3 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F28 +signal IO_F29 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G6 +signal IO_G8 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G23 +signal IO_G24 +signal IO_G25 +signal IO_G27 +signal IO_G28 +signal IO_G29 +signal IO_G30 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H9 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H15 +signal IO_H16 +signal IO_H19 +signal IO_H20 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_H27 +signal IO_H28 +signal IO_H29 +signal IO_H30 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J8 +signal IO_J9 +signal IO_J10 +signal IO_J12 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_J17 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J25 +signal IO_J26 +signal IO_J27 +signal IO_J29 +signal IO_J30 +signal IO_K2 +signal IO_K3 +signal IO_K6 +signal IO_K7 +signal IO_K9 +signal IO_K10 +signal IO_K11 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K19 +signal IO_K20 +signal IO_K22 +signal IO_K24 +signal IO_K25 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L10 +signal IO_L25 +signal IO_L26 +signal IO_L27 +signal IO_L28 +signal IO_L29 +signal IO_L30 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M10 +signal IO_M21 +signal IO_M22 +signal IO_M23 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_N1 +signal IO_N2 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N25 +signal IO_N26 +signal IO_N27 +signal IO_N29 +signal IO_N30 +signal IO_P2 +signal IO_P3 +signal IO_P6 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P21 +signal IO_P22 +signal IO_P24 +signal IO_P25 +signal IO_P28 +signal IO_P29 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R21 +signal IO_R22 +signal IO_R23 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R27 +signal IO_R28 +signal IO_R29 +signal IO_R30 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T9 +signal IO_T10 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T24 +signal IO_T25 +signal IO_T26 +signal IO_T27 +signal IO_T28 +signal IO_T29 +signal IO_T30 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U21 +signal IO_U22 +signal IO_U24 +signal IO_U25 +signal IO_U28 +signal IO_U29 +signal IO_V1 +signal IO_V2 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V10 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V25 +signal IO_V29 +signal IO_V30 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W10 +signal IO_W21 +signal IO_W26 +signal IO_W29 +signal IO_W30 +signal IO_Y1 +signal IO_Y2 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y10 +signal IO_Y21 +signal IO_Y23 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y27 +signal IO_Y28 +signal IO_Y29 +signal IO_Y30 +signal IO_AA2 +signal IO_AA3 +signal IO_AA6 +signal IO_AA7 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA24 +signal IO_AA25 +signal IO_AA28 +signal IO_AA29 +signal IO_AB1 +signal IO_AB2 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB25 +signal IO_AB26 +signal IO_AB27 +signal IO_AB29 +signal IO_AB30 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC9 +signal IO_AC11 +signal IO_AC12 +signal IO_AC13 +signal IO_AC15 +signal IO_AC16 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC22 +signal IO_AC23 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AD1 +signal IO_AD2 +signal IO_AD3 +signal IO_AD4 +signal IO_AD6 +signal IO_AD7 +signal IO_AD10 +signal IO_AD11 +signal IO_AD12 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD23 +signal IO_AD24 +signal IO_AD25 +signal IO_AD27 +signal IO_AD28 +signal IO_AD29 +signal IO_AD30 +signal IO_AE2 +signal IO_AE3 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE26 +signal IO_AE28 +signal IO_AE29 +signal IO_AF1 +signal IO_AF2 +signal IO_AF4 +signal IO_AF6 +signal IO_AF9 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF15 +signal IO_AF16 +signal IO_AF18 +signal IO_AF19 +signal IO_AF20 +signal IO_AF22 +signal IO_AF23 +signal IO_AF25 +signal IO_AF27 +signal IO_AF29 +signal IO_AF30 +signal IO_AG1 +signal IO_AG2 +signal IO_AG3 +signal IO_AG4 +signal IO_AG5 +signal IO_AG7 +signal IO_AG9 +signal IO_AG11 +signal IO_AG12 +signal IO_AG13 +signal IO_AG15 +signal IO_AG16 +signal IO_AG18 +signal IO_AG19 +signal IO_AG20 +signal IO_AG22 +signal IO_AG23 +signal IO_AG26 +signal IO_AG27 +signal IO_AG28 +signal IO_AG29 +signal IO_AG30 +signal IO_AH1 +signal IO_AH2 +signal IO_AH4 +signal IO_AH6 +signal IO_AH7 +signal IO_AH10 +signal IO_AH11 +signal IO_AH12 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH23 +signal IO_AH27 +signal IO_AH29 +signal IO_AH30 +signal IO_AJ4 +signal IO_AJ5 +signal IO_AJ6 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ11 +signal IO_AJ12 +signal IO_AJ13 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ22 +signal IO_AJ23 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AK4 +signal IO_AK5 +signal IO_AK8 +signal IO_AK9 +signal IO_AK11 +signal IO_AK12 +signal IO_AK13 +signal IO_AK15 +signal IO_AK16 +signal IO_AK18 +signal IO_AK19 +signal IO_AK20 +signal IO_AK22 +signal IO_AK23 +signal IO_AK26 +signal IO_AK27 + +register BSR 1931 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1930 I 1 IO_C29 +bit 1929 O 1 IO_C29 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_C30 +bit 1926 O 1 IO_C30 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_D27 +bit 1923 O 1 IO_D27 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_D28 +bit 1920 O 1 IO_D28 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_D29 +bit 1917 O 1 IO_D29 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_D30 +bit 1914 O 1 IO_D30 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_E29 +bit 1911 O 1 IO_E29 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_E30 +bit 1908 O 1 IO_E30 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_F28 +bit 1905 O 1 IO_F28 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_F29 +bit 1902 O 1 IO_F29 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_G27 +bit 1899 O 1 IO_G27 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_G28 +bit 1896 O 1 IO_G28 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_G29 +bit 1893 O 1 IO_G29 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_G30 +bit 1890 O 1 IO_G30 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_G25 +bit 1887 O 1 IO_G25 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_H24 +bit 1884 O 1 IO_H24 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_H25 +bit 1881 O 1 IO_H25 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_H26 +bit 1878 O 1 IO_H26 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_H27 +bit 1875 O 1 IO_H27 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_H28 +bit 1872 O 1 IO_H28 1871 1 Z +bit 1871 C 1 * +bit 1870 O 1 * +bit 1869 O 1 * +bit 1868 O 1 * +bit 1867 I 1 IO_J25 +bit 1866 O 1 IO_J25 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_H29 +bit 1863 O 1 IO_H29 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_H30 +bit 1860 O 1 IO_H30 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_J26 +bit 1857 O 1 IO_J26 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_J27 +bit 1854 O 1 IO_J27 1853 1 Z +bit 1853 C 1 * +bit 1852 I 1 IO_J29 +bit 1851 O 1 IO_J29 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_J30 +bit 1848 O 1 IO_J30 1847 1 Z +bit 1847 C 1 * +bit 1846 I 1 IO_J23 +bit 1845 O 1 IO_J23 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_K22 +bit 1842 O 1 IO_K22 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_K24 +bit 1839 O 1 IO_K24 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_K25 +bit 1836 O 1 IO_K25 1835 1 Z +bit 1835 C 1 * +bit 1834 O 1 * +bit 1833 O 1 * +bit 1832 O 1 * +bit 1831 O 1 * +bit 1830 O 1 * +bit 1829 O 1 * +bit 1828 O 1 * +bit 1827 O 1 * +bit 1826 O 1 * +bit 1825 O 1 * +bit 1824 O 1 * +bit 1823 O 1 * +bit 1822 I 1 IO_L25 +bit 1821 O 1 IO_L25 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_L26 +bit 1818 O 1 IO_L26 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_L27 +bit 1815 O 1 IO_L27 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_L28 +bit 1812 O 1 IO_L28 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_L29 +bit 1809 O 1 IO_L29 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_L30 +bit 1806 O 1 IO_L30 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_M22 +bit 1803 O 1 IO_M22 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_M23 +bit 1800 O 1 IO_M23 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_M24 +bit 1797 O 1 IO_M24 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_M25 +bit 1794 O 1 IO_M25 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_M27 +bit 1791 O 1 IO_M27 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_M28 +bit 1788 O 1 IO_M28 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_M21 +bit 1779 O 1 IO_M21 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_N21 +bit 1776 O 1 IO_N21 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_N22 +bit 1773 O 1 IO_N22 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_N23 +bit 1770 O 1 IO_N23 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_M26 +bit 1767 O 1 IO_M26 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_N25 +bit 1764 O 1 IO_N25 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_N26 +bit 1761 O 1 IO_N26 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_N27 +bit 1758 O 1 IO_N27 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 I 1 IO_N29 +bit 1749 O 1 IO_N29 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_N30 +bit 1746 O 1 IO_N30 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_P21 +bit 1743 O 1 IO_P21 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_P22 +bit 1740 O 1 IO_P22 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_P24 +bit 1737 O 1 IO_P24 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_P25 +bit 1734 O 1 IO_P25 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_P28 +bit 1731 O 1 IO_P28 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_P29 +bit 1728 O 1 IO_P29 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_R21 +bit 1725 O 1 IO_R21 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_R22 +bit 1722 O 1 IO_R22 1721 1 Z +bit 1721 C 1 * +bit 1720 O 1 * +bit 1719 O 1 * +bit 1718 O 1 * +bit 1717 O 1 * +bit 1716 O 1 * +bit 1715 O 1 * +bit 1714 I 1 IO_R23 +bit 1713 O 1 IO_R23 1712 1 Z +bit 1712 C 1 * +bit 1711 I 1 IO_R24 +bit 1710 O 1 IO_R24 1709 1 Z +bit 1709 C 1 * +bit 1708 I 1 IO_R25 +bit 1707 O 1 IO_R25 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_R26 +bit 1704 O 1 IO_R26 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_R27 +bit 1701 O 1 IO_R27 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_R28 +bit 1698 O 1 IO_R28 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_R29 +bit 1695 O 1 IO_R29 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_R30 +bit 1692 O 1 IO_R30 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_T30 +bit 1689 O 1 IO_T30 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_T29 +bit 1686 O 1 IO_T29 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_T28 +bit 1683 O 1 IO_T28 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_T27 +bit 1680 O 1 IO_T27 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_T26 +bit 1677 O 1 IO_T26 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_T25 +bit 1674 O 1 IO_T25 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_T24 +bit 1671 O 1 IO_T24 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_T23 +bit 1668 O 1 IO_T23 1667 1 Z +bit 1667 C 1 * +bit 1666 O 1 * +bit 1665 O 1 * +bit 1664 O 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_T22 +bit 1659 O 1 IO_T22 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_T21 +bit 1656 O 1 IO_T21 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_U29 +bit 1653 O 1 IO_U29 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_U28 +bit 1650 O 1 IO_U28 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_U25 +bit 1647 O 1 IO_U25 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_U24 +bit 1644 O 1 IO_U24 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_U22 +bit 1641 O 1 IO_U22 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_U21 +bit 1638 O 1 IO_U21 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_V30 +bit 1635 O 1 IO_V30 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_V29 +bit 1632 O 1 IO_V29 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 I 1 IO_V25 +bit 1623 O 1 IO_V25 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_W26 +bit 1620 O 1 IO_W26 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_V23 +bit 1617 O 1 IO_V23 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_V22 +bit 1614 O 1 IO_V22 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_V21 +bit 1611 O 1 IO_V21 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_W21 +bit 1608 O 1 IO_W21 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_W30 +bit 1605 O 1 IO_W30 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_W29 +bit 1602 O 1 IO_W29 1601 1 Z +bit 1601 C 1 * +bit 1600 O 1 * +bit 1599 O 1 * +bit 1598 O 1 * +bit 1597 O 1 * +bit 1596 O 1 * +bit 1595 O 1 * +bit 1594 I 1 IO_Y30 +bit 1593 O 1 IO_Y30 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_Y29 +bit 1590 O 1 IO_Y29 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_Y28 +bit 1587 O 1 IO_Y28 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_Y27 +bit 1584 O 1 IO_Y27 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_Y26 +bit 1581 O 1 IO_Y26 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_Y25 +bit 1578 O 1 IO_Y25 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_Y24 +bit 1575 O 1 IO_Y24 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_Y23 +bit 1572 O 1 IO_Y23 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_Y21 +bit 1569 O 1 IO_Y21 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AA21 +bit 1566 O 1 IO_AA21 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AA29 +bit 1563 O 1 IO_AA29 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AA28 +bit 1560 O 1 IO_AA28 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_AA25 +bit 1551 O 1 IO_AA25 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AA24 +bit 1548 O 1 IO_AA24 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AA22 +bit 1545 O 1 IO_AA22 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AB23 +bit 1542 O 1 IO_AB23 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_AB30 +bit 1539 O 1 IO_AB30 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_AB29 +bit 1536 O 1 IO_AB29 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AB27 +bit 1533 O 1 IO_AB27 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AB26 +bit 1530 O 1 IO_AB26 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AC30 +bit 1527 O 1 IO_AC30 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_AC29 +bit 1524 O 1 IO_AC29 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_AB25 +bit 1521 O 1 IO_AB25 1520 1 Z +bit 1520 C 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 I 1 IO_AC28 +bit 1515 O 1 IO_AC28 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AC27 +bit 1512 O 1 IO_AC27 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_AC26 +bit 1509 O 1 IO_AC26 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_AC25 +bit 1506 O 1 IO_AC25 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AC24 +bit 1503 O 1 IO_AC24 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AD25 +bit 1500 O 1 IO_AD25 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AD30 +bit 1497 O 1 IO_AD30 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_AD29 +bit 1494 O 1 IO_AD29 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AD28 +bit 1491 O 1 IO_AD28 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AD27 +bit 1488 O 1 IO_AD27 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AE29 +bit 1485 O 1 IO_AE29 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AE28 +bit 1482 O 1 IO_AE28 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_AE26 +bit 1479 O 1 IO_AE26 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_AF27 +bit 1476 O 1 IO_AF27 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AF30 +bit 1473 O 1 IO_AF30 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AF29 +bit 1470 O 1 IO_AF29 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AG30 +bit 1467 O 1 IO_AG30 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AG29 +bit 1464 O 1 IO_AG29 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AG28 +bit 1461 O 1 IO_AG28 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AG27 +bit 1458 O 1 IO_AG27 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AH30 +bit 1455 O 1 IO_AH30 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AH29 +bit 1452 O 1 IO_AH29 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 CCLK_AH28 +bit 1449 O 1 CCLK_AH28 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 DONE_AJ28 +bit 1446 O 1 DONE_AJ28 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AK28 +bit 1443 O 1 IO_AK28 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AJ27 +bit 1440 O 1 IO_AJ27 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AK27 +bit 1437 O 1 IO_AK27 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_AJ26 +bit 1434 O 1 IO_AJ26 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AK26 +bit 1431 O 1 IO_AK26 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AH27 +bit 1428 O 1 IO_AH27 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AG26 +bit 1425 O 1 IO_AG26 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AF25 +bit 1422 O 1 IO_AF25 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_AD24 +bit 1419 O 1 IO_AD24 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AC23 +bit 1416 O 1 IO_AC23 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AD23 +bit 1413 O 1 IO_AD23 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AE23 +bit 1410 O 1 IO_AE23 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AF23 +bit 1407 O 1 IO_AF23 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AG23 +bit 1404 O 1 IO_AG23 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AH23 +bit 1401 O 1 IO_AH23 1400 1 Z +bit 1400 C 1 * +bit 1399 O 1 * +bit 1398 O 1 * +bit 1397 O 1 * +bit 1396 I 1 IO_AJ23 +bit 1395 O 1 IO_AJ23 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AK23 +bit 1392 O 1 IO_AK23 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AB22 +bit 1389 O 1 IO_AB22 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AC22 +bit 1386 O 1 IO_AC22 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AE22 +bit 1383 O 1 IO_AE22 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AF22 +bit 1380 O 1 IO_AF22 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AG22 +bit 1377 O 1 IO_AG22 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AJ22 +bit 1374 O 1 IO_AJ22 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AK22 +bit 1371 O 1 IO_AK22 1370 1 Z +bit 1370 C 1 * +bit 1369 O 1 * +bit 1368 O 1 * +bit 1367 O 1 * +bit 1366 I 1 IO_AD21 +bit 1365 O 1 IO_AD21 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AE21 +bit 1362 O 1 IO_AE21 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AH21 +bit 1359 O 1 IO_AH21 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AJ21 +bit 1356 O 1 IO_AJ21 1355 1 Z +bit 1355 C 1 * +bit 1354 O 1 * +bit 1353 O 1 * +bit 1352 O 1 * +bit 1351 I 1 IO_AB21 +bit 1350 O 1 IO_AB21 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_AA20 +bit 1347 O 1 IO_AA20 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_AC20 +bit 1344 O 1 IO_AC20 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_AD20 +bit 1341 O 1 IO_AD20 1340 1 Z +bit 1340 C 1 * +bit 1339 O 1 * +bit 1338 O 1 * +bit 1337 O 1 * +bit 1336 I 1 IO_AE20 +bit 1335 O 1 IO_AE20 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AF20 +bit 1332 O 1 IO_AF20 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_AG20 +bit 1329 O 1 IO_AG20 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AH20 +bit 1326 O 1 IO_AH20 1325 1 Z +bit 1325 C 1 * +bit 1324 O 1 * +bit 1323 O 1 * +bit 1322 O 1 * +bit 1321 I 1 IO_AJ20 +bit 1320 O 1 IO_AJ20 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AK20 +bit 1317 O 1 IO_AK20 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AA19 +bit 1314 O 1 IO_AA19 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AB19 +bit 1311 O 1 IO_AB19 1310 1 Z +bit 1310 C 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_AC19 +bit 1305 O 1 IO_AC19 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AD19 +bit 1302 O 1 IO_AD19 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_AE19 +bit 1299 O 1 IO_AE19 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_AF19 +bit 1296 O 1 IO_AF19 1295 1 Z +bit 1295 C 1 * +bit 1294 O 1 * +bit 1293 O 1 * +bit 1292 O 1 * +bit 1291 I 1 IO_AG19 +bit 1290 O 1 IO_AG19 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_AH19 +bit 1287 O 1 IO_AH19 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_AJ19 +bit 1284 O 1 IO_AJ19 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_AK19 +bit 1281 O 1 IO_AK19 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AA18 +bit 1278 O 1 IO_AA18 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AB18 +bit 1275 O 1 IO_AB18 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AC18 +bit 1272 O 1 IO_AC18 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_AE18 +bit 1269 O 1 IO_AE18 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AF18 +bit 1266 O 1 IO_AF18 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AG18 +bit 1263 O 1 IO_AG18 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AJ18 +bit 1260 O 1 IO_AJ18 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AK18 +bit 1257 O 1 IO_AK18 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AA17 +bit 1254 O 1 IO_AA17 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AB17 +bit 1251 O 1 IO_AB17 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AA16 +bit 1248 O 1 IO_AA16 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AD17 +bit 1245 O 1 IO_AD17 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AE17 +bit 1242 O 1 IO_AE17 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AH17 +bit 1239 O 1 IO_AH17 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AJ17 +bit 1236 O 1 IO_AJ17 1235 1 Z +bit 1235 C 1 * +bit 1234 O 1 * +bit 1233 O 1 * +bit 1232 O 1 * +bit 1231 I 1 IO_AB16 +bit 1230 O 1 IO_AB16 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AC16 +bit 1227 O 1 IO_AC16 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_AD16 +bit 1224 O 1 IO_AD16 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AE16 +bit 1221 O 1 IO_AE16 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AF16 +bit 1218 O 1 IO_AF16 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AG16 +bit 1215 O 1 IO_AG16 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AH16 +bit 1212 O 1 IO_AH16 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AJ16 +bit 1209 O 1 IO_AJ16 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AK16 +bit 1206 O 1 IO_AK16 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AK15 +bit 1203 O 1 IO_AK15 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AJ15 +bit 1200 O 1 IO_AJ15 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AH15 +bit 1197 O 1 IO_AH15 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AG15 +bit 1194 O 1 IO_AG15 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AF15 +bit 1191 O 1 IO_AF15 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AE15 +bit 1188 O 1 IO_AE15 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AD15 +bit 1185 O 1 IO_AD15 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AD14 +bit 1182 O 1 IO_AD14 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AC15 +bit 1179 O 1 IO_AC15 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AB15 +bit 1176 O 1 IO_AB15 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AA15 +bit 1173 O 1 IO_AA15 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AJ14 +bit 1170 O 1 IO_AJ14 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AH14 +bit 1167 O 1 IO_AH14 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AE14 +bit 1164 O 1 IO_AE14 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AE13 +bit 1161 O 1 IO_AE13 1160 1 Z +bit 1160 C 1 * +bit 1159 O 1 * +bit 1158 O 1 * +bit 1157 O 1 * +bit 1156 I 1 IO_AB14 +bit 1155 O 1 IO_AB14 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AA14 +bit 1152 O 1 IO_AA14 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AK13 +bit 1149 O 1 IO_AK13 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AJ13 +bit 1146 O 1 IO_AJ13 1145 1 Z +bit 1145 C 1 * +bit 1144 O 1 * +bit 1143 O 1 * +bit 1142 O 1 * +bit 1141 I 1 IO_AG13 +bit 1140 O 1 IO_AG13 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AF13 +bit 1137 O 1 IO_AF13 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AC13 +bit 1134 O 1 IO_AC13 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AB13 +bit 1131 O 1 IO_AB13 1130 1 Z +bit 1130 C 1 * +bit 1129 O 1 * +bit 1128 O 1 * +bit 1127 O 1 * +bit 1126 I 1 IO_AA13 +bit 1125 O 1 IO_AA13 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AA12 +bit 1122 O 1 IO_AA12 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AK12 +bit 1119 O 1 IO_AK12 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AJ12 +bit 1116 O 1 IO_AJ12 1115 1 Z +bit 1115 C 1 * +bit 1114 O 1 * +bit 1113 O 1 * +bit 1112 O 1 * +bit 1111 I 1 IO_AH12 +bit 1110 O 1 IO_AH12 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AG12 +bit 1107 O 1 IO_AG12 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AF12 +bit 1104 O 1 IO_AF12 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AE12 +bit 1101 O 1 IO_AE12 1100 1 Z +bit 1100 C 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 I 1 IO_AD12 +bit 1095 O 1 IO_AD12 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AC12 +bit 1092 O 1 IO_AC12 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AB12 +bit 1089 O 1 IO_AB12 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AC11 +bit 1086 O 1 IO_AC11 1085 1 Z +bit 1085 C 1 * +bit 1084 O 1 * +bit 1083 O 1 * +bit 1082 O 1 * +bit 1081 I 1 IO_AK11 +bit 1080 O 1 IO_AK11 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_AJ11 +bit 1077 O 1 IO_AJ11 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_AH11 +bit 1074 O 1 IO_AH11 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_AG11 +bit 1071 O 1 IO_AG11 1070 1 Z +bit 1070 C 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 I 1 IO_AF11 +bit 1065 O 1 IO_AF11 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_AE11 +bit 1062 O 1 IO_AE11 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AD11 +bit 1059 O 1 IO_AD11 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AD10 +bit 1056 O 1 IO_AD10 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AA11 +bit 1053 O 1 IO_AA11 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AJ10 +bit 1050 O 1 IO_AJ10 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AH10 +bit 1047 O 1 IO_AH10 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AE10 +bit 1044 O 1 IO_AE10 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AE9 +bit 1041 O 1 IO_AE9 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_AB10 +bit 1038 O 1 IO_AB10 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AK9 +bit 1035 O 1 IO_AK9 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AJ9 +bit 1032 O 1 IO_AJ9 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AG9 +bit 1029 O 1 IO_AG9 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AF9 +bit 1026 O 1 IO_AF9 1025 1 Z +bit 1025 C 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 I 1 IO_AC9 +bit 1020 O 1 IO_AC9 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AB9 +bit 1017 O 1 IO_AB9 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AK8 +bit 1014 O 1 IO_AK8 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AJ8 +bit 1011 O 1 IO_AJ8 1010 1 Z +bit 1010 C 1 * +bit 1009 O 1 * +bit 1008 O 1 * +bit 1007 O 1 * +bit 1006 I 1 IO_AH7 +bit 1005 O 1 IO_AH7 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AG7 +bit 1002 O 1 IO_AG7 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_AE7 +bit 999 O 1 IO_AE7 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AD7 +bit 996 O 1 IO_AD7 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AE6 +bit 993 O 1 IO_AE6 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AJ6 +bit 990 O 1 IO_AJ6 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AH6 +bit 987 O 1 IO_AH6 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_AF6 +bit 984 O 1 IO_AF6 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_AG5 +bit 981 O 1 IO_AG5 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AH4 +bit 978 O 1 IO_AH4 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AK5 +bit 975 O 1 IO_AK5 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AJ5 +bit 972 O 1 IO_AJ5 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AK4 +bit 969 O 1 IO_AK4 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AJ4 +bit 966 O 1 IO_AJ4 965 1 Z +bit 965 C 1 * +bit 964 I 1 M2_AK3 +bit 963 I 1 M0_AJ3 +bit 962 I 1 M1_AH3 +bit 961 I 1 IO_AH2 +bit 960 O 1 IO_AH2 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AH1 +bit 957 O 1 IO_AH1 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AG4 +bit 954 O 1 IO_AG4 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AG3 +bit 951 O 1 IO_AG3 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AG2 +bit 948 O 1 IO_AG2 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AG1 +bit 945 O 1 IO_AG1 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AF2 +bit 942 O 1 IO_AF2 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AF1 +bit 939 O 1 IO_AF1 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_AF4 +bit 936 O 1 IO_AF4 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_AE5 +bit 933 O 1 IO_AE5 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_AE3 +bit 930 O 1 IO_AE3 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_AE2 +bit 927 O 1 IO_AE2 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AD4 +bit 924 O 1 IO_AD4 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AD3 +bit 921 O 1 IO_AD3 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_AD2 +bit 918 O 1 IO_AD2 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AD1 +bit 915 O 1 IO_AD1 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_AD6 +bit 912 O 1 IO_AD6 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_AC7 +bit 909 O 1 IO_AC7 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_AC6 +bit 906 O 1 IO_AC6 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_AC5 +bit 903 O 1 IO_AC5 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_AC4 +bit 900 O 1 IO_AC4 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_AC3 +bit 897 O 1 IO_AC3 896 1 Z +bit 896 C 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 I 1 IO_AB6 +bit 891 O 1 IO_AB6 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_AC2 +bit 888 O 1 IO_AC2 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_AC1 +bit 885 O 1 IO_AC1 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_AB5 +bit 882 O 1 IO_AB5 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_AB4 +bit 879 O 1 IO_AB4 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_AB2 +bit 876 O 1 IO_AB2 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_AB1 +bit 873 O 1 IO_AB1 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_AB8 +bit 870 O 1 IO_AB8 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_AA9 +bit 867 O 1 IO_AA9 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_AA7 +bit 864 O 1 IO_AA7 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_AA6 +bit 861 O 1 IO_AA6 860 1 Z +bit 860 C 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_AA3 +bit 852 O 1 IO_AA3 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_AA2 +bit 849 O 1 IO_AA2 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_AA10 +bit 846 O 1 IO_AA10 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_Y10 +bit 843 O 1 IO_Y10 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_Y8 +bit 840 O 1 IO_Y8 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_Y7 +bit 837 O 1 IO_Y7 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_Y6 +bit 834 O 1 IO_Y6 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_Y5 +bit 831 O 1 IO_Y5 830 1 Z +bit 830 C 1 * +bit 829 O 1 * +bit 828 O 1 * +bit 827 O 1 * +bit 826 O 1 * +bit 825 O 1 * +bit 824 O 1 * +bit 823 I 1 IO_Y2 +bit 822 O 1 IO_Y2 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_Y1 +bit 819 O 1 IO_Y1 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 I 1 IO_W7 +bit 810 O 1 IO_W7 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_W6 +bit 807 O 1 IO_W6 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_W4 +bit 804 O 1 IO_W4 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_W3 +bit 801 O 1 IO_W3 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_W2 +bit 798 O 1 IO_W2 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_W1 +bit 795 O 1 IO_W1 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_W10 +bit 792 O 1 IO_W10 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_V10 +bit 789 O 1 IO_V10 788 1 Z +bit 788 C 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 I 1 IO_W5 +bit 780 O 1 IO_W5 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_V6 +bit 777 O 1 IO_V6 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_V5 +bit 774 O 1 IO_V5 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_V4 +bit 771 O 1 IO_V4 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_V2 +bit 768 O 1 IO_V2 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_V1 +bit 765 O 1 IO_V1 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_U10 +bit 762 O 1 IO_U10 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_U9 +bit 759 O 1 IO_U9 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_U7 +bit 756 O 1 IO_U7 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_U6 +bit 753 O 1 IO_U6 752 1 Z +bit 752 C 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 I 1 IO_T10 +bit 744 O 1 IO_T10 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_T9 +bit 741 O 1 IO_T9 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_T6 +bit 738 O 1 IO_T6 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_T5 +bit 735 O 1 IO_T5 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_T4 +bit 732 O 1 IO_T4 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_T3 +bit 729 O 1 IO_T3 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_T2 +bit 726 O 1 IO_T2 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_T1 +bit 723 O 1 IO_T1 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_R1 +bit 720 O 1 IO_R1 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_R2 +bit 717 O 1 IO_R2 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_R3 +bit 714 O 1 IO_R3 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_R4 +bit 711 O 1 IO_R4 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_R5 +bit 708 O 1 IO_R5 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_R6 +bit 705 O 1 IO_R6 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_R7 +bit 702 O 1 IO_R7 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_R8 +bit 699 O 1 IO_R8 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_R9 +bit 690 O 1 IO_R9 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_R10 +bit 687 O 1 IO_R10 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_P2 +bit 684 O 1 IO_P2 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_P3 +bit 681 O 1 IO_P3 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_P6 +bit 678 O 1 IO_P6 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_P7 +bit 675 O 1 IO_P7 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_P9 +bit 672 O 1 IO_P9 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_P10 +bit 669 O 1 IO_P10 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_N1 +bit 666 O 1 IO_N1 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_N2 +bit 663 O 1 IO_N2 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_N8 +bit 654 O 1 IO_N8 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_N9 +bit 651 O 1 IO_N9 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_N10 +bit 648 O 1 IO_N10 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_M10 +bit 645 O 1 IO_M10 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_M1 +bit 642 O 1 IO_M1 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_M2 +bit 639 O 1 IO_M2 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_M3 +bit 636 O 1 IO_M3 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_M4 +bit 633 O 1 IO_M4 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 I 1 IO_L1 +bit 624 O 1 IO_L1 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_L2 +bit 621 O 1 IO_L2 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_L3 +bit 618 O 1 IO_L3 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_L4 +bit 615 O 1 IO_L4 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_L5 +bit 612 O 1 IO_L5 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_L6 +bit 609 O 1 IO_L6 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_L7 +bit 606 O 1 IO_L7 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_L8 +bit 603 O 1 IO_L8 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_L10 +bit 600 O 1 IO_L10 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_K10 +bit 597 O 1 IO_K10 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_K2 +bit 594 O 1 IO_K2 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_K3 +bit 591 O 1 IO_K3 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_K6 +bit 582 O 1 IO_K6 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_K7 +bit 579 O 1 IO_K7 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_K9 +bit 576 O 1 IO_K9 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_J8 +bit 573 O 1 IO_J8 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_J1 +bit 570 O 1 IO_J1 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_J2 +bit 567 O 1 IO_J2 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_J4 +bit 564 O 1 IO_J4 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_J5 +bit 561 O 1 IO_J5 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_H1 +bit 558 O 1 IO_H1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_H2 +bit 555 O 1 IO_H2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_J6 +bit 552 O 1 IO_J6 551 1 Z +bit 551 C 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 I 1 IO_H3 +bit 546 O 1 IO_H3 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_H4 +bit 543 O 1 IO_H4 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_H5 +bit 540 O 1 IO_H5 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_H6 +bit 537 O 1 IO_H6 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_H7 +bit 534 O 1 IO_H7 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_G6 +bit 531 O 1 IO_G6 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_G1 +bit 528 O 1 IO_G1 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_G2 +bit 525 O 1 IO_G2 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_G3 +bit 522 O 1 IO_G3 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_G4 +bit 519 O 1 IO_G4 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_F2 +bit 516 O 1 IO_F2 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_F3 +bit 513 O 1 IO_F3 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_F5 +bit 510 O 1 IO_F5 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_E4 +bit 507 O 1 IO_E4 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_E1 +bit 504 O 1 IO_E1 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_E2 +bit 501 O 1 IO_E2 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_D1 +bit 498 O 1 IO_D1 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_D2 +bit 495 O 1 IO_D2 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_D3 +bit 492 O 1 IO_D3 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_D4 +bit 489 O 1 IO_D4 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_C1 +bit 486 O 1 IO_C1 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_C2 +bit 483 O 1 IO_C2 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 I 1 HSWAP_EN_A3 +bit 479 I 1 IO_C4 +bit 478 O 1 IO_C4 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_B4 +bit 475 O 1 IO_B4 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_A4 +bit 472 O 1 IO_A4 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_B5 +bit 469 O 1 IO_B5 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_A5 +bit 466 O 1 IO_A5 465 1 Z +bit 465 C 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 I 1 IO_D5 +bit 460 O 1 IO_D5 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_E6 +bit 457 O 1 IO_E6 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_C6 +bit 454 O 1 IO_C6 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_B6 +bit 451 O 1 IO_B6 450 1 Z +bit 450 C 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 I 1 IO_F6 +bit 445 O 1 IO_F6 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_F7 +bit 442 O 1 IO_F7 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_D7 +bit 439 O 1 IO_D7 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_C7 +bit 436 O 1 IO_C7 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_G8 +bit 433 O 1 IO_G8 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_F8 +bit 430 O 1 IO_F8 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_E8 +bit 427 O 1 IO_E8 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_D8 +bit 424 O 1 IO_D8 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_C8 +bit 421 O 1 IO_C8 420 1 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 I 1 IO_B8 +bit 415 O 1 IO_B8 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_A8 +bit 412 O 1 IO_A8 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_J9 +bit 409 O 1 IO_J9 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_H9 +bit 406 O 1 IO_H9 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_F9 +bit 403 O 1 IO_F9 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_G10 +bit 400 O 1 IO_G10 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_F10 +bit 397 O 1 IO_F10 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_C10 +bit 394 O 1 IO_C10 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_B10 +bit 391 O 1 IO_B10 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 I 1 IO_J10 +bit 385 O 1 IO_J10 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_K11 +bit 382 O 1 IO_K11 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_H11 +bit 379 O 1 IO_H11 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_G11 +bit 376 O 1 IO_G11 375 1 Z +bit 375 C 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 IO_F11 +bit 370 O 1 IO_F11 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_E11 +bit 367 O 1 IO_E11 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_D11 +bit 364 O 1 IO_D11 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_C11 +bit 361 O 1 IO_C11 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 I 1 IO_B11 +bit 355 O 1 IO_B11 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_A11 +bit 352 O 1 IO_A11 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_K12 +bit 349 O 1 IO_K12 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_J12 +bit 346 O 1 IO_J12 345 1 Z +bit 345 C 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 I 1 IO_H12 +bit 340 O 1 IO_H12 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_G12 +bit 337 O 1 IO_G12 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_F12 +bit 334 O 1 IO_F12 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_E12 +bit 331 O 1 IO_E12 330 1 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 I 1 IO_D12 +bit 325 O 1 IO_D12 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_C12 +bit 322 O 1 IO_C12 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_B12 +bit 319 O 1 IO_B12 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_A12 +bit 316 O 1 IO_A12 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_K13 +bit 313 O 1 IO_K13 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_J13 +bit 310 O 1 IO_J13 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_H13 +bit 307 O 1 IO_H13 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_F13 +bit 304 O 1 IO_F13 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_E13 +bit 301 O 1 IO_E13 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_D13 +bit 298 O 1 IO_D13 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_B13 +bit 295 O 1 IO_B13 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_A13 +bit 292 O 1 IO_A13 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_K14 +bit 289 O 1 IO_K14 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_J14 +bit 286 O 1 IO_J14 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_K15 +bit 283 O 1 IO_K15 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_G14 +bit 280 O 1 IO_G14 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_F14 +bit 277 O 1 IO_F14 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_C14 +bit 274 O 1 IO_C14 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_B14 +bit 271 O 1 IO_B14 270 1 Z +bit 270 C 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 I 1 IO_J15 +bit 265 O 1 IO_J15 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_H15 +bit 262 O 1 IO_H15 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_G15 +bit 259 O 1 IO_G15 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_F15 +bit 256 O 1 IO_F15 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_E15 +bit 253 O 1 IO_E15 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_D15 +bit 250 O 1 IO_D15 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_C15 +bit 247 O 1 IO_C15 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_B15 +bit 244 O 1 IO_B15 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_A15 +bit 241 O 1 IO_A15 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_A16 +bit 238 O 1 IO_A16 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B16 +bit 235 O 1 IO_B16 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C16 +bit 232 O 1 IO_C16 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_D16 +bit 229 O 1 IO_D16 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E16 +bit 226 O 1 IO_E16 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_F16 +bit 223 O 1 IO_F16 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_G16 +bit 220 O 1 IO_G16 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_H16 +bit 217 O 1 IO_H16 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_J16 +bit 214 O 1 IO_J16 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_K16 +bit 211 O 1 IO_K16 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_J17 +bit 208 O 1 IO_J17 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_B17 +bit 205 O 1 IO_B17 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_C17 +bit 202 O 1 IO_C17 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_F17 +bit 199 O 1 IO_F17 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_G17 +bit 196 O 1 IO_G17 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_F18 +bit 193 O 1 IO_F18 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A19 +bit 190 O 1 IO_A19 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B19 +bit 187 O 1 IO_B19 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_C19 +bit 184 O 1 IO_C19 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D19 +bit 181 O 1 IO_D19 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_E19 +bit 175 O 1 IO_E19 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_F19 +bit 172 O 1 IO_F19 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_G19 +bit 169 O 1 IO_G19 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_H19 +bit 166 O 1 IO_H19 165 1 Z +bit 165 C 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 I 1 IO_J19 +bit 160 O 1 IO_J19 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_K19 +bit 157 O 1 IO_K19 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_A20 +bit 154 O 1 IO_A20 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_B20 +bit 151 O 1 IO_B20 150 1 Z +bit 150 C 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 I 1 IO_C20 +bit 145 O 1 IO_C20 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_D20 +bit 142 O 1 IO_D20 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_E20 +bit 139 O 1 IO_E20 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_F20 +bit 136 O 1 IO_F20 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_G20 +bit 130 O 1 IO_G20 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_H20 +bit 127 O 1 IO_H20 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_B21 +bit 124 O 1 IO_B21 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_C21 +bit 121 O 1 IO_C21 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_K20 +bit 118 O 1 IO_K20 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_F21 +bit 115 O 1 IO_F21 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_G21 +bit 112 O 1 IO_G21 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A22 +bit 109 O 1 IO_A22 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B22 +bit 106 O 1 IO_B22 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_J21 +bit 103 O 1 IO_J21 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_D22 +bit 100 O 1 IO_D22 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_E22 +bit 97 O 1 IO_E22 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_F22 +bit 94 O 1 IO_F22 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_E23 +bit 91 O 1 IO_E23 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_H22 +bit 85 O 1 IO_H22 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_J22 +bit 82 O 1 IO_J22 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_A23 +bit 79 O 1 IO_A23 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_B23 +bit 76 O 1 IO_B23 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_C23 +bit 70 O 1 IO_C23 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D23 +bit 67 O 1 IO_D23 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_F23 +bit 64 O 1 IO_F23 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_G23 +bit 61 O 1 IO_G23 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_H23 +bit 55 O 1 IO_H23 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_G24 +bit 52 O 1 IO_G24 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_A24 +bit 49 O 1 IO_A24 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_B24 +bit 46 O 1 IO_B24 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_C24 +bit 40 O 1 IO_C24 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_D24 +bit 37 O 1 IO_D24 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_F24 +bit 34 O 1 IO_F24 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F25 +bit 31 O 1 IO_F25 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_E25 +bit 28 O 1 IO_E25 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B25 +bit 25 O 1 IO_B25 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C25 +bit 22 O 1 IO_C25 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_A26 +bit 19 O 1 IO_A26 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_B26 +bit 16 O 1 IO_B26 15 1 Z +bit 15 C 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_D26 +bit 10 O 1 IO_D26 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_C27 +bit 7 O 1 IO_C27 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A27 +bit 4 O 1 IO_A27 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B27 +bit 1 O 1 IO_B27 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l new file mode 100644 index 0000000..a3f0f56 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l @@ -0,0 +1,2797 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal CCLK_PAD544 +signal DONE_PAD543 +signal HSWAP_EN_PAD112 +signal M0_PAD319 +signal M1_PAD318 +signal M2_PAD320 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD6 +signal IO_PAD8 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD12 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD16 +signal IO_PAD18 +signal IO_PAD20 +signal IO_PAD22 +signal IO_PAD23 +signal IO_PAD24 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD28 +signal IO_PAD30 +signal IO_PAD31 +signal IO_PAD32 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD36 +signal IO_PAD38 +signal IO_PAD40 +signal IO_PAD41 +signal IO_PAD42 +signal IO_PAD44 +signal IO_PAD45 +signal IO_PAD46 +signal IO_PAD48 +signal IO_PAD50 +signal IO_PAD52 +signal IO_PAD54 +signal IO_PAD55 +signal IO_PAD56 +signal IO_PAD57 +signal IO_PAD58 +signal IO_PAD60 +signal IO_PAD62 +signal IO_PAD63 +signal IO_PAD64 +signal IO_PAD66 +signal IO_PAD67 +signal IO_PAD68 +signal IO_PAD69 +signal IO_PAD70 +signal IO_PAD72 +signal IO_PAD73 +signal IO_PAD74 +signal IO_PAD76 +signal IO_PAD77 +signal IO_PAD78 +signal IO_PAD80 +signal IO_PAD82 +signal IO_PAD84 +signal IO_PAD86 +signal IO_PAD87 +signal IO_PAD88 +signal IO_PAD89 +signal IO_PAD90 +signal IO_PAD92 +signal IO_PAD94 +signal IO_PAD95 +signal IO_PAD96 +signal IO_PAD97 +signal IO_PAD98 +signal IO_PAD100 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD106 +signal IO_PAD108 +signal IO_PAD109 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD116 +signal IO_PAD117 +signal IO_PAD118 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD123 +signal IO_PAD124 +signal IO_PAD126 +signal IO_PAD128 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD131 +signal IO_PAD132 +signal IO_PAD134 +signal IO_PAD136 +signal IO_PAD138 +signal IO_PAD140 +signal IO_PAD141 +signal IO_PAD142 +signal IO_PAD144 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD148 +signal IO_PAD149 +signal IO_PAD150 +signal IO_PAD152 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD156 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD160 +signal IO_PAD162 +signal IO_PAD164 +signal IO_PAD165 +signal IO_PAD166 +signal IO_PAD167 +signal IO_PAD168 +signal IO_PAD170 +signal IO_PAD172 +signal IO_PAD174 +signal IO_PAD176 +signal IO_PAD177 +signal IO_PAD178 +signal IO_PAD180 +signal IO_PAD182 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD185 +signal IO_PAD186 +signal IO_PAD187 +signal IO_PAD188 +signal IO_PAD190 +signal IO_PAD192 +signal IO_PAD193 +signal IO_PAD194 +signal IO_PAD195 +signal IO_PAD196 +signal IO_PAD198 +signal IO_PAD200 +signal IO_PAD202 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD206 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD210 +signal IO_PAD212 +signal IO_PAD214 +signal IO_PAD215 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD220 +signal IO_PAD222 +signal IO_PAD223 +signal IO_PAD224 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD228 +signal IO_PAD230 +signal IO_PAD232 +signal IO_PAD234 +signal IO_PAD236 +signal IO_PAD237 +signal IO_PAD238 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD242 +signal IO_PAD244 +signal IO_PAD245 +signal IO_PAD246 +signal IO_PAD247 +signal IO_PAD248 +signal IO_PAD249 +signal IO_PAD250 +signal IO_PAD252 +signal IO_PAD254 +signal IO_PAD255 +signal IO_PAD256 +signal IO_PAD258 +signal IO_PAD260 +signal IO_PAD262 +signal IO_PAD264 +signal IO_PAD265 +signal IO_PAD266 +signal IO_PAD267 +signal IO_PAD268 +signal IO_PAD270 +signal IO_PAD272 +signal IO_PAD273 +signal IO_PAD274 +signal IO_PAD276 +signal IO_PAD277 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD280 +signal IO_PAD282 +signal IO_PAD283 +signal IO_PAD284 +signal IO_PAD285 +signal IO_PAD286 +signal IO_PAD288 +signal IO_PAD290 +signal IO_PAD291 +signal IO_PAD292 +signal IO_PAD294 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD302 +signal IO_PAD303 +signal IO_PAD304 +signal IO_PAD306 +signal IO_PAD308 +signal IO_PAD309 +signal IO_PAD310 +signal IO_PAD311 +signal IO_PAD312 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD316 +signal IO_PAD321 +signal IO_PAD322 +signal IO_PAD323 +signal IO_PAD324 +signal IO_PAD326 +signal IO_PAD327 +signal IO_PAD328 +signal IO_PAD330 +signal IO_PAD331 +signal IO_PAD332 +signal IO_PAD334 +signal IO_PAD335 +signal IO_PAD336 +signal IO_PAD337 +signal IO_PAD338 +signal IO_PAD340 +signal IO_PAD342 +signal IO_PAD343 +signal IO_PAD344 +signal IO_PAD345 +signal IO_PAD346 +signal IO_PAD348 +signal IO_PAD350 +signal IO_PAD352 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD356 +signal IO_PAD358 +signal IO_PAD359 +signal IO_PAD360 +signal IO_PAD362 +signal IO_PAD363 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD366 +signal IO_PAD368 +signal IO_PAD369 +signal IO_PAD370 +signal IO_PAD372 +signal IO_PAD374 +signal IO_PAD375 +signal IO_PAD376 +signal IO_PAD377 +signal IO_PAD378 +signal IO_PAD380 +signal IO_PAD382 +signal IO_PAD384 +signal IO_PAD386 +signal IO_PAD387 +signal IO_PAD388 +signal IO_PAD390 +signal IO_PAD391 +signal IO_PAD392 +signal IO_PAD394 +signal IO_PAD396 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD399 +signal IO_PAD400 +signal IO_PAD401 +signal IO_PAD402 +signal IO_PAD404 +signal IO_PAD406 +signal IO_PAD407 +signal IO_PAD408 +signal IO_PAD409 +signal IO_PAD410 +signal IO_PAD412 +signal IO_PAD414 +signal IO_PAD416 +signal IO_PAD418 +signal IO_PAD419 +signal IO_PAD420 +signal IO_PAD422 +signal IO_PAD423 +signal IO_PAD424 +signal IO_PAD426 +signal IO_PAD428 +signal IO_PAD429 +signal IO_PAD430 +signal IO_PAD432 +signal IO_PAD433 +signal IO_PAD434 +signal IO_PAD436 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD440 +signal IO_PAD442 +signal IO_PAD443 +signal IO_PAD444 +signal IO_PAD446 +signal IO_PAD448 +signal IO_PAD450 +signal IO_PAD452 +signal IO_PAD453 +signal IO_PAD454 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD458 +signal IO_PAD460 +signal IO_PAD461 +signal IO_PAD462 +signal IO_PAD463 +signal IO_PAD464 +signal IO_PAD465 +signal IO_PAD466 +signal IO_PAD468 +signal IO_PAD470 +signal IO_PAD471 +signal IO_PAD472 +signal IO_PAD474 +signal IO_PAD475 +signal IO_PAD476 +signal IO_PAD478 +signal IO_PAD480 +signal IO_PAD482 +signal IO_PAD484 +signal IO_PAD485 +signal IO_PAD486 +signal IO_PAD487 +signal IO_PAD488 +signal IO_PAD490 +signal IO_PAD492 +signal IO_PAD493 +signal IO_PAD494 +signal IO_PAD496 +signal IO_PAD497 +signal IO_PAD498 +signal IO_PAD499 +signal IO_PAD500 +signal IO_PAD502 +signal IO_PAD503 +signal IO_PAD504 +signal IO_PAD506 +signal IO_PAD507 +signal IO_PAD508 +signal IO_PAD510 +signal IO_PAD512 +signal IO_PAD514 +signal IO_PAD516 +signal IO_PAD517 +signal IO_PAD518 +signal IO_PAD519 +signal IO_PAD520 +signal IO_PAD522 +signal IO_PAD524 +signal IO_PAD525 +signal IO_PAD526 +signal IO_PAD527 +signal IO_PAD528 +signal IO_PAD530 +signal IO_PAD531 +signal IO_PAD532 +signal IO_PAD534 +signal IO_PAD535 +signal IO_PAD536 +signal IO_PAD538 +signal IO_PAD539 +signal IO_PAD540 +signal IO_PAD541 +signal IO_PAD547 +signal IO_PAD548 +signal IO_PAD549 +signal IO_PAD551 +signal IO_PAD552 +signal IO_PAD553 +signal IO_PAD554 +signal IO_PAD555 +signal IO_PAD557 +signal IO_PAD559 +signal IO_PAD560 +signal IO_PAD561 +signal IO_PAD562 +signal IO_PAD563 +signal IO_PAD565 +signal IO_PAD567 +signal IO_PAD569 +signal IO_PAD571 +signal IO_PAD572 +signal IO_PAD573 +signal IO_PAD575 +signal IO_PAD577 +signal IO_PAD578 +signal IO_PAD579 +signal IO_PAD580 +signal IO_PAD581 +signal IO_PAD583 +signal IO_PAD584 +signal IO_PAD585 +signal IO_PAD586 +signal IO_PAD587 +signal IO_PAD589 +signal IO_PAD590 +signal IO_PAD591 +signal IO_PAD593 +signal IO_PAD595 +signal IO_PAD596 +signal IO_PAD597 +signal IO_PAD598 +signal IO_PAD599 +signal IO_PAD601 +signal IO_PAD603 +signal IO_PAD605 +signal IO_PAD607 +signal IO_PAD608 +signal IO_PAD609 +signal IO_PAD611 +signal IO_PAD613 +signal IO_PAD614 +signal IO_PAD615 +signal IO_PAD616 +signal IO_PAD617 +signal IO_PAD618 +signal IO_PAD619 +signal IO_PAD621 +signal IO_PAD623 +signal IO_PAD624 +signal IO_PAD625 +signal IO_PAD626 +signal IO_PAD627 +signal IO_PAD629 +signal IO_PAD631 +signal IO_PAD633 +signal IO_PAD635 +signal IO_PAD636 +signal IO_PAD637 +signal IO_PAD639 +signal IO_PAD640 +signal IO_PAD641 +signal IO_PAD643 +signal IO_PAD645 +signal IO_PAD646 +signal IO_PAD648 +signal IO_PAD649 +signal IO_PAD651 +signal IO_PAD653 +signal IO_PAD654 +signal IO_PAD655 +signal IO_PAD657 +signal IO_PAD658 +signal IO_PAD659 +signal IO_PAD661 +signal IO_PAD663 +signal IO_PAD665 +signal IO_PAD667 +signal IO_PAD668 +signal IO_PAD669 +signal IO_PAD670 +signal IO_PAD671 +signal IO_PAD673 +signal IO_PAD675 +signal IO_PAD676 +signal IO_PAD677 +signal IO_PAD678 +signal IO_PAD679 +signal IO_PAD680 +signal IO_PAD681 +signal IO_PAD683 +signal IO_PAD685 +signal IO_PAD686 +signal IO_PAD687 +signal IO_PAD689 +signal IO_PAD691 +signal IO_PAD693 +signal IO_PAD695 +signal IO_PAD696 +signal IO_PAD697 +signal IO_PAD698 +signal IO_PAD699 +signal IO_PAD701 +signal IO_PAD703 +signal IO_PAD704 +signal IO_PAD705 +signal IO_PAD707 +signal IO_PAD708 +signal IO_PAD709 +signal IO_PAD710 +signal IO_PAD711 +signal IO_PAD713 +signal IO_PAD714 +signal IO_PAD715 +signal IO_PAD716 +signal IO_PAD717 +signal IO_PAD719 +signal IO_PAD721 +signal IO_PAD722 +signal IO_PAD723 +signal IO_PAD725 +signal IO_PAD727 +signal IO_PAD729 +signal IO_PAD731 +signal IO_PAD732 +signal IO_PAD733 +signal IO_PAD734 +signal IO_PAD735 +signal IO_PAD737 +signal IO_PAD739 +signal IO_PAD740 +signal IO_PAD741 +signal IO_PAD742 +signal IO_PAD743 +signal IO_PAD745 +signal IO_PAD746 +signal IO_PAD747 +signal IO_PAD752 +signal IO_PAD753 +signal IO_PAD754 +signal IO_PAD755 +signal IO_PAD757 +signal IO_PAD758 +signal IO_PAD759 +signal IO_PAD761 +signal IO_PAD762 +signal IO_PAD763 +signal IO_PAD765 +signal IO_PAD766 +signal IO_PAD767 +signal IO_PAD768 +signal IO_PAD769 +signal IO_PAD771 +signal IO_PAD773 +signal IO_PAD774 +signal IO_PAD775 +signal IO_PAD776 +signal IO_PAD777 +signal IO_PAD779 +signal IO_PAD781 +signal IO_PAD783 +signal IO_PAD785 +signal IO_PAD786 +signal IO_PAD787 +signal IO_PAD789 +signal IO_PAD790 +signal IO_PAD791 +signal IO_PAD793 +signal IO_PAD794 +signal IO_PAD795 +signal IO_PAD796 +signal IO_PAD797 +signal IO_PAD799 +signal IO_PAD800 +signal IO_PAD801 +signal IO_PAD803 +signal IO_PAD805 +signal IO_PAD806 +signal IO_PAD807 +signal IO_PAD808 +signal IO_PAD809 +signal IO_PAD811 +signal IO_PAD813 +signal IO_PAD815 +signal IO_PAD817 +signal IO_PAD818 +signal IO_PAD819 +signal IO_PAD821 +signal IO_PAD822 +signal IO_PAD823 +signal IO_PAD825 +signal IO_PAD827 +signal IO_PAD828 +signal IO_PAD829 +signal IO_PAD830 +signal IO_PAD831 +signal IO_PAD832 +signal IO_PAD833 +signal IO_PAD835 +signal IO_PAD837 +signal IO_PAD838 +signal IO_PAD839 +signal IO_PAD840 +signal IO_PAD841 +signal IO_PAD843 +signal IO_PAD845 +signal IO_PAD847 +signal IO_PAD849 +signal IO_PAD850 +signal IO_PAD851 +signal IO_PAD853 +signal IO_PAD854 +signal IO_PAD855 +signal IO_PAD857 +signal IO_PAD859 +signal IO_PAD860 + +register BSR 1931 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1930 I 1 IO_PAD747 +bit 1929 O 1 IO_PAD747 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_PAD746 +bit 1926 O 1 IO_PAD746 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_PAD745 +bit 1923 O 1 IO_PAD745 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_PAD743 +bit 1920 O 1 IO_PAD743 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_PAD742 +bit 1917 O 1 IO_PAD742 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_PAD741 +bit 1914 O 1 IO_PAD741 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_PAD740 +bit 1911 O 1 IO_PAD740 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_PAD739 +bit 1908 O 1 IO_PAD739 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_PAD737 +bit 1905 O 1 IO_PAD737 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_PAD735 +bit 1902 O 1 IO_PAD735 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_PAD734 +bit 1899 O 1 IO_PAD734 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_PAD733 +bit 1896 O 1 IO_PAD733 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_PAD732 +bit 1893 O 1 IO_PAD732 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_PAD731 +bit 1890 O 1 IO_PAD731 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_PAD729 +bit 1887 O 1 IO_PAD729 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_PAD727 +bit 1884 O 1 IO_PAD727 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_PAD725 +bit 1881 O 1 IO_PAD725 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_PAD723 +bit 1878 O 1 IO_PAD723 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_PAD722 +bit 1875 O 1 IO_PAD722 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_PAD721 +bit 1872 O 1 IO_PAD721 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_PAD719 +bit 1869 O 1 IO_PAD719 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_PAD717 +bit 1866 O 1 IO_PAD717 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_PAD716 +bit 1863 O 1 IO_PAD716 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_PAD715 +bit 1860 O 1 IO_PAD715 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_PAD714 +bit 1857 O 1 IO_PAD714 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_PAD713 +bit 1854 O 1 IO_PAD713 1853 1 Z +bit 1853 C 1 * +bit 1852 I 1 IO_PAD711 +bit 1851 O 1 IO_PAD711 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_PAD710 +bit 1848 O 1 IO_PAD710 1847 1 Z +bit 1847 C 1 * +bit 1846 I 1 IO_PAD709 +bit 1845 O 1 IO_PAD709 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_PAD708 +bit 1842 O 1 IO_PAD708 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_PAD707 +bit 1839 O 1 IO_PAD707 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_PAD705 +bit 1836 O 1 IO_PAD705 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_PAD704 +bit 1833 O 1 IO_PAD704 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_PAD703 +bit 1830 O 1 IO_PAD703 1829 1 Z +bit 1829 C 1 * +bit 1828 O 1 * +bit 1827 O 1 * +bit 1826 O 1 * +bit 1825 O 1 * +bit 1824 O 1 * +bit 1823 O 1 * +bit 1822 I 1 IO_PAD701 +bit 1821 O 1 IO_PAD701 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_PAD699 +bit 1818 O 1 IO_PAD699 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_PAD698 +bit 1815 O 1 IO_PAD698 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_PAD697 +bit 1812 O 1 IO_PAD697 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_PAD696 +bit 1809 O 1 IO_PAD696 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_PAD695 +bit 1806 O 1 IO_PAD695 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_PAD693 +bit 1803 O 1 IO_PAD693 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_PAD691 +bit 1800 O 1 IO_PAD691 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_PAD689 +bit 1797 O 1 IO_PAD689 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_PAD687 +bit 1794 O 1 IO_PAD687 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_PAD686 +bit 1791 O 1 IO_PAD686 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_PAD685 +bit 1788 O 1 IO_PAD685 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_PAD683 +bit 1779 O 1 IO_PAD683 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_PAD681 +bit 1776 O 1 IO_PAD681 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_PAD680 +bit 1773 O 1 IO_PAD680 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_PAD679 +bit 1770 O 1 IO_PAD679 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_PAD678 +bit 1767 O 1 IO_PAD678 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_PAD677 +bit 1764 O 1 IO_PAD677 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_PAD676 +bit 1761 O 1 IO_PAD676 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_PAD675 +bit 1758 O 1 IO_PAD675 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 I 1 IO_PAD673 +bit 1749 O 1 IO_PAD673 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_PAD671 +bit 1746 O 1 IO_PAD671 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_PAD670 +bit 1743 O 1 IO_PAD670 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_PAD669 +bit 1740 O 1 IO_PAD669 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_PAD668 +bit 1737 O 1 IO_PAD668 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_PAD667 +bit 1734 O 1 IO_PAD667 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_PAD665 +bit 1731 O 1 IO_PAD665 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_PAD663 +bit 1728 O 1 IO_PAD663 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_PAD661 +bit 1725 O 1 IO_PAD661 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_PAD659 +bit 1722 O 1 IO_PAD659 1721 1 Z +bit 1721 C 1 * +bit 1720 O 1 * +bit 1719 O 1 * +bit 1718 O 1 * +bit 1717 O 1 * +bit 1716 O 1 * +bit 1715 O 1 * +bit 1714 I 1 IO_PAD658 +bit 1713 O 1 IO_PAD658 1712 1 Z +bit 1712 C 1 * +bit 1711 I 1 IO_PAD657 +bit 1710 O 1 IO_PAD657 1709 1 Z +bit 1709 C 1 * +bit 1708 I 1 IO_PAD655 +bit 1707 O 1 IO_PAD655 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_PAD654 +bit 1704 O 1 IO_PAD654 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_PAD653 +bit 1701 O 1 IO_PAD653 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_PAD651 +bit 1698 O 1 IO_PAD651 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_PAD649 +bit 1695 O 1 IO_PAD649 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_PAD648 +bit 1692 O 1 IO_PAD648 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_PAD646 +bit 1689 O 1 IO_PAD646 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_PAD645 +bit 1686 O 1 IO_PAD645 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_PAD643 +bit 1683 O 1 IO_PAD643 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_PAD641 +bit 1680 O 1 IO_PAD641 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_PAD640 +bit 1677 O 1 IO_PAD640 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_PAD639 +bit 1674 O 1 IO_PAD639 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_PAD637 +bit 1671 O 1 IO_PAD637 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_PAD636 +bit 1668 O 1 IO_PAD636 1667 1 Z +bit 1667 C 1 * +bit 1666 O 1 * +bit 1665 O 1 * +bit 1664 O 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_PAD635 +bit 1659 O 1 IO_PAD635 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_PAD633 +bit 1656 O 1 IO_PAD633 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_PAD631 +bit 1653 O 1 IO_PAD631 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_PAD629 +bit 1650 O 1 IO_PAD629 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_PAD627 +bit 1647 O 1 IO_PAD627 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_PAD626 +bit 1644 O 1 IO_PAD626 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_PAD625 +bit 1641 O 1 IO_PAD625 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_PAD624 +bit 1638 O 1 IO_PAD624 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_PAD623 +bit 1635 O 1 IO_PAD623 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_PAD621 +bit 1632 O 1 IO_PAD621 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 I 1 IO_PAD619 +bit 1623 O 1 IO_PAD619 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_PAD618 +bit 1620 O 1 IO_PAD618 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_PAD617 +bit 1617 O 1 IO_PAD617 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_PAD616 +bit 1614 O 1 IO_PAD616 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_PAD615 +bit 1611 O 1 IO_PAD615 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_PAD614 +bit 1608 O 1 IO_PAD614 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_PAD613 +bit 1605 O 1 IO_PAD613 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_PAD611 +bit 1602 O 1 IO_PAD611 1601 1 Z +bit 1601 C 1 * +bit 1600 O 1 * +bit 1599 O 1 * +bit 1598 O 1 * +bit 1597 O 1 * +bit 1596 O 1 * +bit 1595 O 1 * +bit 1594 I 1 IO_PAD609 +bit 1593 O 1 IO_PAD609 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_PAD608 +bit 1590 O 1 IO_PAD608 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_PAD607 +bit 1587 O 1 IO_PAD607 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_PAD605 +bit 1584 O 1 IO_PAD605 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_PAD603 +bit 1581 O 1 IO_PAD603 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_PAD601 +bit 1578 O 1 IO_PAD601 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_PAD599 +bit 1575 O 1 IO_PAD599 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_PAD598 +bit 1572 O 1 IO_PAD598 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_PAD597 +bit 1569 O 1 IO_PAD597 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_PAD596 +bit 1566 O 1 IO_PAD596 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_PAD595 +bit 1563 O 1 IO_PAD595 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_PAD593 +bit 1560 O 1 IO_PAD593 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_PAD591 +bit 1551 O 1 IO_PAD591 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_PAD590 +bit 1548 O 1 IO_PAD590 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_PAD589 +bit 1545 O 1 IO_PAD589 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_PAD587 +bit 1542 O 1 IO_PAD587 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_PAD586 +bit 1539 O 1 IO_PAD586 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_PAD585 +bit 1536 O 1 IO_PAD585 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_PAD584 +bit 1533 O 1 IO_PAD584 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_PAD583 +bit 1530 O 1 IO_PAD583 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_PAD581 +bit 1527 O 1 IO_PAD581 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_PAD580 +bit 1524 O 1 IO_PAD580 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_PAD579 +bit 1521 O 1 IO_PAD579 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_PAD578 +bit 1518 O 1 IO_PAD578 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_PAD577 +bit 1515 O 1 IO_PAD577 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_PAD575 +bit 1512 O 1 IO_PAD575 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_PAD573 +bit 1509 O 1 IO_PAD573 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_PAD572 +bit 1506 O 1 IO_PAD572 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_PAD571 +bit 1503 O 1 IO_PAD571 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_PAD569 +bit 1500 O 1 IO_PAD569 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_PAD567 +bit 1497 O 1 IO_PAD567 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_PAD565 +bit 1494 O 1 IO_PAD565 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_PAD563 +bit 1491 O 1 IO_PAD563 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_PAD562 +bit 1488 O 1 IO_PAD562 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_PAD561 +bit 1485 O 1 IO_PAD561 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_PAD560 +bit 1482 O 1 IO_PAD560 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_PAD559 +bit 1479 O 1 IO_PAD559 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_PAD557 +bit 1476 O 1 IO_PAD557 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_PAD555 +bit 1473 O 1 IO_PAD555 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_PAD554 +bit 1470 O 1 IO_PAD554 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_PAD553 +bit 1467 O 1 IO_PAD553 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_PAD552 +bit 1464 O 1 IO_PAD552 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_PAD551 +bit 1461 O 1 IO_PAD551 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_PAD549 +bit 1458 O 1 IO_PAD549 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_PAD548 +bit 1455 O 1 IO_PAD548 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_PAD547 +bit 1452 O 1 IO_PAD547 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 CCLK_PAD544 +bit 1449 O 1 CCLK_PAD544 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 DONE_PAD543 +bit 1446 O 1 DONE_PAD543 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_PAD541 +bit 1443 O 1 IO_PAD541 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_PAD540 +bit 1440 O 1 IO_PAD540 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_PAD539 +bit 1437 O 1 IO_PAD539 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_PAD538 +bit 1434 O 1 IO_PAD538 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_PAD536 +bit 1431 O 1 IO_PAD536 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_PAD535 +bit 1428 O 1 IO_PAD535 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_PAD534 +bit 1425 O 1 IO_PAD534 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_PAD532 +bit 1422 O 1 IO_PAD532 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_PAD531 +bit 1419 O 1 IO_PAD531 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_PAD530 +bit 1416 O 1 IO_PAD530 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_PAD528 +bit 1413 O 1 IO_PAD528 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_PAD527 +bit 1410 O 1 IO_PAD527 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_PAD526 +bit 1407 O 1 IO_PAD526 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_PAD525 +bit 1404 O 1 IO_PAD525 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_PAD524 +bit 1401 O 1 IO_PAD524 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_PAD522 +bit 1398 O 1 IO_PAD522 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_PAD520 +bit 1395 O 1 IO_PAD520 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_PAD519 +bit 1392 O 1 IO_PAD519 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_PAD518 +bit 1389 O 1 IO_PAD518 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_PAD517 +bit 1386 O 1 IO_PAD517 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_PAD516 +bit 1383 O 1 IO_PAD516 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_PAD514 +bit 1380 O 1 IO_PAD514 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_PAD512 +bit 1377 O 1 IO_PAD512 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_PAD510 +bit 1374 O 1 IO_PAD510 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_PAD508 +bit 1371 O 1 IO_PAD508 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_PAD507 +bit 1368 O 1 IO_PAD507 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_PAD506 +bit 1365 O 1 IO_PAD506 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_PAD504 +bit 1362 O 1 IO_PAD504 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_PAD503 +bit 1359 O 1 IO_PAD503 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_PAD502 +bit 1356 O 1 IO_PAD502 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_PAD500 +bit 1353 O 1 IO_PAD500 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_PAD499 +bit 1350 O 1 IO_PAD499 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_PAD498 +bit 1347 O 1 IO_PAD498 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_PAD497 +bit 1344 O 1 IO_PAD497 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_PAD496 +bit 1341 O 1 IO_PAD496 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_PAD494 +bit 1338 O 1 IO_PAD494 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_PAD493 +bit 1335 O 1 IO_PAD493 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_PAD492 +bit 1332 O 1 IO_PAD492 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_PAD490 +bit 1329 O 1 IO_PAD490 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_PAD488 +bit 1326 O 1 IO_PAD488 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_PAD487 +bit 1323 O 1 IO_PAD487 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_PAD486 +bit 1320 O 1 IO_PAD486 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_PAD485 +bit 1317 O 1 IO_PAD485 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_PAD484 +bit 1314 O 1 IO_PAD484 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_PAD482 +bit 1311 O 1 IO_PAD482 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_PAD480 +bit 1308 O 1 IO_PAD480 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_PAD478 +bit 1305 O 1 IO_PAD478 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_PAD476 +bit 1302 O 1 IO_PAD476 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_PAD475 +bit 1299 O 1 IO_PAD475 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_PAD474 +bit 1296 O 1 IO_PAD474 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_PAD472 +bit 1293 O 1 IO_PAD472 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_PAD471 +bit 1290 O 1 IO_PAD471 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_PAD470 +bit 1287 O 1 IO_PAD470 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_PAD468 +bit 1284 O 1 IO_PAD468 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_PAD466 +bit 1281 O 1 IO_PAD466 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_PAD465 +bit 1278 O 1 IO_PAD465 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_PAD464 +bit 1275 O 1 IO_PAD464 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_PAD463 +bit 1272 O 1 IO_PAD463 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_PAD462 +bit 1269 O 1 IO_PAD462 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_PAD461 +bit 1266 O 1 IO_PAD461 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_PAD460 +bit 1263 O 1 IO_PAD460 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_PAD458 +bit 1260 O 1 IO_PAD458 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_PAD456 +bit 1257 O 1 IO_PAD456 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_PAD455 +bit 1254 O 1 IO_PAD455 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_PAD454 +bit 1251 O 1 IO_PAD454 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_PAD453 +bit 1248 O 1 IO_PAD453 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_PAD452 +bit 1245 O 1 IO_PAD452 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_PAD450 +bit 1242 O 1 IO_PAD450 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_PAD448 +bit 1239 O 1 IO_PAD448 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_PAD446 +bit 1236 O 1 IO_PAD446 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_PAD444 +bit 1233 O 1 IO_PAD444 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_PAD443 +bit 1230 O 1 IO_PAD443 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_PAD442 +bit 1227 O 1 IO_PAD442 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_PAD440 +bit 1224 O 1 IO_PAD440 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_PAD439 +bit 1221 O 1 IO_PAD439 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_PAD438 +bit 1218 O 1 IO_PAD438 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_PAD436 +bit 1215 O 1 IO_PAD436 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_PAD434 +bit 1212 O 1 IO_PAD434 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_PAD433 +bit 1209 O 1 IO_PAD433 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_PAD432 +bit 1206 O 1 IO_PAD432 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_PAD430 +bit 1203 O 1 IO_PAD430 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_PAD429 +bit 1200 O 1 IO_PAD429 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_PAD428 +bit 1197 O 1 IO_PAD428 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_PAD426 +bit 1194 O 1 IO_PAD426 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_PAD424 +bit 1191 O 1 IO_PAD424 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_PAD423 +bit 1188 O 1 IO_PAD423 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_PAD422 +bit 1185 O 1 IO_PAD422 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_PAD420 +bit 1182 O 1 IO_PAD420 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_PAD419 +bit 1179 O 1 IO_PAD419 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_PAD418 +bit 1176 O 1 IO_PAD418 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_PAD416 +bit 1173 O 1 IO_PAD416 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_PAD414 +bit 1170 O 1 IO_PAD414 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_PAD412 +bit 1167 O 1 IO_PAD412 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_PAD410 +bit 1164 O 1 IO_PAD410 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD409 +bit 1161 O 1 IO_PAD409 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD408 +bit 1158 O 1 IO_PAD408 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD407 +bit 1155 O 1 IO_PAD407 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD406 +bit 1152 O 1 IO_PAD406 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD404 +bit 1149 O 1 IO_PAD404 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD402 +bit 1146 O 1 IO_PAD402 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD401 +bit 1143 O 1 IO_PAD401 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD400 +bit 1140 O 1 IO_PAD400 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD399 +bit 1137 O 1 IO_PAD399 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD398 +bit 1134 O 1 IO_PAD398 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD397 +bit 1131 O 1 IO_PAD397 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD396 +bit 1128 O 1 IO_PAD396 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD394 +bit 1125 O 1 IO_PAD394 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD392 +bit 1122 O 1 IO_PAD392 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_PAD391 +bit 1119 O 1 IO_PAD391 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_PAD390 +bit 1116 O 1 IO_PAD390 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD388 +bit 1113 O 1 IO_PAD388 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD387 +bit 1110 O 1 IO_PAD387 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD386 +bit 1107 O 1 IO_PAD386 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD384 +bit 1104 O 1 IO_PAD384 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_PAD382 +bit 1101 O 1 IO_PAD382 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_PAD380 +bit 1098 O 1 IO_PAD380 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_PAD378 +bit 1095 O 1 IO_PAD378 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_PAD377 +bit 1092 O 1 IO_PAD377 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD376 +bit 1089 O 1 IO_PAD376 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD375 +bit 1086 O 1 IO_PAD375 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_PAD374 +bit 1083 O 1 IO_PAD374 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_PAD372 +bit 1080 O 1 IO_PAD372 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_PAD370 +bit 1077 O 1 IO_PAD370 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_PAD369 +bit 1074 O 1 IO_PAD369 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_PAD368 +bit 1071 O 1 IO_PAD368 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD366 +bit 1068 O 1 IO_PAD366 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_PAD365 +bit 1065 O 1 IO_PAD365 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_PAD364 +bit 1062 O 1 IO_PAD364 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD363 +bit 1059 O 1 IO_PAD363 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD362 +bit 1056 O 1 IO_PAD362 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD360 +bit 1053 O 1 IO_PAD360 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD359 +bit 1050 O 1 IO_PAD359 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_PAD358 +bit 1047 O 1 IO_PAD358 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_PAD356 +bit 1044 O 1 IO_PAD356 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD355 +bit 1041 O 1 IO_PAD355 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD354 +bit 1038 O 1 IO_PAD354 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD352 +bit 1035 O 1 IO_PAD352 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD350 +bit 1032 O 1 IO_PAD350 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_PAD348 +bit 1029 O 1 IO_PAD348 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_PAD346 +bit 1026 O 1 IO_PAD346 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_PAD345 +bit 1023 O 1 IO_PAD345 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_PAD344 +bit 1020 O 1 IO_PAD344 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD343 +bit 1017 O 1 IO_PAD343 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_PAD342 +bit 1014 O 1 IO_PAD342 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_PAD340 +bit 1011 O 1 IO_PAD340 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_PAD338 +bit 1008 O 1 IO_PAD338 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_PAD337 +bit 1005 O 1 IO_PAD337 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_PAD336 +bit 1002 O 1 IO_PAD336 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_PAD335 +bit 999 O 1 IO_PAD335 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_PAD334 +bit 996 O 1 IO_PAD334 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD332 +bit 993 O 1 IO_PAD332 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_PAD331 +bit 990 O 1 IO_PAD331 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_PAD330 +bit 987 O 1 IO_PAD330 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD328 +bit 984 O 1 IO_PAD328 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD327 +bit 981 O 1 IO_PAD327 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD326 +bit 978 O 1 IO_PAD326 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD324 +bit 975 O 1 IO_PAD324 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_PAD323 +bit 972 O 1 IO_PAD323 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_PAD322 +bit 969 O 1 IO_PAD322 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD321 +bit 966 O 1 IO_PAD321 965 1 Z +bit 965 C 1 * +bit 964 I 1 M2_PAD320 +bit 963 I 1 M0_PAD319 +bit 962 I 1 M1_PAD318 +bit 961 I 1 IO_PAD316 +bit 960 O 1 IO_PAD316 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD315 +bit 957 O 1 IO_PAD315 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_PAD314 +bit 954 O 1 IO_PAD314 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_PAD312 +bit 951 O 1 IO_PAD312 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD311 +bit 948 O 1 IO_PAD311 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_PAD310 +bit 945 O 1 IO_PAD310 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_PAD309 +bit 942 O 1 IO_PAD309 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_PAD308 +bit 939 O 1 IO_PAD308 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_PAD306 +bit 936 O 1 IO_PAD306 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_PAD304 +bit 933 O 1 IO_PAD304 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_PAD303 +bit 930 O 1 IO_PAD303 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD302 +bit 927 O 1 IO_PAD302 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD301 +bit 924 O 1 IO_PAD301 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD300 +bit 921 O 1 IO_PAD300 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_PAD298 +bit 918 O 1 IO_PAD298 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_PAD296 +bit 915 O 1 IO_PAD296 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD294 +bit 912 O 1 IO_PAD294 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD292 +bit 909 O 1 IO_PAD292 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD291 +bit 906 O 1 IO_PAD291 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD290 +bit 903 O 1 IO_PAD290 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD288 +bit 900 O 1 IO_PAD288 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD286 +bit 897 O 1 IO_PAD286 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD285 +bit 894 O 1 IO_PAD285 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD284 +bit 891 O 1 IO_PAD284 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD283 +bit 888 O 1 IO_PAD283 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_PAD282 +bit 885 O 1 IO_PAD282 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_PAD280 +bit 882 O 1 IO_PAD280 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_PAD279 +bit 879 O 1 IO_PAD279 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_PAD278 +bit 876 O 1 IO_PAD278 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_PAD277 +bit 873 O 1 IO_PAD277 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_PAD276 +bit 870 O 1 IO_PAD276 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_PAD274 +bit 867 O 1 IO_PAD274 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_PAD273 +bit 864 O 1 IO_PAD273 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_PAD272 +bit 861 O 1 IO_PAD272 860 1 Z +bit 860 C 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_PAD270 +bit 852 O 1 IO_PAD270 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_PAD268 +bit 849 O 1 IO_PAD268 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_PAD267 +bit 846 O 1 IO_PAD267 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_PAD266 +bit 843 O 1 IO_PAD266 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_PAD265 +bit 840 O 1 IO_PAD265 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_PAD264 +bit 837 O 1 IO_PAD264 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_PAD262 +bit 834 O 1 IO_PAD262 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_PAD260 +bit 831 O 1 IO_PAD260 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_PAD258 +bit 828 O 1 IO_PAD258 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_PAD256 +bit 825 O 1 IO_PAD256 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_PAD255 +bit 822 O 1 IO_PAD255 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_PAD254 +bit 819 O 1 IO_PAD254 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 I 1 IO_PAD252 +bit 810 O 1 IO_PAD252 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD250 +bit 807 O 1 IO_PAD250 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_PAD249 +bit 804 O 1 IO_PAD249 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_PAD248 +bit 801 O 1 IO_PAD248 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_PAD247 +bit 798 O 1 IO_PAD247 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_PAD246 +bit 795 O 1 IO_PAD246 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD245 +bit 792 O 1 IO_PAD245 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD244 +bit 789 O 1 IO_PAD244 788 1 Z +bit 788 C 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 I 1 IO_PAD242 +bit 780 O 1 IO_PAD242 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_PAD240 +bit 777 O 1 IO_PAD240 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_PAD239 +bit 774 O 1 IO_PAD239 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD238 +bit 771 O 1 IO_PAD238 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD237 +bit 768 O 1 IO_PAD237 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD236 +bit 765 O 1 IO_PAD236 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD234 +bit 762 O 1 IO_PAD234 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD232 +bit 759 O 1 IO_PAD232 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD230 +bit 756 O 1 IO_PAD230 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD228 +bit 753 O 1 IO_PAD228 752 1 Z +bit 752 C 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 I 1 IO_PAD227 +bit 744 O 1 IO_PAD227 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD226 +bit 741 O 1 IO_PAD226 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD224 +bit 738 O 1 IO_PAD224 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD223 +bit 735 O 1 IO_PAD223 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_PAD222 +bit 732 O 1 IO_PAD222 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_PAD220 +bit 729 O 1 IO_PAD220 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_PAD218 +bit 726 O 1 IO_PAD218 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_PAD217 +bit 723 O 1 IO_PAD217 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_PAD215 +bit 720 O 1 IO_PAD215 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD214 +bit 717 O 1 IO_PAD214 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD212 +bit 714 O 1 IO_PAD212 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD210 +bit 711 O 1 IO_PAD210 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD209 +bit 708 O 1 IO_PAD209 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD208 +bit 705 O 1 IO_PAD208 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD206 +bit 702 O 1 IO_PAD206 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD205 +bit 699 O 1 IO_PAD205 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_PAD204 +bit 690 O 1 IO_PAD204 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD202 +bit 687 O 1 IO_PAD202 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD200 +bit 684 O 1 IO_PAD200 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD198 +bit 681 O 1 IO_PAD198 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD196 +bit 678 O 1 IO_PAD196 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD195 +bit 675 O 1 IO_PAD195 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD194 +bit 672 O 1 IO_PAD194 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD193 +bit 669 O 1 IO_PAD193 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD192 +bit 666 O 1 IO_PAD192 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD190 +bit 663 O 1 IO_PAD190 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_PAD188 +bit 654 O 1 IO_PAD188 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD187 +bit 651 O 1 IO_PAD187 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD186 +bit 648 O 1 IO_PAD186 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD185 +bit 645 O 1 IO_PAD185 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_PAD184 +bit 642 O 1 IO_PAD184 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_PAD183 +bit 639 O 1 IO_PAD183 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_PAD182 +bit 636 O 1 IO_PAD182 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD180 +bit 633 O 1 IO_PAD180 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 I 1 IO_PAD178 +bit 624 O 1 IO_PAD178 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_PAD177 +bit 621 O 1 IO_PAD177 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD176 +bit 618 O 1 IO_PAD176 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD174 +bit 615 O 1 IO_PAD174 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD172 +bit 612 O 1 IO_PAD172 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD170 +bit 609 O 1 IO_PAD170 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_PAD168 +bit 606 O 1 IO_PAD168 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_PAD167 +bit 603 O 1 IO_PAD167 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_PAD166 +bit 600 O 1 IO_PAD166 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD165 +bit 597 O 1 IO_PAD165 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD164 +bit 594 O 1 IO_PAD164 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD162 +bit 591 O 1 IO_PAD162 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_PAD160 +bit 582 O 1 IO_PAD160 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_PAD159 +bit 579 O 1 IO_PAD159 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD158 +bit 576 O 1 IO_PAD158 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD156 +bit 573 O 1 IO_PAD156 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD155 +bit 570 O 1 IO_PAD155 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD154 +bit 567 O 1 IO_PAD154 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_PAD153 +bit 564 O 1 IO_PAD153 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_PAD152 +bit 561 O 1 IO_PAD152 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_PAD150 +bit 558 O 1 IO_PAD150 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD149 +bit 555 O 1 IO_PAD149 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD148 +bit 552 O 1 IO_PAD148 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD147 +bit 549 O 1 IO_PAD147 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD146 +bit 546 O 1 IO_PAD146 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD144 +bit 543 O 1 IO_PAD144 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_PAD142 +bit 540 O 1 IO_PAD142 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_PAD141 +bit 537 O 1 IO_PAD141 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_PAD140 +bit 534 O 1 IO_PAD140 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_PAD138 +bit 531 O 1 IO_PAD138 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_PAD136 +bit 528 O 1 IO_PAD136 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_PAD134 +bit 525 O 1 IO_PAD134 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_PAD132 +bit 522 O 1 IO_PAD132 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_PAD131 +bit 519 O 1 IO_PAD131 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_PAD130 +bit 516 O 1 IO_PAD130 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_PAD129 +bit 513 O 1 IO_PAD129 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_PAD128 +bit 510 O 1 IO_PAD128 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_PAD126 +bit 507 O 1 IO_PAD126 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_PAD124 +bit 504 O 1 IO_PAD124 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_PAD123 +bit 501 O 1 IO_PAD123 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_PAD122 +bit 498 O 1 IO_PAD122 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_PAD121 +bit 495 O 1 IO_PAD121 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_PAD120 +bit 492 O 1 IO_PAD120 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_PAD118 +bit 489 O 1 IO_PAD118 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_PAD117 +bit 486 O 1 IO_PAD117 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_PAD116 +bit 483 O 1 IO_PAD116 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 I 1 HSWAP_EN_PAD112 +bit 479 I 1 IO_PAD111 +bit 478 O 1 IO_PAD111 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_PAD110 +bit 475 O 1 IO_PAD110 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_PAD109 +bit 472 O 1 IO_PAD109 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_PAD108 +bit 469 O 1 IO_PAD108 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_PAD106 +bit 466 O 1 IO_PAD106 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_PAD105 +bit 463 O 1 IO_PAD105 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_PAD104 +bit 460 O 1 IO_PAD104 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_PAD102 +bit 457 O 1 IO_PAD102 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_PAD101 +bit 454 O 1 IO_PAD101 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_PAD100 +bit 451 O 1 IO_PAD100 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_PAD98 +bit 448 O 1 IO_PAD98 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_PAD97 +bit 445 O 1 IO_PAD97 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_PAD96 +bit 442 O 1 IO_PAD96 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_PAD95 +bit 439 O 1 IO_PAD95 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_PAD94 +bit 436 O 1 IO_PAD94 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_PAD92 +bit 433 O 1 IO_PAD92 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_PAD90 +bit 430 O 1 IO_PAD90 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_PAD89 +bit 427 O 1 IO_PAD89 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_PAD88 +bit 424 O 1 IO_PAD88 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_PAD87 +bit 421 O 1 IO_PAD87 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_PAD86 +bit 418 O 1 IO_PAD86 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_PAD84 +bit 415 O 1 IO_PAD84 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_PAD82 +bit 412 O 1 IO_PAD82 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_PAD80 +bit 409 O 1 IO_PAD80 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_PAD78 +bit 406 O 1 IO_PAD78 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_PAD77 +bit 403 O 1 IO_PAD77 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_PAD76 +bit 400 O 1 IO_PAD76 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_PAD74 +bit 397 O 1 IO_PAD74 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_PAD73 +bit 394 O 1 IO_PAD73 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_PAD72 +bit 391 O 1 IO_PAD72 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_PAD70 +bit 388 O 1 IO_PAD70 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_PAD69 +bit 385 O 1 IO_PAD69 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_PAD68 +bit 382 O 1 IO_PAD68 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_PAD67 +bit 379 O 1 IO_PAD67 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_PAD66 +bit 376 O 1 IO_PAD66 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_PAD64 +bit 373 O 1 IO_PAD64 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_PAD63 +bit 370 O 1 IO_PAD63 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_PAD62 +bit 367 O 1 IO_PAD62 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_PAD60 +bit 364 O 1 IO_PAD60 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_PAD58 +bit 361 O 1 IO_PAD58 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_PAD57 +bit 358 O 1 IO_PAD57 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_PAD56 +bit 355 O 1 IO_PAD56 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_PAD55 +bit 352 O 1 IO_PAD55 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_PAD54 +bit 349 O 1 IO_PAD54 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_PAD52 +bit 346 O 1 IO_PAD52 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_PAD50 +bit 343 O 1 IO_PAD50 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_PAD48 +bit 340 O 1 IO_PAD48 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_PAD46 +bit 337 O 1 IO_PAD46 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_PAD45 +bit 334 O 1 IO_PAD45 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_PAD44 +bit 331 O 1 IO_PAD44 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_PAD42 +bit 328 O 1 IO_PAD42 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_PAD41 +bit 325 O 1 IO_PAD41 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_PAD40 +bit 322 O 1 IO_PAD40 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_PAD38 +bit 319 O 1 IO_PAD38 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_PAD36 +bit 316 O 1 IO_PAD36 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_PAD35 +bit 313 O 1 IO_PAD35 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_PAD34 +bit 310 O 1 IO_PAD34 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_PAD33 +bit 307 O 1 IO_PAD33 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_PAD32 +bit 304 O 1 IO_PAD32 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_PAD31 +bit 301 O 1 IO_PAD31 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_PAD30 +bit 298 O 1 IO_PAD30 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_PAD28 +bit 295 O 1 IO_PAD28 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_PAD26 +bit 292 O 1 IO_PAD26 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_PAD25 +bit 289 O 1 IO_PAD25 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_PAD24 +bit 286 O 1 IO_PAD24 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_PAD23 +bit 283 O 1 IO_PAD23 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_PAD22 +bit 280 O 1 IO_PAD22 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_PAD20 +bit 277 O 1 IO_PAD20 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_PAD18 +bit 274 O 1 IO_PAD18 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_PAD16 +bit 271 O 1 IO_PAD16 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_PAD14 +bit 268 O 1 IO_PAD14 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_PAD13 +bit 265 O 1 IO_PAD13 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_PAD12 +bit 262 O 1 IO_PAD12 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_PAD10 +bit 259 O 1 IO_PAD10 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_PAD9 +bit 256 O 1 IO_PAD9 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_PAD8 +bit 253 O 1 IO_PAD8 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_PAD6 +bit 250 O 1 IO_PAD6 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_PAD4 +bit 247 O 1 IO_PAD4 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_PAD3 +bit 244 O 1 IO_PAD3 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_PAD2 +bit 241 O 1 IO_PAD2 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_PAD861 +bit 238 O 1 IO_PAD861 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_PAD860 +bit 235 O 1 IO_PAD860 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_PAD859 +bit 232 O 1 IO_PAD859 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_PAD857 +bit 229 O 1 IO_PAD857 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_PAD855 +bit 226 O 1 IO_PAD855 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_PAD854 +bit 223 O 1 IO_PAD854 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_PAD853 +bit 220 O 1 IO_PAD853 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_PAD851 +bit 217 O 1 IO_PAD851 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_PAD850 +bit 214 O 1 IO_PAD850 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_PAD849 +bit 211 O 1 IO_PAD849 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_PAD847 +bit 208 O 1 IO_PAD847 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD845 +bit 205 O 1 IO_PAD845 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD843 +bit 202 O 1 IO_PAD843 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD841 +bit 199 O 1 IO_PAD841 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD840 +bit 196 O 1 IO_PAD840 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD839 +bit 193 O 1 IO_PAD839 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD838 +bit 190 O 1 IO_PAD838 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD837 +bit 187 O 1 IO_PAD837 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD835 +bit 184 O 1 IO_PAD835 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD833 +bit 181 O 1 IO_PAD833 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD832 +bit 178 O 1 IO_PAD832 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD831 +bit 175 O 1 IO_PAD831 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD830 +bit 172 O 1 IO_PAD830 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD829 +bit 169 O 1 IO_PAD829 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD828 +bit 166 O 1 IO_PAD828 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD827 +bit 163 O 1 IO_PAD827 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD825 +bit 160 O 1 IO_PAD825 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD823 +bit 157 O 1 IO_PAD823 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD822 +bit 154 O 1 IO_PAD822 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD821 +bit 151 O 1 IO_PAD821 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD819 +bit 148 O 1 IO_PAD819 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD818 +bit 145 O 1 IO_PAD818 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD817 +bit 142 O 1 IO_PAD817 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD815 +bit 139 O 1 IO_PAD815 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD813 +bit 136 O 1 IO_PAD813 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD811 +bit 133 O 1 IO_PAD811 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD809 +bit 130 O 1 IO_PAD809 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD808 +bit 127 O 1 IO_PAD808 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD807 +bit 124 O 1 IO_PAD807 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD806 +bit 121 O 1 IO_PAD806 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD805 +bit 118 O 1 IO_PAD805 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD803 +bit 115 O 1 IO_PAD803 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD801 +bit 112 O 1 IO_PAD801 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD800 +bit 109 O 1 IO_PAD800 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD799 +bit 106 O 1 IO_PAD799 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD797 +bit 103 O 1 IO_PAD797 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD796 +bit 100 O 1 IO_PAD796 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD795 +bit 97 O 1 IO_PAD795 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD794 +bit 94 O 1 IO_PAD794 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD793 +bit 91 O 1 IO_PAD793 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD791 +bit 88 O 1 IO_PAD791 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD790 +bit 85 O 1 IO_PAD790 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD789 +bit 82 O 1 IO_PAD789 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD787 +bit 79 O 1 IO_PAD787 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD786 +bit 76 O 1 IO_PAD786 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD785 +bit 73 O 1 IO_PAD785 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD783 +bit 70 O 1 IO_PAD783 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD781 +bit 67 O 1 IO_PAD781 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD779 +bit 64 O 1 IO_PAD779 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD777 +bit 61 O 1 IO_PAD777 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD776 +bit 58 O 1 IO_PAD776 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD775 +bit 55 O 1 IO_PAD775 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD774 +bit 52 O 1 IO_PAD774 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD773 +bit 49 O 1 IO_PAD773 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD771 +bit 46 O 1 IO_PAD771 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD769 +bit 43 O 1 IO_PAD769 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD768 +bit 40 O 1 IO_PAD768 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD767 +bit 37 O 1 IO_PAD767 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD766 +bit 34 O 1 IO_PAD766 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD765 +bit 31 O 1 IO_PAD765 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD763 +bit 28 O 1 IO_PAD763 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD762 +bit 25 O 1 IO_PAD762 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD761 +bit 22 O 1 IO_PAD761 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD759 +bit 19 O 1 IO_PAD759 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD758 +bit 16 O 1 IO_PAD758 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD757 +bit 13 O 1 IO_PAD757 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD755 +bit 10 O 1 IO_PAD755 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD754 +bit 7 O 1 IO_PAD754 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD753 +bit 4 O 1 IO_PAD753 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD752 +bit 1 O 1 IO_PAD752 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l_fg676 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l_fg676 new file mode 100644 index 0000000..e049248 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l_fg676 @@ -0,0 +1,2618 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal CCLK_AD26 +signal DONE_AC24 +signal HSWAP_EN_C2 +signal M0_AE3 +signal M1_AC3 +signal M2_AF3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_A19 +signal IO_A20 +signal IO_A21 +signal IO_A22 +signal IO_A23 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D25 +signal IO_D26 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_E23 +signal IO_E24 +signal IO_E25 +signal IO_E26 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_G1 +signal IO_G2 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G9 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G25 +signal IO_G26 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H20 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J7 +signal IO_J20 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J24 +signal IO_J25 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K24 +signal IO_K25 +signal IO_K26 +signal IO_L1 +signal IO_L2 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L23 +signal IO_L25 +signal IO_L26 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N24 +signal IO_N25 +signal IO_N26 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R19 +signal IO_R20 +signal IO_R21 +signal IO_R22 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_T1 +signal IO_T2 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T25 +signal IO_T26 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U20 +signal IO_U21 +signal IO_U22 +signal IO_U23 +signal IO_U24 +signal IO_U25 +signal IO_U26 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V24 +signal IO_V25 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_Y1 +signal IO_Y2 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y14 +signal IO_Y15 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_Y23 +signal IO_Y25 +signal IO_Y26 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA23 +signal IO_AA24 +signal IO_AA25 +signal IO_AA26 +signal IO_AB1 +signal IO_AB2 +signal IO_AB3 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB20 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB24 +signal IO_AB25 +signal IO_AB26 +signal IO_AC1 +signal IO_AC2 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC13 +signal IO_AC14 +signal IO_AC16 +signal IO_AC17 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC21 +signal IO_AC22 +signal IO_AC25 +signal IO_AC26 +signal IO_AD1 +signal IO_AD2 +signal IO_AD4 +signal IO_AD5 +signal IO_AD6 +signal IO_AD8 +signal IO_AD9 +signal IO_AD10 +signal IO_AD12 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AF4 +signal IO_AF5 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF10 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF14 +signal IO_AF15 +signal IO_AF16 +signal IO_AF17 +signal IO_AF19 +signal IO_AF20 +signal IO_AF21 +signal IO_AF22 +signal IO_AF23 + +register BSR 1931 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1930 I 1 IO_C25 +bit 1929 O 1 IO_C25 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_C26 +bit 1926 O 1 IO_C26 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_E23 +bit 1923 O 1 IO_E23 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_E24 +bit 1920 O 1 IO_E24 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_D25 +bit 1917 O 1 IO_D25 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_D26 +bit 1914 O 1 IO_D26 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_F22 +bit 1911 O 1 IO_F22 1910 1 Z +bit 1910 C 1 * +bit 1909 O 1 * +bit 1908 O 1 * +bit 1907 O 1 * +bit 1906 I 1 IO_E25 +bit 1905 O 1 IO_E25 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_E26 +bit 1902 O 1 IO_E26 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_G20 +bit 1899 O 1 IO_G20 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_G21 +bit 1896 O 1 IO_G21 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_F23 +bit 1893 O 1 IO_F23 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_F24 +bit 1890 O 1 IO_F24 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_G22 +bit 1887 O 1 IO_G22 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_G23 +bit 1884 O 1 IO_G23 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_F25 +bit 1881 O 1 IO_F25 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_F26 +bit 1878 O 1 IO_F26 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_G25 +bit 1875 O 1 IO_G25 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_G26 +bit 1872 O 1 IO_G26 1871 1 Z +bit 1871 C 1 * +bit 1870 O 1 * +bit 1869 O 1 * +bit 1868 O 1 * +bit 1867 O 1 * +bit 1866 O 1 * +bit 1865 O 1 * +bit 1864 O 1 * +bit 1863 O 1 * +bit 1862 O 1 * +bit 1861 O 1 * +bit 1860 O 1 * +bit 1859 O 1 * +bit 1858 O 1 * +bit 1857 O 1 * +bit 1856 O 1 * +bit 1855 O 1 * +bit 1854 O 1 * +bit 1853 O 1 * +bit 1852 I 1 IO_H20 +bit 1851 O 1 IO_H20 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_H21 +bit 1848 O 1 IO_H21 1847 1 Z +bit 1847 C 1 * +bit 1846 O 1 * +bit 1845 O 1 * +bit 1844 O 1 * +bit 1843 O 1 * +bit 1842 O 1 * +bit 1841 O 1 * +bit 1840 I 1 IO_H22 +bit 1839 O 1 IO_H22 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_J21 +bit 1836 O 1 IO_J21 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_H23 +bit 1833 O 1 IO_H23 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_H24 +bit 1830 O 1 IO_H24 1829 1 Z +bit 1829 C 1 * +bit 1828 O 1 * +bit 1827 O 1 * +bit 1826 O 1 * +bit 1825 O 1 * +bit 1824 O 1 * +bit 1823 O 1 * +bit 1822 I 1 IO_H25 +bit 1821 O 1 IO_H25 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_H26 +bit 1818 O 1 IO_H26 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_J20 +bit 1815 O 1 IO_J20 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_K20 +bit 1812 O 1 IO_K20 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_J22 +bit 1809 O 1 IO_J22 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_J23 +bit 1806 O 1 IO_J23 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_J24 +bit 1803 O 1 IO_J24 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_J25 +bit 1800 O 1 IO_J25 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_K21 +bit 1797 O 1 IO_K21 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_K22 +bit 1794 O 1 IO_K22 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_K23 +bit 1791 O 1 IO_K23 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_K24 +bit 1788 O 1 IO_K24 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_K25 +bit 1779 O 1 IO_K25 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_K26 +bit 1776 O 1 IO_K26 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_L19 +bit 1773 O 1 IO_L19 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_L20 +bit 1770 O 1 IO_L20 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_L21 +bit 1767 O 1 IO_L21 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_L22 +bit 1764 O 1 IO_L22 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_L25 +bit 1761 O 1 IO_L25 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_L26 +bit 1758 O 1 IO_L26 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 I 1 IO_M19 +bit 1749 O 1 IO_M19 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_M20 +bit 1746 O 1 IO_M20 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_M21 +bit 1743 O 1 IO_M21 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_M22 +bit 1740 O 1 IO_M22 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_L23 +bit 1737 O 1 IO_L23 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_M24 +bit 1734 O 1 IO_M24 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_M25 +bit 1731 O 1 IO_M25 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_M26 +bit 1728 O 1 IO_M26 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_N19 +bit 1725 O 1 IO_N19 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_N20 +bit 1722 O 1 IO_N20 1721 1 Z +bit 1721 C 1 * +bit 1720 O 1 * +bit 1719 O 1 * +bit 1718 O 1 * +bit 1717 O 1 * +bit 1716 O 1 * +bit 1715 O 1 * +bit 1714 O 1 * +bit 1713 O 1 * +bit 1712 O 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 I 1 IO_N21 +bit 1707 O 1 IO_N21 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_N22 +bit 1704 O 1 IO_N22 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_N23 +bit 1701 O 1 IO_N23 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_N24 +bit 1698 O 1 IO_N24 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_N25 +bit 1695 O 1 IO_N25 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_N26 +bit 1692 O 1 IO_N26 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_P26 +bit 1689 O 1 IO_P26 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_P25 +bit 1686 O 1 IO_P25 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_P24 +bit 1683 O 1 IO_P24 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_P23 +bit 1680 O 1 IO_P23 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_P22 +bit 1677 O 1 IO_P22 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_P21 +bit 1674 O 1 IO_P21 1673 1 Z +bit 1673 C 1 * +bit 1672 O 1 * +bit 1671 O 1 * +bit 1670 O 1 * +bit 1669 O 1 * +bit 1668 O 1 * +bit 1667 O 1 * +bit 1666 O 1 * +bit 1665 O 1 * +bit 1664 O 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_P20 +bit 1659 O 1 IO_P20 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_P19 +bit 1656 O 1 IO_P19 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_R26 +bit 1653 O 1 IO_R26 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_R25 +bit 1650 O 1 IO_R25 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_R24 +bit 1647 O 1 IO_R24 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_T23 +bit 1644 O 1 IO_T23 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_R22 +bit 1641 O 1 IO_R22 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_R21 +bit 1638 O 1 IO_R21 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_R20 +bit 1635 O 1 IO_R20 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_R19 +bit 1632 O 1 IO_R19 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 I 1 IO_T26 +bit 1623 O 1 IO_T26 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_T25 +bit 1620 O 1 IO_T25 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_T22 +bit 1617 O 1 IO_T22 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_T21 +bit 1614 O 1 IO_T21 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_T20 +bit 1611 O 1 IO_T20 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_T19 +bit 1608 O 1 IO_T19 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_U26 +bit 1605 O 1 IO_U26 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_U25 +bit 1602 O 1 IO_U25 1601 1 Z +bit 1601 C 1 * +bit 1600 O 1 * +bit 1599 O 1 * +bit 1598 O 1 * +bit 1597 O 1 * +bit 1596 O 1 * +bit 1595 O 1 * +bit 1594 I 1 IO_U24 +bit 1593 O 1 IO_U24 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_U23 +bit 1590 O 1 IO_U23 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_U22 +bit 1587 O 1 IO_U22 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_U21 +bit 1584 O 1 IO_U21 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_V25 +bit 1581 O 1 IO_V25 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_V24 +bit 1578 O 1 IO_V24 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_V23 +bit 1575 O 1 IO_V23 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_V22 +bit 1572 O 1 IO_V22 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_U20 +bit 1569 O 1 IO_U20 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_V20 +bit 1566 O 1 IO_V20 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_W26 +bit 1563 O 1 IO_W26 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_W25 +bit 1560 O 1 IO_W25 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_W24 +bit 1551 O 1 IO_W24 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_W23 +bit 1548 O 1 IO_W23 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_V21 +bit 1545 O 1 IO_V21 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_W22 +bit 1542 O 1 IO_W22 1541 1 Z +bit 1541 C 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 I 1 IO_Y26 +bit 1533 O 1 IO_Y26 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_Y25 +bit 1530 O 1 IO_Y25 1529 1 Z +bit 1529 C 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 O 1 * +bit 1521 O 1 * +bit 1520 O 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 O 1 * +bit 1515 O 1 * +bit 1514 O 1 * +bit 1513 O 1 * +bit 1512 O 1 * +bit 1511 O 1 * +bit 1510 I 1 IO_W21 +bit 1509 O 1 IO_W21 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_W20 +bit 1506 O 1 IO_W20 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AA26 +bit 1503 O 1 IO_AA26 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AA25 +bit 1500 O 1 IO_AA25 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_Y23 +bit 1497 O 1 IO_Y23 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_Y22 +bit 1494 O 1 IO_Y22 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AA24 +bit 1491 O 1 IO_AA24 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AA23 +bit 1488 O 1 IO_AA23 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AB26 +bit 1485 O 1 IO_AB26 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AB25 +bit 1482 O 1 IO_AB25 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_Y21 +bit 1479 O 1 IO_Y21 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_Y20 +bit 1476 O 1 IO_Y20 1475 1 Z +bit 1475 C 1 * +bit 1474 O 1 * +bit 1473 O 1 * +bit 1472 O 1 * +bit 1471 O 1 * +bit 1470 O 1 * +bit 1469 O 1 * +bit 1468 I 1 IO_AC26 +bit 1467 O 1 IO_AC26 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AC25 +bit 1464 O 1 IO_AC25 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AB24 +bit 1461 O 1 IO_AB24 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AB23 +bit 1458 O 1 IO_AB23 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AA22 +bit 1455 O 1 IO_AA22 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AA21 +bit 1452 O 1 IO_AA21 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 CCLK_AD26 +bit 1449 O 1 CCLK_AD26 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 DONE_AC24 +bit 1446 O 1 DONE_AC24 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AD25 +bit 1443 O 1 IO_AD25 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AB22 +bit 1440 O 1 IO_AB22 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AC22 +bit 1437 O 1 IO_AC22 1436 1 Z +bit 1436 C 1 * +bit 1435 O 1 * +bit 1434 O 1 * +bit 1433 O 1 * +bit 1432 O 1 * +bit 1431 O 1 * +bit 1430 O 1 * +bit 1429 O 1 * +bit 1428 O 1 * +bit 1427 O 1 * +bit 1426 O 1 * +bit 1425 O 1 * +bit 1424 O 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_AE24 +bit 1419 O 1 IO_AE24 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AF24 +bit 1416 O 1 IO_AF24 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AD23 +bit 1413 O 1 IO_AD23 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AE23 +bit 1410 O 1 IO_AE23 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AF23 +bit 1407 O 1 IO_AF23 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AD22 +bit 1404 O 1 IO_AD22 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AE22 +bit 1401 O 1 IO_AE22 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AF22 +bit 1398 O 1 IO_AF22 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AB21 +bit 1395 O 1 IO_AB21 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AC21 +bit 1392 O 1 IO_AC21 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AD21 +bit 1389 O 1 IO_AD21 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AE21 +bit 1386 O 1 IO_AE21 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AF21 +bit 1383 O 1 IO_AF21 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AB20 +bit 1380 O 1 IO_AB20 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AC20 +bit 1377 O 1 IO_AC20 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AE20 +bit 1374 O 1 IO_AE20 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AF20 +bit 1371 O 1 IO_AF20 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AA20 +bit 1368 O 1 IO_AA20 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_Y19 +bit 1365 O 1 IO_Y19 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AA19 +bit 1362 O 1 IO_AA19 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AB19 +bit 1359 O 1 IO_AB19 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AC19 +bit 1356 O 1 IO_AC19 1355 1 Z +bit 1355 C 1 * +bit 1354 O 1 * +bit 1353 O 1 * +bit 1352 O 1 * +bit 1351 O 1 * +bit 1350 O 1 * +bit 1349 O 1 * +bit 1348 O 1 * +bit 1347 O 1 * +bit 1346 O 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 O 1 * +bit 1341 O 1 * +bit 1340 O 1 * +bit 1339 I 1 IO_AD19 +bit 1338 O 1 IO_AD19 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AE19 +bit 1335 O 1 IO_AE19 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AF19 +bit 1332 O 1 IO_AF19 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_Y18 +bit 1329 O 1 IO_Y18 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AA18 +bit 1326 O 1 IO_AA18 1325 1 Z +bit 1325 C 1 * +bit 1324 O 1 * +bit 1323 O 1 * +bit 1322 O 1 * +bit 1321 I 1 IO_AB18 +bit 1320 O 1 IO_AB18 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AC18 +bit 1317 O 1 IO_AC18 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AD18 +bit 1314 O 1 IO_AD18 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AE18 +bit 1311 O 1 IO_AE18 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_Y17 +bit 1308 O 1 IO_Y17 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AC17 +bit 1305 O 1 IO_AC17 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AA17 +bit 1302 O 1 IO_AA17 1301 1 Z +bit 1301 C 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 O 1 * +bit 1296 O 1 * +bit 1295 O 1 * +bit 1294 O 1 * +bit 1293 O 1 * +bit 1292 O 1 * +bit 1291 O 1 * +bit 1290 O 1 * +bit 1289 O 1 * +bit 1288 O 1 * +bit 1287 O 1 * +bit 1286 O 1 * +bit 1285 I 1 IO_AD17 +bit 1284 O 1 IO_AD17 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_AB17 +bit 1281 O 1 IO_AB17 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_W16 +bit 1278 O 1 IO_W16 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AE17 +bit 1275 O 1 IO_AE17 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AF17 +bit 1272 O 1 IO_AF17 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_Y16 +bit 1269 O 1 IO_Y16 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AA16 +bit 1266 O 1 IO_AA16 1265 1 Z +bit 1265 C 1 * +bit 1264 O 1 * +bit 1263 O 1 * +bit 1262 O 1 * +bit 1261 I 1 IO_AB16 +bit 1260 O 1 IO_AB16 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AC16 +bit 1257 O 1 IO_AC16 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AE16 +bit 1254 O 1 IO_AE16 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AF16 +bit 1251 O 1 IO_AF16 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_W15 +bit 1248 O 1 IO_W15 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_Y15 +bit 1245 O 1 IO_Y15 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_W14 +bit 1242 O 1 IO_W14 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AA15 +bit 1239 O 1 IO_AA15 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AB15 +bit 1236 O 1 IO_AB15 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AD15 +bit 1233 O 1 IO_AD15 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AE15 +bit 1230 O 1 IO_AE15 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AF15 +bit 1227 O 1 IO_AF15 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_Y14 +bit 1224 O 1 IO_Y14 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AA14 +bit 1221 O 1 IO_AA14 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AB14 +bit 1218 O 1 IO_AB14 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AC14 +bit 1215 O 1 IO_AC14 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AD14 +bit 1212 O 1 IO_AD14 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AE14 +bit 1209 O 1 IO_AE14 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AF14 +bit 1206 O 1 IO_AF14 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AF13 +bit 1203 O 1 IO_AF13 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AE13 +bit 1200 O 1 IO_AE13 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AD13 +bit 1197 O 1 IO_AD13 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AC13 +bit 1194 O 1 IO_AC13 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AB13 +bit 1191 O 1 IO_AB13 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AA13 +bit 1188 O 1 IO_AA13 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_Y13 +bit 1185 O 1 IO_Y13 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_W13 +bit 1182 O 1 IO_W13 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AF12 +bit 1179 O 1 IO_AF12 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AE12 +bit 1176 O 1 IO_AE12 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AD12 +bit 1173 O 1 IO_AD12 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AB12 +bit 1170 O 1 IO_AB12 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AA12 +bit 1167 O 1 IO_AA12 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_Y12 +bit 1164 O 1 IO_Y12 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_W12 +bit 1161 O 1 IO_W12 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AC11 +bit 1158 O 1 IO_AC11 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AF11 +bit 1155 O 1 IO_AF11 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AE11 +bit 1152 O 1 IO_AE11 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AB11 +bit 1149 O 1 IO_AB11 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AA11 +bit 1146 O 1 IO_AA11 1145 1 Z +bit 1145 C 1 * +bit 1144 O 1 * +bit 1143 O 1 * +bit 1142 O 1 * +bit 1141 I 1 IO_Y11 +bit 1140 O 1 IO_Y11 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_W11 +bit 1137 O 1 IO_W11 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AF10 +bit 1134 O 1 IO_AF10 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AE10 +bit 1131 O 1 IO_AE10 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AD10 +bit 1128 O 1 IO_AD10 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AC10 +bit 1125 O 1 IO_AC10 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AB10 +bit 1122 O 1 IO_AB10 1121 1 Z +bit 1121 C 1 * +bit 1120 O 1 * +bit 1119 O 1 * +bit 1118 O 1 * +bit 1117 O 1 * +bit 1116 O 1 * +bit 1115 O 1 * +bit 1114 O 1 * +bit 1113 O 1 * +bit 1112 O 1 * +bit 1111 O 1 * +bit 1110 O 1 * +bit 1109 O 1 * +bit 1108 O 1 * +bit 1107 O 1 * +bit 1106 O 1 * +bit 1105 I 1 IO_AA10 +bit 1104 O 1 IO_AA10 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_Y10 +bit 1101 O 1 IO_Y10 1100 1 Z +bit 1100 C 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 I 1 IO_AE9 +bit 1095 O 1 IO_AE9 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AD9 +bit 1092 O 1 IO_AD9 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AC9 +bit 1089 O 1 IO_AC9 1088 1 Z +bit 1088 C 1 * +bit 1087 O 1 * +bit 1086 O 1 * +bit 1085 O 1 * +bit 1084 I 1 IO_AB9 +bit 1083 O 1 IO_AB9 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AA9 +bit 1080 O 1 IO_AA9 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_Y9 +bit 1077 O 1 IO_Y9 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_AF8 +bit 1074 O 1 IO_AF8 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_AE8 +bit 1071 O 1 IO_AE8 1070 1 Z +bit 1070 C 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 O 1 * +bit 1065 O 1 * +bit 1064 O 1 * +bit 1063 O 1 * +bit 1062 O 1 * +bit 1061 O 1 * +bit 1060 O 1 * +bit 1059 O 1 * +bit 1058 O 1 * +bit 1057 O 1 * +bit 1056 O 1 * +bit 1055 O 1 * +bit 1054 O 1 * +bit 1053 O 1 * +bit 1052 O 1 * +bit 1051 I 1 IO_AD8 +bit 1050 O 1 IO_AD8 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AC8 +bit 1047 O 1 IO_AC8 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AB8 +bit 1044 O 1 IO_AB8 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AA8 +bit 1041 O 1 IO_AA8 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_Y8 +bit 1038 O 1 IO_Y8 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AF7 +bit 1035 O 1 IO_AF7 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AE7 +bit 1032 O 1 IO_AE7 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AC7 +bit 1029 O 1 IO_AC7 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AB7 +bit 1026 O 1 IO_AB7 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AA7 +bit 1023 O 1 IO_AA7 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AF6 +bit 1020 O 1 IO_AF6 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AE6 +bit 1017 O 1 IO_AE6 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AD6 +bit 1014 O 1 IO_AD6 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AC6 +bit 1011 O 1 IO_AC6 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AF5 +bit 1008 O 1 IO_AF5 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AE5 +bit 1005 O 1 IO_AE5 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AD5 +bit 1002 O 1 IO_AD5 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_AB6 +bit 999 O 1 IO_AB6 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AA6 +bit 996 O 1 IO_AA6 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AF4 +bit 993 O 1 IO_AF4 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AE4 +bit 990 O 1 IO_AE4 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AD4 +bit 987 O 1 IO_AD4 986 1 Z +bit 986 C 1 * +bit 985 O 1 * +bit 984 O 1 * +bit 983 O 1 * +bit 982 O 1 * +bit 981 O 1 * +bit 980 O 1 * +bit 979 O 1 * +bit 978 O 1 * +bit 977 O 1 * +bit 976 O 1 * +bit 975 O 1 * +bit 974 O 1 * +bit 973 O 1 * +bit 972 O 1 * +bit 971 O 1 * +bit 970 I 1 IO_AC5 +bit 969 O 1 IO_AC5 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AB5 +bit 966 O 1 IO_AB5 965 1 Z +bit 965 C 1 * +bit 964 I 1 M2_AF3 +bit 963 I 1 M0_AE3 +bit 962 I 1 M1_AC3 +bit 961 I 1 IO_AD2 +bit 960 O 1 IO_AD2 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AD1 +bit 957 O 1 IO_AD1 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AB4 +bit 954 O 1 IO_AB4 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AB3 +bit 951 O 1 IO_AB3 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AC2 +bit 948 O 1 IO_AC2 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AC1 +bit 945 O 1 IO_AC1 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AA5 +bit 942 O 1 IO_AA5 941 1 Z +bit 941 C 1 * +bit 940 O 1 * +bit 939 O 1 * +bit 938 O 1 * +bit 937 I 1 IO_AB2 +bit 936 O 1 IO_AB2 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_AB1 +bit 933 O 1 IO_AB1 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_Y7 +bit 930 O 1 IO_Y7 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_Y6 +bit 927 O 1 IO_Y6 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AA4 +bit 924 O 1 IO_AA4 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AA3 +bit 921 O 1 IO_AA3 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_Y5 +bit 918 O 1 IO_Y5 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_Y4 +bit 915 O 1 IO_Y4 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_AA2 +bit 912 O 1 IO_AA2 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_AA1 +bit 909 O 1 IO_AA1 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_Y2 +bit 906 O 1 IO_Y2 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_Y1 +bit 903 O 1 IO_Y1 902 1 Z +bit 902 C 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 O 1 * +bit 891 O 1 * +bit 890 O 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 I 1 IO_W7 +bit 882 O 1 IO_W7 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_W6 +bit 879 O 1 IO_W6 878 1 Z +bit 878 C 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 I 1 IO_V6 +bit 870 O 1 IO_V6 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_W5 +bit 867 O 1 IO_W5 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_W4 +bit 864 O 1 IO_W4 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_W3 +bit 861 O 1 IO_W3 860 1 Z +bit 860 C 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_W2 +bit 852 O 1 IO_W2 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_W1 +bit 849 O 1 IO_W1 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_V7 +bit 846 O 1 IO_V7 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_U7 +bit 843 O 1 IO_U7 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_V5 +bit 840 O 1 IO_V5 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_V4 +bit 837 O 1 IO_V4 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_V3 +bit 834 O 1 IO_V3 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_V2 +bit 831 O 1 IO_V2 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_U6 +bit 828 O 1 IO_U6 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_U5 +bit 825 O 1 IO_U5 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_U4 +bit 822 O 1 IO_U4 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_U3 +bit 819 O 1 IO_U3 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 I 1 IO_U2 +bit 810 O 1 IO_U2 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_U1 +bit 807 O 1 IO_U1 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_T8 +bit 804 O 1 IO_T8 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_T7 +bit 801 O 1 IO_T7 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_T6 +bit 798 O 1 IO_T6 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_T5 +bit 795 O 1 IO_T5 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_T2 +bit 792 O 1 IO_T2 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_T1 +bit 789 O 1 IO_T1 788 1 Z +bit 788 C 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 I 1 IO_R8 +bit 780 O 1 IO_R8 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_R7 +bit 777 O 1 IO_R7 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_R6 +bit 774 O 1 IO_R6 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_R5 +bit 771 O 1 IO_R5 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_T4 +bit 768 O 1 IO_T4 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_R3 +bit 765 O 1 IO_R3 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_R2 +bit 762 O 1 IO_R2 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_R1 +bit 759 O 1 IO_R1 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_P8 +bit 756 O 1 IO_P8 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_P7 +bit 753 O 1 IO_P7 752 1 Z +bit 752 C 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 I 1 IO_P6 +bit 738 O 1 IO_P6 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_P5 +bit 735 O 1 IO_P5 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_P4 +bit 732 O 1 IO_P4 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_P3 +bit 729 O 1 IO_P3 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_P2 +bit 726 O 1 IO_P2 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_P1 +bit 723 O 1 IO_P1 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_N1 +bit 720 O 1 IO_N1 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_N2 +bit 717 O 1 IO_N2 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_N3 +bit 714 O 1 IO_N3 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_N4 +bit 711 O 1 IO_N4 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_N5 +bit 708 O 1 IO_N5 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_N6 +bit 705 O 1 IO_N6 704 1 Z +bit 704 C 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 O 1 * +bit 699 O 1 * +bit 698 O 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_N7 +bit 690 O 1 IO_N7 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_N8 +bit 687 O 1 IO_N8 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_M1 +bit 684 O 1 IO_M1 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_M2 +bit 681 O 1 IO_M2 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_M3 +bit 678 O 1 IO_M3 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_L4 +bit 675 O 1 IO_L4 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_M6 +bit 672 O 1 IO_M6 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_M5 +bit 669 O 1 IO_M5 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_M7 +bit 666 O 1 IO_M7 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_M8 +bit 663 O 1 IO_M8 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_L1 +bit 654 O 1 IO_L1 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_L2 +bit 651 O 1 IO_L2 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_L5 +bit 648 O 1 IO_L5 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_L6 +bit 645 O 1 IO_L6 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_L7 +bit 642 O 1 IO_L7 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_L8 +bit 639 O 1 IO_L8 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_K1 +bit 636 O 1 IO_K1 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_K2 +bit 633 O 1 IO_K2 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 I 1 IO_K3 +bit 624 O 1 IO_K3 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_K4 +bit 621 O 1 IO_K4 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_K5 +bit 618 O 1 IO_K5 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_K6 +bit 615 O 1 IO_K6 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_J2 +bit 612 O 1 IO_J2 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_J3 +bit 609 O 1 IO_J3 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_J4 +bit 606 O 1 IO_J4 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_J5 +bit 603 O 1 IO_J5 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_K7 +bit 600 O 1 IO_K7 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_J7 +bit 597 O 1 IO_J7 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_H1 +bit 594 O 1 IO_H1 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_H2 +bit 591 O 1 IO_H2 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_H3 +bit 582 O 1 IO_H3 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_H4 +bit 579 O 1 IO_H4 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_J6 +bit 576 O 1 IO_J6 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_H5 +bit 573 O 1 IO_H5 572 1 Z +bit 572 C 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 O 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 I 1 IO_G1 +bit 564 O 1 IO_G1 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_G2 +bit 561 O 1 IO_G2 560 1 Z +bit 560 C 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 O 1 * +bit 556 O 1 * +bit 555 O 1 * +bit 554 O 1 * +bit 553 O 1 * +bit 552 O 1 * +bit 551 O 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 I 1 IO_H6 +bit 540 O 1 IO_H6 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_H7 +bit 537 O 1 IO_H7 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_F1 +bit 534 O 1 IO_F1 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_F2 +bit 531 O 1 IO_F2 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_G4 +bit 528 O 1 IO_G4 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_G5 +bit 525 O 1 IO_G5 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_F3 +bit 522 O 1 IO_F3 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_F4 +bit 519 O 1 IO_F4 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_E1 +bit 516 O 1 IO_E1 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_E2 +bit 513 O 1 IO_E2 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_G6 +bit 510 O 1 IO_G6 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_G7 +bit 507 O 1 IO_G7 506 1 Z +bit 506 C 1 * +bit 505 O 1 * +bit 504 O 1 * +bit 503 O 1 * +bit 502 O 1 * +bit 501 O 1 * +bit 500 O 1 * +bit 499 I 1 IO_D1 +bit 498 O 1 IO_D1 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_D2 +bit 495 O 1 IO_D2 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_E3 +bit 492 O 1 IO_E3 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_E4 +bit 489 O 1 IO_E4 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_F5 +bit 486 O 1 IO_F5 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_F6 +bit 483 O 1 IO_F6 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 I 1 HSWAP_EN_C2 +bit 479 I 1 IO_B3 +bit 478 O 1 IO_B3 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_E5 +bit 475 O 1 IO_E5 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_D5 +bit 472 O 1 IO_D5 471 1 Z +bit 471 C 1 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 I 1 IO_A3 +bit 451 O 1 IO_A3 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_C4 +bit 448 O 1 IO_C4 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_B4 +bit 445 O 1 IO_B4 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_A4 +bit 442 O 1 IO_A4 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_C5 +bit 439 O 1 IO_C5 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_B5 +bit 436 O 1 IO_B5 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_A5 +bit 433 O 1 IO_A5 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_E6 +bit 430 O 1 IO_E6 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_D6 +bit 427 O 1 IO_D6 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_C6 +bit 424 O 1 IO_C6 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_B6 +bit 421 O 1 IO_B6 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_A6 +bit 418 O 1 IO_A6 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_E7 +bit 415 O 1 IO_E7 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_D7 +bit 412 O 1 IO_D7 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_B7 +bit 409 O 1 IO_B7 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_A7 +bit 406 O 1 IO_A7 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_F7 +bit 403 O 1 IO_F7 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_G8 +bit 400 O 1 IO_G8 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_F8 +bit 397 O 1 IO_F8 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_E8 +bit 394 O 1 IO_E8 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_D8 +bit 391 O 1 IO_D8 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 I 1 IO_C8 +bit 373 O 1 IO_C8 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_B8 +bit 370 O 1 IO_B8 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_A8 +bit 367 O 1 IO_A8 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_G9 +bit 364 O 1 IO_G9 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_F9 +bit 361 O 1 IO_F9 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 I 1 IO_E9 +bit 355 O 1 IO_E9 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_D9 +bit 352 O 1 IO_D9 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_C9 +bit 349 O 1 IO_C9 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_B9 +bit 346 O 1 IO_B9 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_G10 +bit 343 O 1 IO_G10 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_F10 +bit 340 O 1 IO_F10 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_E10 +bit 337 O 1 IO_E10 336 1 Z +bit 336 C 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 I 1 IO_D10 +bit 319 O 1 IO_D10 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_C10 +bit 316 O 1 IO_C10 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_H11 +bit 313 O 1 IO_H11 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_B10 +bit 310 O 1 IO_B10 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_A10 +bit 307 O 1 IO_A10 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_G11 +bit 304 O 1 IO_G11 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_F11 +bit 301 O 1 IO_F11 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 IO_E11 +bit 295 O 1 IO_E11 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_D11 +bit 292 O 1 IO_D11 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_B11 +bit 289 O 1 IO_B11 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_A11 +bit 286 O 1 IO_A11 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_H12 +bit 283 O 1 IO_H12 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_G12 +bit 280 O 1 IO_G12 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_H13 +bit 277 O 1 IO_H13 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_F12 +bit 274 O 1 IO_F12 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_E12 +bit 271 O 1 IO_E12 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_C12 +bit 268 O 1 IO_C12 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B12 +bit 265 O 1 IO_B12 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_A12 +bit 262 O 1 IO_A12 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_G13 +bit 259 O 1 IO_G13 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_F13 +bit 256 O 1 IO_F13 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_E13 +bit 253 O 1 IO_E13 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_D13 +bit 250 O 1 IO_D13 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_C13 +bit 247 O 1 IO_C13 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_B13 +bit 244 O 1 IO_B13 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_A13 +bit 241 O 1 IO_A13 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_A14 +bit 238 O 1 IO_A14 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B14 +bit 235 O 1 IO_B14 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C14 +bit 232 O 1 IO_C14 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_D14 +bit 229 O 1 IO_D14 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E14 +bit 226 O 1 IO_E14 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_F14 +bit 223 O 1 IO_F14 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_G14 +bit 220 O 1 IO_G14 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_H14 +bit 217 O 1 IO_H14 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_A15 +bit 214 O 1 IO_A15 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_B15 +bit 211 O 1 IO_B15 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_C15 +bit 208 O 1 IO_C15 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_E15 +bit 205 O 1 IO_E15 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_F15 +bit 202 O 1 IO_F15 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_G15 +bit 199 O 1 IO_G15 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_H15 +bit 196 O 1 IO_H15 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_D16 +bit 193 O 1 IO_D16 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A16 +bit 190 O 1 IO_A16 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B16 +bit 187 O 1 IO_B16 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_E16 +bit 184 O 1 IO_E16 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_F16 +bit 181 O 1 IO_F16 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_G16 +bit 175 O 1 IO_G16 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_H16 +bit 172 O 1 IO_H16 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_A17 +bit 169 O 1 IO_A17 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_B17 +bit 166 O 1 IO_B17 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C17 +bit 163 O 1 IO_C17 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_D17 +bit 160 O 1 IO_D17 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_E17 +bit 157 O 1 IO_E17 156 1 Z +bit 156 C 1 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 I 1 IO_F17 +bit 139 O 1 IO_F17 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_G17 +bit 136 O 1 IO_G17 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_B18 +bit 130 O 1 IO_B18 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_C18 +bit 127 O 1 IO_C18 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_D18 +bit 124 O 1 IO_D18 123 1 Z +bit 123 C 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 I 1 IO_E18 +bit 118 O 1 IO_E18 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_F18 +bit 115 O 1 IO_F18 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_G18 +bit 112 O 1 IO_G18 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A19 +bit 109 O 1 IO_A19 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B19 +bit 106 O 1 IO_B19 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_C19 +bit 85 O 1 IO_C19 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_D19 +bit 82 O 1 IO_D19 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_E19 +bit 79 O 1 IO_E19 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_F19 +bit 76 O 1 IO_F19 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_G19 +bit 73 O 1 IO_G19 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_A20 +bit 70 O 1 IO_A20 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B20 +bit 67 O 1 IO_B20 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_D20 +bit 64 O 1 IO_D20 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_E20 +bit 61 O 1 IO_E20 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_F20 +bit 58 O 1 IO_F20 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A21 +bit 55 O 1 IO_A21 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B21 +bit 52 O 1 IO_B21 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_C21 +bit 49 O 1 IO_C21 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D21 +bit 46 O 1 IO_D21 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_A22 +bit 43 O 1 IO_A22 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_B22 +bit 40 O 1 IO_B22 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_C22 +bit 37 O 1 IO_C22 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_E21 +bit 34 O 1 IO_E21 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F21 +bit 31 O 1 IO_F21 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_A23 +bit 28 O 1 IO_A23 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B23 +bit 25 O 1 IO_B23 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C23 +bit 22 O 1 IO_C23 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_D22 +bit 4 O 1 IO_D22 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_E22 +bit 1 O 1 IO_E22 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l_fg900 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l_fg900 new file mode 100644 index 0000000..609bd4f --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s2000/xc3s2000l_fg900 @@ -0,0 +1,2782 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal NOCONNECT1 +signal NOCONNECT2 +signal NOCONNECT3 +signal NOCONNECT4 +signal NOCONNECT5 +signal NOCONNECT6 +signal NOCONNECT7 +signal NOCONNECT8 +signal CCLK_AH28 +signal DONE_AJ28 +signal HSWAP_EN_A3 +signal M0_AJ3 +signal M1_AH3 +signal M2_AK3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal IO_A4 +signal IO_A5 +signal IO_A8 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A15 +signal IO_A16 +signal IO_A19 +signal IO_A20 +signal IO_A22 +signal IO_A23 +signal IO_A24 +signal IO_A26 +signal IO_A27 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B8 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_C1 +signal IO_C2 +signal IO_C4 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C23 +signal IO_C24 +signal IO_C25 +signal IO_C27 +signal IO_C29 +signal IO_C30 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D7 +signal IO_D8 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D15 +signal IO_D16 +signal IO_D19 +signal IO_D20 +signal IO_D22 +signal IO_D23 +signal IO_D24 +signal IO_D26 +signal IO_D27 +signal IO_D28 +signal IO_D29 +signal IO_D30 +signal IO_E1 +signal IO_E2 +signal IO_E4 +signal IO_E6 +signal IO_E8 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E19 +signal IO_E20 +signal IO_E22 +signal IO_E23 +signal IO_E25 +signal IO_E29 +signal IO_E30 +signal IO_F2 +signal IO_F3 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F28 +signal IO_F29 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G6 +signal IO_G8 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G23 +signal IO_G24 +signal IO_G25 +signal IO_G27 +signal IO_G28 +signal IO_G29 +signal IO_G30 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H9 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H15 +signal IO_H16 +signal IO_H19 +signal IO_H20 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_H27 +signal IO_H28 +signal IO_H29 +signal IO_H30 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J8 +signal IO_J9 +signal IO_J10 +signal IO_J12 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_J17 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J25 +signal IO_J26 +signal IO_J27 +signal IO_J29 +signal IO_J30 +signal IO_K2 +signal IO_K3 +signal IO_K6 +signal IO_K7 +signal IO_K9 +signal IO_K10 +signal IO_K11 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K19 +signal IO_K20 +signal IO_K22 +signal IO_K24 +signal IO_K25 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L10 +signal IO_L25 +signal IO_L26 +signal IO_L27 +signal IO_L28 +signal IO_L29 +signal IO_L30 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M10 +signal IO_M21 +signal IO_M22 +signal IO_M23 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_N1 +signal IO_N2 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N25 +signal IO_N26 +signal IO_N27 +signal IO_N29 +signal IO_N30 +signal IO_P2 +signal IO_P3 +signal IO_P6 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P21 +signal IO_P22 +signal IO_P24 +signal IO_P25 +signal IO_P28 +signal IO_P29 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R21 +signal IO_R22 +signal IO_R23 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R27 +signal IO_R28 +signal IO_R29 +signal IO_R30 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T9 +signal IO_T10 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T24 +signal IO_T25 +signal IO_T26 +signal IO_T27 +signal IO_T28 +signal IO_T29 +signal IO_T30 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U21 +signal IO_U22 +signal IO_U24 +signal IO_U25 +signal IO_U28 +signal IO_U29 +signal IO_V1 +signal IO_V2 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V10 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V25 +signal IO_V29 +signal IO_V30 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W10 +signal IO_W21 +signal IO_W26 +signal IO_W29 +signal IO_W30 +signal IO_Y1 +signal IO_Y2 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y10 +signal IO_Y21 +signal IO_Y23 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y27 +signal IO_Y28 +signal IO_Y29 +signal IO_Y30 +signal IO_AA2 +signal IO_AA3 +signal IO_AA6 +signal IO_AA7 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA24 +signal IO_AA25 +signal IO_AA28 +signal IO_AA29 +signal IO_AB1 +signal IO_AB2 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB25 +signal IO_AB26 +signal IO_AB27 +signal IO_AB29 +signal IO_AB30 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC9 +signal IO_AC11 +signal IO_AC12 +signal IO_AC13 +signal IO_AC15 +signal IO_AC16 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC22 +signal IO_AC23 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AD1 +signal IO_AD2 +signal IO_AD3 +signal IO_AD4 +signal IO_AD6 +signal IO_AD7 +signal IO_AD10 +signal IO_AD11 +signal IO_AD12 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD23 +signal IO_AD24 +signal IO_AD25 +signal IO_AD27 +signal IO_AD28 +signal IO_AD29 +signal IO_AD30 +signal IO_AE2 +signal IO_AE3 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE26 +signal IO_AE28 +signal IO_AE29 +signal IO_AF1 +signal IO_AF2 +signal IO_AF4 +signal IO_AF6 +signal IO_AF9 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF15 +signal IO_AF16 +signal IO_AF18 +signal IO_AF19 +signal IO_AF20 +signal IO_AF22 +signal IO_AF23 +signal IO_AF25 +signal IO_AF27 +signal IO_AF29 +signal IO_AF30 +signal IO_AG1 +signal IO_AG2 +signal IO_AG3 +signal IO_AG4 +signal IO_AG5 +signal IO_AG7 +signal IO_AG9 +signal IO_AG11 +signal IO_AG12 +signal IO_AG13 +signal IO_AG15 +signal IO_AG16 +signal IO_AG18 +signal IO_AG19 +signal IO_AG20 +signal IO_AG22 +signal IO_AG23 +signal IO_AG26 +signal IO_AG27 +signal IO_AG28 +signal IO_AG29 +signal IO_AG30 +signal IO_AH1 +signal IO_AH2 +signal IO_AH4 +signal IO_AH6 +signal IO_AH7 +signal IO_AH10 +signal IO_AH11 +signal IO_AH12 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH23 +signal IO_AH27 +signal IO_AH29 +signal IO_AH30 +signal IO_AJ4 +signal IO_AJ5 +signal IO_AJ6 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ11 +signal IO_AJ12 +signal IO_AJ13 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ22 +signal IO_AJ23 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AK4 +signal IO_AK5 +signal IO_AK8 +signal IO_AK9 +signal IO_AK11 +signal IO_AK12 +signal IO_AK13 +signal IO_AK15 +signal IO_AK16 +signal IO_AK18 +signal IO_AK19 +signal IO_AK20 +signal IO_AK22 +signal IO_AK23 +signal IO_AK26 +signal IO_AK27 + +register BSR 1931 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 1930 I 1 IO_C29 +bit 1929 O 1 IO_C29 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_C30 +bit 1926 O 1 IO_C30 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_D27 +bit 1923 O 1 IO_D27 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_D28 +bit 1920 O 1 IO_D28 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_D29 +bit 1917 O 1 IO_D29 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_D30 +bit 1914 O 1 IO_D30 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_E29 +bit 1911 O 1 IO_E29 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_E30 +bit 1908 O 1 IO_E30 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_F28 +bit 1905 O 1 IO_F28 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_F29 +bit 1902 O 1 IO_F29 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_G27 +bit 1899 O 1 IO_G27 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_G28 +bit 1896 O 1 IO_G28 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_G29 +bit 1893 O 1 IO_G29 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_G30 +bit 1890 O 1 IO_G30 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_G25 +bit 1887 O 1 IO_G25 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_H24 +bit 1884 O 1 IO_H24 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_H25 +bit 1881 O 1 IO_H25 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_H26 +bit 1878 O 1 IO_H26 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_H27 +bit 1875 O 1 IO_H27 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_H28 +bit 1872 O 1 IO_H28 1871 1 Z +bit 1871 C 1 * +bit 1870 O 1 * +bit 1869 O 1 * +bit 1868 O 1 * +bit 1867 I 1 IO_J25 +bit 1866 O 1 IO_J25 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_H29 +bit 1863 O 1 IO_H29 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_H30 +bit 1860 O 1 IO_H30 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_J26 +bit 1857 O 1 IO_J26 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_J27 +bit 1854 O 1 IO_J27 1853 1 Z +bit 1853 C 1 * +bit 1852 I 1 IO_J29 +bit 1851 O 1 IO_J29 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_J30 +bit 1848 O 1 IO_J30 1847 1 Z +bit 1847 C 1 * +bit 1846 I 1 IO_J23 +bit 1845 O 1 IO_J23 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_K22 +bit 1842 O 1 IO_K22 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_K24 +bit 1839 O 1 IO_K24 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_K25 +bit 1836 O 1 IO_K25 1835 1 Z +bit 1835 C 1 * +bit 1834 O 1 * +bit 1833 O 1 * +bit 1832 O 1 * +bit 1831 O 1 * +bit 1830 O 1 * +bit 1829 O 1 * +bit 1828 O 1 * +bit 1827 O 1 * +bit 1826 O 1 * +bit 1825 O 1 * +bit 1824 O 1 * +bit 1823 O 1 * +bit 1822 I 1 IO_L25 +bit 1821 O 1 IO_L25 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_L26 +bit 1818 O 1 IO_L26 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_L27 +bit 1815 O 1 IO_L27 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_L28 +bit 1812 O 1 IO_L28 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_L29 +bit 1809 O 1 IO_L29 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_L30 +bit 1806 O 1 IO_L30 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_M22 +bit 1803 O 1 IO_M22 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_M23 +bit 1800 O 1 IO_M23 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_M24 +bit 1797 O 1 IO_M24 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_M25 +bit 1794 O 1 IO_M25 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_M27 +bit 1791 O 1 IO_M27 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_M28 +bit 1788 O 1 IO_M28 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_M21 +bit 1779 O 1 IO_M21 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_N21 +bit 1776 O 1 IO_N21 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_N22 +bit 1773 O 1 IO_N22 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_N23 +bit 1770 O 1 IO_N23 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_M26 +bit 1767 O 1 IO_M26 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_N25 +bit 1764 O 1 IO_N25 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_N26 +bit 1761 O 1 IO_N26 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_N27 +bit 1758 O 1 IO_N27 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 I 1 IO_N29 +bit 1749 O 1 IO_N29 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_N30 +bit 1746 O 1 IO_N30 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_P21 +bit 1743 O 1 IO_P21 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_P22 +bit 1740 O 1 IO_P22 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_P24 +bit 1737 O 1 IO_P24 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_P25 +bit 1734 O 1 IO_P25 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_P28 +bit 1731 O 1 IO_P28 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_P29 +bit 1728 O 1 IO_P29 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_R21 +bit 1725 O 1 IO_R21 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_R22 +bit 1722 O 1 IO_R22 1721 1 Z +bit 1721 C 1 * +bit 1720 O 1 * +bit 1719 O 1 * +bit 1718 O 1 * +bit 1717 O 1 * +bit 1716 O 1 * +bit 1715 O 1 * +bit 1714 I 1 IO_R23 +bit 1713 O 1 IO_R23 1712 1 Z +bit 1712 C 1 * +bit 1711 I 1 IO_R24 +bit 1710 O 1 IO_R24 1709 1 Z +bit 1709 C 1 * +bit 1708 I 1 IO_R25 +bit 1707 O 1 IO_R25 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_R26 +bit 1704 O 1 IO_R26 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_R27 +bit 1701 O 1 IO_R27 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_R28 +bit 1698 O 1 IO_R28 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_R29 +bit 1695 O 1 IO_R29 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_R30 +bit 1692 O 1 IO_R30 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_T30 +bit 1689 O 1 IO_T30 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_T29 +bit 1686 O 1 IO_T29 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_T28 +bit 1683 O 1 IO_T28 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_T27 +bit 1680 O 1 IO_T27 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_T26 +bit 1677 O 1 IO_T26 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_T25 +bit 1674 O 1 IO_T25 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_T24 +bit 1671 O 1 IO_T24 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_T23 +bit 1668 O 1 IO_T23 1667 1 Z +bit 1667 C 1 * +bit 1666 O 1 * +bit 1665 O 1 * +bit 1664 O 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_T22 +bit 1659 O 1 IO_T22 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_T21 +bit 1656 O 1 IO_T21 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_U29 +bit 1653 O 1 IO_U29 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_U28 +bit 1650 O 1 IO_U28 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_U25 +bit 1647 O 1 IO_U25 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_U24 +bit 1644 O 1 IO_U24 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_U22 +bit 1641 O 1 IO_U22 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_U21 +bit 1638 O 1 IO_U21 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_V30 +bit 1635 O 1 IO_V30 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_V29 +bit 1632 O 1 IO_V29 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 I 1 IO_V25 +bit 1623 O 1 IO_V25 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_W26 +bit 1620 O 1 IO_W26 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_V23 +bit 1617 O 1 IO_V23 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_V22 +bit 1614 O 1 IO_V22 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_V21 +bit 1611 O 1 IO_V21 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_W21 +bit 1608 O 1 IO_W21 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_W30 +bit 1605 O 1 IO_W30 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_W29 +bit 1602 O 1 IO_W29 1601 1 Z +bit 1601 C 1 * +bit 1600 O 1 * +bit 1599 O 1 * +bit 1598 O 1 * +bit 1597 O 1 * +bit 1596 O 1 * +bit 1595 O 1 * +bit 1594 I 1 IO_Y30 +bit 1593 O 1 IO_Y30 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_Y29 +bit 1590 O 1 IO_Y29 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_Y28 +bit 1587 O 1 IO_Y28 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_Y27 +bit 1584 O 1 IO_Y27 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_Y26 +bit 1581 O 1 IO_Y26 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_Y25 +bit 1578 O 1 IO_Y25 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_Y24 +bit 1575 O 1 IO_Y24 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_Y23 +bit 1572 O 1 IO_Y23 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_Y21 +bit 1569 O 1 IO_Y21 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AA21 +bit 1566 O 1 IO_AA21 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AA29 +bit 1563 O 1 IO_AA29 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AA28 +bit 1560 O 1 IO_AA28 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_AA25 +bit 1551 O 1 IO_AA25 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AA24 +bit 1548 O 1 IO_AA24 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AA22 +bit 1545 O 1 IO_AA22 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AB23 +bit 1542 O 1 IO_AB23 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_AB30 +bit 1539 O 1 IO_AB30 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_AB29 +bit 1536 O 1 IO_AB29 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AB27 +bit 1533 O 1 IO_AB27 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AB26 +bit 1530 O 1 IO_AB26 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AC30 +bit 1527 O 1 IO_AC30 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_AC29 +bit 1524 O 1 IO_AC29 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_AB25 +bit 1521 O 1 IO_AB25 1520 1 Z +bit 1520 C 1 * +bit 1519 O 1 * +bit 1518 O 1 * +bit 1517 O 1 * +bit 1516 I 1 IO_AC28 +bit 1515 O 1 IO_AC28 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AC27 +bit 1512 O 1 IO_AC27 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_AC26 +bit 1509 O 1 IO_AC26 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_AC25 +bit 1506 O 1 IO_AC25 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AC24 +bit 1503 O 1 IO_AC24 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AD25 +bit 1500 O 1 IO_AD25 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AD30 +bit 1497 O 1 IO_AD30 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_AD29 +bit 1494 O 1 IO_AD29 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AD28 +bit 1491 O 1 IO_AD28 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AD27 +bit 1488 O 1 IO_AD27 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AE29 +bit 1485 O 1 IO_AE29 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AE28 +bit 1482 O 1 IO_AE28 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_AE26 +bit 1479 O 1 IO_AE26 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_AF27 +bit 1476 O 1 IO_AF27 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AF30 +bit 1473 O 1 IO_AF30 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AF29 +bit 1470 O 1 IO_AF29 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AG30 +bit 1467 O 1 IO_AG30 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AG29 +bit 1464 O 1 IO_AG29 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AG28 +bit 1461 O 1 IO_AG28 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AG27 +bit 1458 O 1 IO_AG27 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AH30 +bit 1455 O 1 IO_AH30 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AH29 +bit 1452 O 1 IO_AH29 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 CCLK_AH28 +bit 1449 O 1 CCLK_AH28 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 DONE_AJ28 +bit 1446 O 1 DONE_AJ28 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AK28 +bit 1443 O 1 IO_AK28 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AJ27 +bit 1440 O 1 IO_AJ27 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AK27 +bit 1437 O 1 IO_AK27 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_AJ26 +bit 1434 O 1 IO_AJ26 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AK26 +bit 1431 O 1 IO_AK26 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AH27 +bit 1428 O 1 IO_AH27 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AG26 +bit 1425 O 1 IO_AG26 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AF25 +bit 1422 O 1 IO_AF25 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_AD24 +bit 1419 O 1 IO_AD24 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AC23 +bit 1416 O 1 IO_AC23 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AD23 +bit 1413 O 1 IO_AD23 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AE23 +bit 1410 O 1 IO_AE23 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AF23 +bit 1407 O 1 IO_AF23 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AG23 +bit 1404 O 1 IO_AG23 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AH23 +bit 1401 O 1 IO_AH23 1400 1 Z +bit 1400 C 1 * +bit 1399 O 1 * +bit 1398 O 1 * +bit 1397 O 1 * +bit 1396 I 1 IO_AJ23 +bit 1395 O 1 IO_AJ23 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AK23 +bit 1392 O 1 IO_AK23 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AB22 +bit 1389 O 1 IO_AB22 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AC22 +bit 1386 O 1 IO_AC22 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AE22 +bit 1383 O 1 IO_AE22 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AF22 +bit 1380 O 1 IO_AF22 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AG22 +bit 1377 O 1 IO_AG22 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AJ22 +bit 1374 O 1 IO_AJ22 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AK22 +bit 1371 O 1 IO_AK22 1370 1 Z +bit 1370 C 1 * +bit 1369 O 1 * +bit 1368 O 1 * +bit 1367 O 1 * +bit 1366 I 1 IO_AD21 +bit 1365 O 1 IO_AD21 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AE21 +bit 1362 O 1 IO_AE21 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AH21 +bit 1359 O 1 IO_AH21 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AJ21 +bit 1356 O 1 IO_AJ21 1355 1 Z +bit 1355 C 1 * +bit 1354 O 1 * +bit 1353 O 1 * +bit 1352 O 1 * +bit 1351 I 1 IO_AB21 +bit 1350 O 1 IO_AB21 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_AA20 +bit 1347 O 1 IO_AA20 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_AC20 +bit 1344 O 1 IO_AC20 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_AD20 +bit 1341 O 1 IO_AD20 1340 1 Z +bit 1340 C 1 * +bit 1339 O 1 * +bit 1338 O 1 * +bit 1337 O 1 * +bit 1336 I 1 IO_AE20 +bit 1335 O 1 IO_AE20 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AF20 +bit 1332 O 1 IO_AF20 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_AG20 +bit 1329 O 1 IO_AG20 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AH20 +bit 1326 O 1 IO_AH20 1325 1 Z +bit 1325 C 1 * +bit 1324 O 1 * +bit 1323 O 1 * +bit 1322 O 1 * +bit 1321 I 1 IO_AJ20 +bit 1320 O 1 IO_AJ20 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AK20 +bit 1317 O 1 IO_AK20 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AA19 +bit 1314 O 1 IO_AA19 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AB19 +bit 1311 O 1 IO_AB19 1310 1 Z +bit 1310 C 1 * +bit 1309 O 1 * +bit 1308 O 1 * +bit 1307 O 1 * +bit 1306 I 1 IO_AC19 +bit 1305 O 1 IO_AC19 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AD19 +bit 1302 O 1 IO_AD19 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_AE19 +bit 1299 O 1 IO_AE19 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_AF19 +bit 1296 O 1 IO_AF19 1295 1 Z +bit 1295 C 1 * +bit 1294 O 1 * +bit 1293 O 1 * +bit 1292 O 1 * +bit 1291 I 1 IO_AG19 +bit 1290 O 1 IO_AG19 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_AH19 +bit 1287 O 1 IO_AH19 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_AJ19 +bit 1284 O 1 IO_AJ19 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_AK19 +bit 1281 O 1 IO_AK19 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AA18 +bit 1278 O 1 IO_AA18 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AB18 +bit 1275 O 1 IO_AB18 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AC18 +bit 1272 O 1 IO_AC18 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_AE18 +bit 1269 O 1 IO_AE18 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AF18 +bit 1266 O 1 IO_AF18 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AG18 +bit 1263 O 1 IO_AG18 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AJ18 +bit 1260 O 1 IO_AJ18 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AK18 +bit 1257 O 1 IO_AK18 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AA17 +bit 1254 O 1 IO_AA17 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AB17 +bit 1251 O 1 IO_AB17 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AA16 +bit 1248 O 1 IO_AA16 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AD17 +bit 1245 O 1 IO_AD17 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AE17 +bit 1242 O 1 IO_AE17 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AH17 +bit 1239 O 1 IO_AH17 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AJ17 +bit 1236 O 1 IO_AJ17 1235 1 Z +bit 1235 C 1 * +bit 1234 O 1 * +bit 1233 O 1 * +bit 1232 O 1 * +bit 1231 I 1 IO_AB16 +bit 1230 O 1 IO_AB16 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AC16 +bit 1227 O 1 IO_AC16 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_AD16 +bit 1224 O 1 IO_AD16 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AE16 +bit 1221 O 1 IO_AE16 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AF16 +bit 1218 O 1 IO_AF16 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AG16 +bit 1215 O 1 IO_AG16 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AH16 +bit 1212 O 1 IO_AH16 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AJ16 +bit 1209 O 1 IO_AJ16 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AK16 +bit 1206 O 1 IO_AK16 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AK15 +bit 1203 O 1 IO_AK15 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AJ15 +bit 1200 O 1 IO_AJ15 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AH15 +bit 1197 O 1 IO_AH15 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AG15 +bit 1194 O 1 IO_AG15 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AF15 +bit 1191 O 1 IO_AF15 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AE15 +bit 1188 O 1 IO_AE15 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AD15 +bit 1185 O 1 IO_AD15 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AD14 +bit 1182 O 1 IO_AD14 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AC15 +bit 1179 O 1 IO_AC15 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AB15 +bit 1176 O 1 IO_AB15 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AA15 +bit 1173 O 1 IO_AA15 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AJ14 +bit 1170 O 1 IO_AJ14 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AH14 +bit 1167 O 1 IO_AH14 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AE14 +bit 1164 O 1 IO_AE14 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AE13 +bit 1161 O 1 IO_AE13 1160 1 Z +bit 1160 C 1 * +bit 1159 O 1 * +bit 1158 O 1 * +bit 1157 O 1 * +bit 1156 I 1 IO_AB14 +bit 1155 O 1 IO_AB14 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AA14 +bit 1152 O 1 IO_AA14 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AK13 +bit 1149 O 1 IO_AK13 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AJ13 +bit 1146 O 1 IO_AJ13 1145 1 Z +bit 1145 C 1 * +bit 1144 O 1 * +bit 1143 O 1 * +bit 1142 O 1 * +bit 1141 I 1 IO_AG13 +bit 1140 O 1 IO_AG13 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AF13 +bit 1137 O 1 IO_AF13 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AC13 +bit 1134 O 1 IO_AC13 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AB13 +bit 1131 O 1 IO_AB13 1130 1 Z +bit 1130 C 1 * +bit 1129 O 1 * +bit 1128 O 1 * +bit 1127 O 1 * +bit 1126 I 1 IO_AA13 +bit 1125 O 1 IO_AA13 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AA12 +bit 1122 O 1 IO_AA12 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AK12 +bit 1119 O 1 IO_AK12 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AJ12 +bit 1116 O 1 IO_AJ12 1115 1 Z +bit 1115 C 1 * +bit 1114 O 1 * +bit 1113 O 1 * +bit 1112 O 1 * +bit 1111 I 1 IO_AH12 +bit 1110 O 1 IO_AH12 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AG12 +bit 1107 O 1 IO_AG12 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AF12 +bit 1104 O 1 IO_AF12 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AE12 +bit 1101 O 1 IO_AE12 1100 1 Z +bit 1100 C 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 I 1 IO_AD12 +bit 1095 O 1 IO_AD12 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AC12 +bit 1092 O 1 IO_AC12 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AB12 +bit 1089 O 1 IO_AB12 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AC11 +bit 1086 O 1 IO_AC11 1085 1 Z +bit 1085 C 1 * +bit 1084 O 1 * +bit 1083 O 1 * +bit 1082 O 1 * +bit 1081 I 1 IO_AK11 +bit 1080 O 1 IO_AK11 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_AJ11 +bit 1077 O 1 IO_AJ11 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_AH11 +bit 1074 O 1 IO_AH11 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_AG11 +bit 1071 O 1 IO_AG11 1070 1 Z +bit 1070 C 1 * +bit 1069 O 1 * +bit 1068 O 1 * +bit 1067 O 1 * +bit 1066 I 1 IO_AF11 +bit 1065 O 1 IO_AF11 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_AE11 +bit 1062 O 1 IO_AE11 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AD11 +bit 1059 O 1 IO_AD11 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AD10 +bit 1056 O 1 IO_AD10 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AA11 +bit 1053 O 1 IO_AA11 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AJ10 +bit 1050 O 1 IO_AJ10 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AH10 +bit 1047 O 1 IO_AH10 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AE10 +bit 1044 O 1 IO_AE10 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AE9 +bit 1041 O 1 IO_AE9 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_AB10 +bit 1038 O 1 IO_AB10 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AK9 +bit 1035 O 1 IO_AK9 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AJ9 +bit 1032 O 1 IO_AJ9 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AG9 +bit 1029 O 1 IO_AG9 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AF9 +bit 1026 O 1 IO_AF9 1025 1 Z +bit 1025 C 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 I 1 IO_AC9 +bit 1020 O 1 IO_AC9 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AB9 +bit 1017 O 1 IO_AB9 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AK8 +bit 1014 O 1 IO_AK8 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AJ8 +bit 1011 O 1 IO_AJ8 1010 1 Z +bit 1010 C 1 * +bit 1009 O 1 * +bit 1008 O 1 * +bit 1007 O 1 * +bit 1006 I 1 IO_AH7 +bit 1005 O 1 IO_AH7 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AG7 +bit 1002 O 1 IO_AG7 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_AE7 +bit 999 O 1 IO_AE7 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_AD7 +bit 996 O 1 IO_AD7 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AE6 +bit 993 O 1 IO_AE6 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AJ6 +bit 990 O 1 IO_AJ6 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AH6 +bit 987 O 1 IO_AH6 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_AF6 +bit 984 O 1 IO_AF6 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_AG5 +bit 981 O 1 IO_AG5 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AH4 +bit 978 O 1 IO_AH4 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AK5 +bit 975 O 1 IO_AK5 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AJ5 +bit 972 O 1 IO_AJ5 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AK4 +bit 969 O 1 IO_AK4 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AJ4 +bit 966 O 1 IO_AJ4 965 1 Z +bit 965 C 1 * +bit 964 I 1 M2_AK3 +bit 963 I 1 M0_AJ3 +bit 962 I 1 M1_AH3 +bit 961 I 1 IO_AH2 +bit 960 O 1 IO_AH2 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AH1 +bit 957 O 1 IO_AH1 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AG4 +bit 954 O 1 IO_AG4 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AG3 +bit 951 O 1 IO_AG3 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AG2 +bit 948 O 1 IO_AG2 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AG1 +bit 945 O 1 IO_AG1 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AF2 +bit 942 O 1 IO_AF2 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AF1 +bit 939 O 1 IO_AF1 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_AF4 +bit 936 O 1 IO_AF4 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_AE5 +bit 933 O 1 IO_AE5 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_AE3 +bit 930 O 1 IO_AE3 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_AE2 +bit 927 O 1 IO_AE2 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AD4 +bit 924 O 1 IO_AD4 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AD3 +bit 921 O 1 IO_AD3 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_AD2 +bit 918 O 1 IO_AD2 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AD1 +bit 915 O 1 IO_AD1 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_AD6 +bit 912 O 1 IO_AD6 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_AC7 +bit 909 O 1 IO_AC7 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_AC6 +bit 906 O 1 IO_AC6 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_AC5 +bit 903 O 1 IO_AC5 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_AC4 +bit 900 O 1 IO_AC4 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_AC3 +bit 897 O 1 IO_AC3 896 1 Z +bit 896 C 1 * +bit 895 O 1 * +bit 894 O 1 * +bit 893 O 1 * +bit 892 I 1 IO_AB6 +bit 891 O 1 IO_AB6 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_AC2 +bit 888 O 1 IO_AC2 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_AC1 +bit 885 O 1 IO_AC1 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_AB5 +bit 882 O 1 IO_AB5 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_AB4 +bit 879 O 1 IO_AB4 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_AB2 +bit 876 O 1 IO_AB2 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_AB1 +bit 873 O 1 IO_AB1 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_AB8 +bit 870 O 1 IO_AB8 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_AA9 +bit 867 O 1 IO_AA9 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_AA7 +bit 864 O 1 IO_AA7 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_AA6 +bit 861 O 1 IO_AA6 860 1 Z +bit 860 C 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_AA3 +bit 852 O 1 IO_AA3 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_AA2 +bit 849 O 1 IO_AA2 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_AA10 +bit 846 O 1 IO_AA10 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_Y10 +bit 843 O 1 IO_Y10 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_Y8 +bit 840 O 1 IO_Y8 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_Y7 +bit 837 O 1 IO_Y7 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_Y6 +bit 834 O 1 IO_Y6 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_Y5 +bit 831 O 1 IO_Y5 830 1 Z +bit 830 C 1 * +bit 829 O 1 * +bit 828 O 1 * +bit 827 O 1 * +bit 826 O 1 * +bit 825 O 1 * +bit 824 O 1 * +bit 823 I 1 IO_Y2 +bit 822 O 1 IO_Y2 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_Y1 +bit 819 O 1 IO_Y1 818 1 Z +bit 818 C 1 * +bit 817 O 1 * +bit 816 O 1 * +bit 815 O 1 * +bit 814 O 1 * +bit 813 O 1 * +bit 812 O 1 * +bit 811 I 1 IO_W7 +bit 810 O 1 IO_W7 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_W6 +bit 807 O 1 IO_W6 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_W4 +bit 804 O 1 IO_W4 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_W3 +bit 801 O 1 IO_W3 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_W2 +bit 798 O 1 IO_W2 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_W1 +bit 795 O 1 IO_W1 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_W10 +bit 792 O 1 IO_W10 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_V10 +bit 789 O 1 IO_V10 788 1 Z +bit 788 C 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 O 1 * +bit 783 O 1 * +bit 782 O 1 * +bit 781 I 1 IO_W5 +bit 780 O 1 IO_W5 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_V6 +bit 777 O 1 IO_V6 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_V5 +bit 774 O 1 IO_V5 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_V4 +bit 771 O 1 IO_V4 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_V2 +bit 768 O 1 IO_V2 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_V1 +bit 765 O 1 IO_V1 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_U10 +bit 762 O 1 IO_U10 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_U9 +bit 759 O 1 IO_U9 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_U7 +bit 756 O 1 IO_U7 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_U6 +bit 753 O 1 IO_U6 752 1 Z +bit 752 C 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 I 1 IO_T10 +bit 744 O 1 IO_T10 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_T9 +bit 741 O 1 IO_T9 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_T6 +bit 738 O 1 IO_T6 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_T5 +bit 735 O 1 IO_T5 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_T4 +bit 732 O 1 IO_T4 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_T3 +bit 729 O 1 IO_T3 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_T2 +bit 726 O 1 IO_T2 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_T1 +bit 723 O 1 IO_T1 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_R1 +bit 720 O 1 IO_R1 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_R2 +bit 717 O 1 IO_R2 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_R3 +bit 714 O 1 IO_R3 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_R4 +bit 711 O 1 IO_R4 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_R5 +bit 708 O 1 IO_R5 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_R6 +bit 705 O 1 IO_R6 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_R7 +bit 702 O 1 IO_R7 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_R8 +bit 699 O 1 IO_R8 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_R9 +bit 690 O 1 IO_R9 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_R10 +bit 687 O 1 IO_R10 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_P2 +bit 684 O 1 IO_P2 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_P3 +bit 681 O 1 IO_P3 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_P6 +bit 678 O 1 IO_P6 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_P7 +bit 675 O 1 IO_P7 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_P9 +bit 672 O 1 IO_P9 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_P10 +bit 669 O 1 IO_P10 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_N1 +bit 666 O 1 IO_N1 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_N2 +bit 663 O 1 IO_N2 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_N8 +bit 654 O 1 IO_N8 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_N9 +bit 651 O 1 IO_N9 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_N10 +bit 648 O 1 IO_N10 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_M10 +bit 645 O 1 IO_M10 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_M1 +bit 642 O 1 IO_M1 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_M2 +bit 639 O 1 IO_M2 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_M3 +bit 636 O 1 IO_M3 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_M4 +bit 633 O 1 IO_M4 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 I 1 IO_L1 +bit 624 O 1 IO_L1 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_L2 +bit 621 O 1 IO_L2 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_L3 +bit 618 O 1 IO_L3 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_L4 +bit 615 O 1 IO_L4 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_L5 +bit 612 O 1 IO_L5 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_L6 +bit 609 O 1 IO_L6 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_L7 +bit 606 O 1 IO_L7 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_L8 +bit 603 O 1 IO_L8 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_L10 +bit 600 O 1 IO_L10 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_K10 +bit 597 O 1 IO_K10 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_K2 +bit 594 O 1 IO_K2 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_K3 +bit 591 O 1 IO_K3 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 I 1 IO_K6 +bit 582 O 1 IO_K6 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_K7 +bit 579 O 1 IO_K7 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_K9 +bit 576 O 1 IO_K9 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_J8 +bit 573 O 1 IO_J8 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_J1 +bit 570 O 1 IO_J1 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_J2 +bit 567 O 1 IO_J2 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_J4 +bit 564 O 1 IO_J4 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_J5 +bit 561 O 1 IO_J5 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_H1 +bit 558 O 1 IO_H1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_H2 +bit 555 O 1 IO_H2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_J6 +bit 552 O 1 IO_J6 551 1 Z +bit 551 C 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 I 1 IO_H3 +bit 546 O 1 IO_H3 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_H4 +bit 543 O 1 IO_H4 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_H5 +bit 540 O 1 IO_H5 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_H6 +bit 537 O 1 IO_H6 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_H7 +bit 534 O 1 IO_H7 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_G6 +bit 531 O 1 IO_G6 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_G1 +bit 528 O 1 IO_G1 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_G2 +bit 525 O 1 IO_G2 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_G3 +bit 522 O 1 IO_G3 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_G4 +bit 519 O 1 IO_G4 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_F2 +bit 516 O 1 IO_F2 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_F3 +bit 513 O 1 IO_F3 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_F5 +bit 510 O 1 IO_F5 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_E4 +bit 507 O 1 IO_E4 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_E1 +bit 504 O 1 IO_E1 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_E2 +bit 501 O 1 IO_E2 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_D1 +bit 498 O 1 IO_D1 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_D2 +bit 495 O 1 IO_D2 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_D3 +bit 492 O 1 IO_D3 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_D4 +bit 489 O 1 IO_D4 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_C1 +bit 486 O 1 IO_C1 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_C2 +bit 483 O 1 IO_C2 482 1 Z +bit 482 C 1 * +bit 481 O 1 * +bit 480 I 1 HSWAP_EN_A3 +bit 479 I 1 IO_C4 +bit 478 O 1 IO_C4 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_B4 +bit 475 O 1 IO_B4 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_A4 +bit 472 O 1 IO_A4 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_B5 +bit 469 O 1 IO_B5 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_A5 +bit 466 O 1 IO_A5 465 1 Z +bit 465 C 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 I 1 IO_D5 +bit 460 O 1 IO_D5 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_E6 +bit 457 O 1 IO_E6 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_C6 +bit 454 O 1 IO_C6 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_B6 +bit 451 O 1 IO_B6 450 1 Z +bit 450 C 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 I 1 IO_F6 +bit 445 O 1 IO_F6 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_F7 +bit 442 O 1 IO_F7 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_D7 +bit 439 O 1 IO_D7 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_C7 +bit 436 O 1 IO_C7 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_G8 +bit 433 O 1 IO_G8 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_F8 +bit 430 O 1 IO_F8 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_E8 +bit 427 O 1 IO_E8 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_D8 +bit 424 O 1 IO_D8 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_C8 +bit 421 O 1 IO_C8 420 1 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 I 1 IO_B8 +bit 415 O 1 IO_B8 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_A8 +bit 412 O 1 IO_A8 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_J9 +bit 409 O 1 IO_J9 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_H9 +bit 406 O 1 IO_H9 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_F9 +bit 403 O 1 IO_F9 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_G10 +bit 400 O 1 IO_G10 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_F10 +bit 397 O 1 IO_F10 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_C10 +bit 394 O 1 IO_C10 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_B10 +bit 391 O 1 IO_B10 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 I 1 IO_J10 +bit 385 O 1 IO_J10 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_K11 +bit 382 O 1 IO_K11 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_H11 +bit 379 O 1 IO_H11 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_G11 +bit 376 O 1 IO_G11 375 1 Z +bit 375 C 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 IO_F11 +bit 370 O 1 IO_F11 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_E11 +bit 367 O 1 IO_E11 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_D11 +bit 364 O 1 IO_D11 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_C11 +bit 361 O 1 IO_C11 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 I 1 IO_B11 +bit 355 O 1 IO_B11 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_A11 +bit 352 O 1 IO_A11 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_K12 +bit 349 O 1 IO_K12 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_J12 +bit 346 O 1 IO_J12 345 1 Z +bit 345 C 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 I 1 IO_H12 +bit 340 O 1 IO_H12 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_G12 +bit 337 O 1 IO_G12 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_F12 +bit 334 O 1 IO_F12 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_E12 +bit 331 O 1 IO_E12 330 1 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 I 1 IO_D12 +bit 325 O 1 IO_D12 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_C12 +bit 322 O 1 IO_C12 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_B12 +bit 319 O 1 IO_B12 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_A12 +bit 316 O 1 IO_A12 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_K13 +bit 313 O 1 IO_K13 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_J13 +bit 310 O 1 IO_J13 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_H13 +bit 307 O 1 IO_H13 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_F13 +bit 304 O 1 IO_F13 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_E13 +bit 301 O 1 IO_E13 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_D13 +bit 298 O 1 IO_D13 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_B13 +bit 295 O 1 IO_B13 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_A13 +bit 292 O 1 IO_A13 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_K14 +bit 289 O 1 IO_K14 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_J14 +bit 286 O 1 IO_J14 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_K15 +bit 283 O 1 IO_K15 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_G14 +bit 280 O 1 IO_G14 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_F14 +bit 277 O 1 IO_F14 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_C14 +bit 274 O 1 IO_C14 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_B14 +bit 271 O 1 IO_B14 270 1 Z +bit 270 C 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 I 1 IO_J15 +bit 265 O 1 IO_J15 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_H15 +bit 262 O 1 IO_H15 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_G15 +bit 259 O 1 IO_G15 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_F15 +bit 256 O 1 IO_F15 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_E15 +bit 253 O 1 IO_E15 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_D15 +bit 250 O 1 IO_D15 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_C15 +bit 247 O 1 IO_C15 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_B15 +bit 244 O 1 IO_B15 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_A15 +bit 241 O 1 IO_A15 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_A16 +bit 238 O 1 IO_A16 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B16 +bit 235 O 1 IO_B16 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C16 +bit 232 O 1 IO_C16 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_D16 +bit 229 O 1 IO_D16 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_E16 +bit 226 O 1 IO_E16 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_F16 +bit 223 O 1 IO_F16 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_G16 +bit 220 O 1 IO_G16 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_H16 +bit 217 O 1 IO_H16 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_J16 +bit 214 O 1 IO_J16 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_K16 +bit 211 O 1 IO_K16 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_J17 +bit 208 O 1 IO_J17 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_B17 +bit 205 O 1 IO_B17 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_C17 +bit 202 O 1 IO_C17 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_F17 +bit 199 O 1 IO_F17 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_G17 +bit 196 O 1 IO_G17 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_F18 +bit 193 O 1 IO_F18 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A19 +bit 190 O 1 IO_A19 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B19 +bit 187 O 1 IO_B19 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_C19 +bit 184 O 1 IO_C19 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D19 +bit 181 O 1 IO_D19 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_E19 +bit 175 O 1 IO_E19 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_F19 +bit 172 O 1 IO_F19 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_G19 +bit 169 O 1 IO_G19 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_H19 +bit 166 O 1 IO_H19 165 1 Z +bit 165 C 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 I 1 IO_J19 +bit 160 O 1 IO_J19 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_K19 +bit 157 O 1 IO_K19 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_A20 +bit 154 O 1 IO_A20 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_B20 +bit 151 O 1 IO_B20 150 1 Z +bit 150 C 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 I 1 IO_C20 +bit 145 O 1 IO_C20 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_D20 +bit 142 O 1 IO_D20 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_E20 +bit 139 O 1 IO_E20 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_F20 +bit 136 O 1 IO_F20 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_G20 +bit 130 O 1 IO_G20 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_H20 +bit 127 O 1 IO_H20 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_B21 +bit 124 O 1 IO_B21 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_C21 +bit 121 O 1 IO_C21 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_K20 +bit 118 O 1 IO_K20 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_F21 +bit 115 O 1 IO_F21 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_G21 +bit 112 O 1 IO_G21 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A22 +bit 109 O 1 IO_A22 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B22 +bit 106 O 1 IO_B22 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_J21 +bit 103 O 1 IO_J21 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_D22 +bit 100 O 1 IO_D22 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_E22 +bit 97 O 1 IO_E22 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_F22 +bit 94 O 1 IO_F22 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_E23 +bit 91 O 1 IO_E23 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_H22 +bit 85 O 1 IO_H22 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_J22 +bit 82 O 1 IO_J22 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_A23 +bit 79 O 1 IO_A23 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_B23 +bit 76 O 1 IO_B23 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_C23 +bit 70 O 1 IO_C23 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D23 +bit 67 O 1 IO_D23 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_F23 +bit 64 O 1 IO_F23 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_G23 +bit 61 O 1 IO_G23 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_H23 +bit 55 O 1 IO_H23 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_G24 +bit 52 O 1 IO_G24 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_A24 +bit 49 O 1 IO_A24 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_B24 +bit 46 O 1 IO_B24 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_C24 +bit 40 O 1 IO_C24 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_D24 +bit 37 O 1 IO_D24 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_F24 +bit 34 O 1 IO_F24 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F25 +bit 31 O 1 IO_F25 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_E25 +bit 28 O 1 IO_E25 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B25 +bit 25 O 1 IO_B25 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C25 +bit 22 O 1 IO_C25 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_A26 +bit 19 O 1 IO_A26 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_B26 +bit 16 O 1 IO_B26 15 1 Z +bit 15 C 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_D26 +bit 10 O 1 IO_D26 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_C27 +bit 7 O 1 IO_C27 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A27 +bit 4 O 1 IO_A27 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B27 +bit 1 O 1 IO_B27 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/STEPPINGS new file mode 100644 index 0000000..e48aca1 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s400 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400 new file mode 100644 index 0000000..ef17055 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400 @@ -0,0 +1,1241 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal CCLK_PAD275 +signal DONE_PAD274 +signal HSWAP_EN_PAD56 +signal M0_PAD165 +signal M1_PAD164 +signal M2_PAD166 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD6 +signal IO_PAD8 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD12 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD16 +signal IO_PAD18 +signal IO_PAD20 +signal IO_PAD22 +signal IO_PAD23 +signal IO_PAD24 +signal IO_PAD26 +signal IO_PAD28 +signal IO_PAD29 +signal IO_PAD30 +signal IO_PAD31 +signal IO_PAD32 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD36 +signal IO_PAD38 +signal IO_PAD40 +signal IO_PAD42 +signal IO_PAD44 +signal IO_PAD48 +signal IO_PAD49 +signal IO_PAD53 +signal IO_PAD54 +signal IO_PAD55 +signal IO_PAD62 +signal IO_PAD63 +signal IO_PAD65 +signal IO_PAD66 +signal IO_PAD67 +signal IO_PAD69 +signal IO_PAD70 +signal IO_PAD71 +signal IO_PAD73 +signal IO_PAD75 +signal IO_PAD76 +signal IO_PAD77 +signal IO_PAD78 +signal IO_PAD79 +signal IO_PAD81 +signal IO_PAD83 +signal IO_PAD85 +signal IO_PAD87 +signal IO_PAD88 +signal IO_PAD89 +signal IO_PAD91 +signal IO_PAD92 +signal IO_PAD93 +signal IO_PAD95 +signal IO_PAD97 +signal IO_PAD99 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD103 +signal IO_PAD105 +signal IO_PAD107 +signal IO_PAD108 +signal IO_PAD114 +signal IO_PAD115 +signal IO_PAD117 +signal IO_PAD119 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD123 +signal IO_PAD125 +signal IO_PAD127 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD131 +signal IO_PAD133 +signal IO_PAD134 +signal IO_PAD135 +signal IO_PAD137 +signal IO_PAD139 +signal IO_PAD141 +signal IO_PAD143 +signal IO_PAD144 +signal IO_PAD145 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD149 +signal IO_PAD151 +signal IO_PAD152 +signal IO_PAD153 +signal IO_PAD155 +signal IO_PAD156 +signal IO_PAD157 +signal IO_PAD159 +signal IO_PAD160 +signal IO_PAD167 +signal IO_PAD168 +signal IO_PAD171 +signal IO_PAD172 +signal IO_PAD173 +signal IO_PAD176 +signal IO_PAD178 +signal IO_PAD180 +signal IO_PAD182 +signal IO_PAD184 +signal IO_PAD185 +signal IO_PAD186 +signal IO_PAD187 +signal IO_PAD188 +signal IO_PAD189 +signal IO_PAD190 +signal IO_PAD191 +signal IO_PAD192 +signal IO_PAD194 +signal IO_PAD196 +signal IO_PAD197 +signal IO_PAD198 +signal IO_PAD200 +signal IO_PAD202 +signal IO_PAD204 +signal IO_PAD206 +signal IO_PAD207 +signal IO_PAD208 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD212 +signal IO_PAD214 +signal IO_PAD216 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD222 +signal IO_PAD223 +signal IO_PAD224 +signal IO_PAD226 +signal IO_PAD228 +signal IO_PAD229 +signal IO_PAD230 +signal IO_PAD232 +signal IO_PAD233 +signal IO_PAD234 +signal IO_PAD236 +signal IO_PAD238 +signal IO_PAD240 +signal IO_PAD242 +signal IO_PAD243 +signal IO_PAD244 +signal IO_PAD246 +signal IO_PAD248 +signal IO_PAD249 +signal IO_PAD250 +signal IO_PAD251 +signal IO_PAD252 +signal IO_PAD253 +signal IO_PAD254 +signal IO_PAD255 +signal IO_PAD256 +signal IO_PAD258 +signal IO_PAD260 +signal IO_PAD262 +signal IO_PAD264 +signal IO_PAD267 +signal IO_PAD268 +signal IO_PAD271 +signal IO_PAD272 +signal IO_PAD273 +signal IO_PAD279 +signal IO_PAD280 +signal IO_PAD282 +signal IO_PAD283 +signal IO_PAD284 +signal IO_PAD286 +signal IO_PAD287 +signal IO_PAD288 +signal IO_PAD290 +signal IO_PAD292 +signal IO_PAD293 +signal IO_PAD294 +signal IO_PAD295 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD300 +signal IO_PAD302 +signal IO_PAD304 +signal IO_PAD305 +signal IO_PAD306 +signal IO_PAD308 +signal IO_PAD309 +signal IO_PAD310 +signal IO_PAD312 +signal IO_PAD314 +signal IO_PAD316 +signal IO_PAD318 +signal IO_PAD319 +signal IO_PAD320 +signal IO_PAD322 +signal IO_PAD324 +signal IO_PAD325 +signal IO_PAD331 +signal IO_PAD332 +signal IO_PAD334 +signal IO_PAD336 +signal IO_PAD337 +signal IO_PAD338 +signal IO_PAD340 +signal IO_PAD342 +signal IO_PAD344 +signal IO_PAD346 +signal IO_PAD347 +signal IO_PAD348 +signal IO_PAD350 +signal IO_PAD351 +signal IO_PAD352 +signal IO_PAD354 +signal IO_PAD356 +signal IO_PAD358 +signal IO_PAD360 +signal IO_PAD361 +signal IO_PAD362 +signal IO_PAD363 +signal IO_PAD364 +signal IO_PAD366 +signal IO_PAD368 +signal IO_PAD369 +signal IO_PAD370 +signal IO_PAD372 +signal IO_PAD373 +signal IO_PAD374 +signal IO_PAD376 +signal IO_PAD377 +signal IO_PAD384 +signal IO_PAD385 +signal IO_PAD388 +signal IO_PAD389 +signal IO_PAD390 +signal IO_PAD394 +signal IO_PAD396 +signal IO_PAD398 +signal IO_PAD400 +signal IO_PAD402 +signal IO_PAD403 +signal IO_PAD404 +signal IO_PAD405 +signal IO_PAD406 +signal IO_PAD407 +signal IO_PAD408 +signal IO_PAD409 +signal IO_PAD410 +signal IO_PAD412 +signal IO_PAD414 +signal IO_PAD415 +signal IO_PAD416 +signal IO_PAD418 +signal IO_PAD420 +signal IO_PAD422 +signal IO_PAD424 +signal IO_PAD425 +signal IO_PAD426 +signal IO_PAD428 +signal IO_PAD429 +signal IO_PAD430 +signal IO_PAD432 +signal IO_PAD434 +signal IO_PAD435 + +register BSR 815 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 814 I 1 IO_PAD377 +bit 813 O 1 IO_PAD377 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_PAD376 +bit 810 O 1 IO_PAD376 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD374 +bit 807 O 1 IO_PAD374 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_PAD373 +bit 804 O 1 IO_PAD373 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_PAD372 +bit 801 O 1 IO_PAD372 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_PAD370 +bit 798 O 1 IO_PAD370 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_PAD369 +bit 795 O 1 IO_PAD369 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD368 +bit 792 O 1 IO_PAD368 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD366 +bit 789 O 1 IO_PAD366 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_PAD364 +bit 786 O 1 IO_PAD364 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_PAD363 +bit 783 O 1 IO_PAD363 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_PAD362 +bit 780 O 1 IO_PAD362 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_PAD361 +bit 777 O 1 IO_PAD361 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_PAD360 +bit 774 O 1 IO_PAD360 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD358 +bit 771 O 1 IO_PAD358 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD356 +bit 768 O 1 IO_PAD356 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD354 +bit 765 O 1 IO_PAD354 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD352 +bit 762 O 1 IO_PAD352 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD351 +bit 759 O 1 IO_PAD351 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD350 +bit 756 O 1 IO_PAD350 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD348 +bit 753 O 1 IO_PAD348 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_PAD347 +bit 750 O 1 IO_PAD347 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_PAD346 +bit 747 O 1 IO_PAD346 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_PAD344 +bit 744 O 1 IO_PAD344 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD342 +bit 741 O 1 IO_PAD342 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD340 +bit 738 O 1 IO_PAD340 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD338 +bit 735 O 1 IO_PAD338 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_PAD337 +bit 732 O 1 IO_PAD337 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_PAD336 +bit 729 O 1 IO_PAD336 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_PAD334 +bit 726 O 1 IO_PAD334 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_PAD332 +bit 723 O 1 IO_PAD332 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_PAD331 +bit 720 O 1 IO_PAD331 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD325 +bit 717 O 1 IO_PAD325 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD324 +bit 714 O 1 IO_PAD324 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD322 +bit 711 O 1 IO_PAD322 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD320 +bit 708 O 1 IO_PAD320 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD319 +bit 705 O 1 IO_PAD319 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD318 +bit 702 O 1 IO_PAD318 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD316 +bit 699 O 1 IO_PAD316 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_PAD314 +bit 696 O 1 IO_PAD314 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_PAD312 +bit 693 O 1 IO_PAD312 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_PAD310 +bit 690 O 1 IO_PAD310 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD309 +bit 687 O 1 IO_PAD309 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD308 +bit 684 O 1 IO_PAD308 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD306 +bit 681 O 1 IO_PAD306 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD305 +bit 678 O 1 IO_PAD305 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD304 +bit 675 O 1 IO_PAD304 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD302 +bit 672 O 1 IO_PAD302 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD300 +bit 669 O 1 IO_PAD300 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD298 +bit 666 O 1 IO_PAD298 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD296 +bit 663 O 1 IO_PAD296 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_PAD295 +bit 660 O 1 IO_PAD295 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_PAD294 +bit 657 O 1 IO_PAD294 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_PAD293 +bit 654 O 1 IO_PAD293 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD292 +bit 651 O 1 IO_PAD292 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD290 +bit 648 O 1 IO_PAD290 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD288 +bit 645 O 1 IO_PAD288 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_PAD287 +bit 642 O 1 IO_PAD287 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_PAD286 +bit 639 O 1 IO_PAD286 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_PAD284 +bit 636 O 1 IO_PAD284 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD283 +bit 633 O 1 IO_PAD283 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_PAD282 +bit 630 O 1 IO_PAD282 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_PAD280 +bit 627 O 1 IO_PAD280 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_PAD279 +bit 624 O 1 IO_PAD279 623 1 Z +bit 623 C 1 * +bit 622 I 1 CCLK_PAD275 +bit 621 O 1 CCLK_PAD275 620 1 Z +bit 620 C 1 * +bit 619 I 1 DONE_PAD274 +bit 618 O 1 DONE_PAD274 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD273 +bit 615 O 1 IO_PAD273 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD272 +bit 612 O 1 IO_PAD272 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD271 +bit 609 O 1 IO_PAD271 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_PAD268 +bit 606 O 1 IO_PAD268 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_PAD267 +bit 603 O 1 IO_PAD267 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_PAD264 +bit 600 O 1 IO_PAD264 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD262 +bit 597 O 1 IO_PAD262 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD260 +bit 594 O 1 IO_PAD260 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD258 +bit 591 O 1 IO_PAD258 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_PAD256 +bit 588 O 1 IO_PAD256 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_PAD255 +bit 585 O 1 IO_PAD255 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_PAD254 +bit 582 O 1 IO_PAD254 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_PAD253 +bit 579 O 1 IO_PAD253 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD252 +bit 576 O 1 IO_PAD252 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD251 +bit 573 O 1 IO_PAD251 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD250 +bit 570 O 1 IO_PAD250 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD249 +bit 567 O 1 IO_PAD249 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_PAD248 +bit 564 O 1 IO_PAD248 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_PAD246 +bit 561 O 1 IO_PAD246 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_PAD244 +bit 558 O 1 IO_PAD244 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD243 +bit 555 O 1 IO_PAD243 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD242 +bit 552 O 1 IO_PAD242 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD240 +bit 549 O 1 IO_PAD240 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD238 +bit 546 O 1 IO_PAD238 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD236 +bit 543 O 1 IO_PAD236 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_PAD234 +bit 540 O 1 IO_PAD234 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_PAD233 +bit 537 O 1 IO_PAD233 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_PAD232 +bit 534 O 1 IO_PAD232 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_PAD230 +bit 531 O 1 IO_PAD230 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_PAD229 +bit 528 O 1 IO_PAD229 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_PAD228 +bit 525 O 1 IO_PAD228 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_PAD226 +bit 522 O 1 IO_PAD226 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_PAD224 +bit 519 O 1 IO_PAD224 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_PAD223 +bit 516 O 1 IO_PAD223 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_PAD222 +bit 513 O 1 IO_PAD222 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_PAD218 +bit 510 O 1 IO_PAD218 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_PAD217 +bit 507 O 1 IO_PAD217 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_PAD216 +bit 504 O 1 IO_PAD216 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_PAD214 +bit 501 O 1 IO_PAD214 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_PAD212 +bit 498 O 1 IO_PAD212 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_PAD211 +bit 495 O 1 IO_PAD211 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_PAD210 +bit 492 O 1 IO_PAD210 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_PAD208 +bit 489 O 1 IO_PAD208 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_PAD207 +bit 486 O 1 IO_PAD207 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_PAD206 +bit 483 O 1 IO_PAD206 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_PAD204 +bit 480 O 1 IO_PAD204 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_PAD202 +bit 477 O 1 IO_PAD202 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_PAD200 +bit 474 O 1 IO_PAD200 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_PAD198 +bit 471 O 1 IO_PAD198 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_PAD197 +bit 468 O 1 IO_PAD197 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_PAD196 +bit 465 O 1 IO_PAD196 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_PAD194 +bit 462 O 1 IO_PAD194 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_PAD192 +bit 459 O 1 IO_PAD192 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_PAD191 +bit 456 O 1 IO_PAD191 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_PAD190 +bit 453 O 1 IO_PAD190 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_PAD189 +bit 450 O 1 IO_PAD189 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_PAD188 +bit 447 O 1 IO_PAD188 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_PAD187 +bit 444 O 1 IO_PAD187 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_PAD186 +bit 441 O 1 IO_PAD186 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_PAD185 +bit 438 O 1 IO_PAD185 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_PAD184 +bit 435 O 1 IO_PAD184 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_PAD182 +bit 432 O 1 IO_PAD182 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_PAD180 +bit 429 O 1 IO_PAD180 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_PAD178 +bit 426 O 1 IO_PAD178 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_PAD176 +bit 423 O 1 IO_PAD176 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_PAD173 +bit 420 O 1 IO_PAD173 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_PAD172 +bit 417 O 1 IO_PAD172 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_PAD171 +bit 414 O 1 IO_PAD171 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_PAD168 +bit 411 O 1 IO_PAD168 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_PAD167 +bit 408 O 1 IO_PAD167 407 1 Z +bit 407 C 1 * +bit 406 I 1 M2_PAD166 +bit 405 I 1 M0_PAD165 +bit 404 I 1 M1_PAD164 +bit 403 I 1 IO_PAD160 +bit 402 O 1 IO_PAD160 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_PAD159 +bit 399 O 1 IO_PAD159 398 1 Z +bit 398 C 1 * +bit 397 I 1 IO_PAD157 +bit 396 O 1 IO_PAD157 395 1 Z +bit 395 C 1 * +bit 394 I 1 IO_PAD156 +bit 393 O 1 IO_PAD156 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_PAD155 +bit 390 O 1 IO_PAD155 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_PAD153 +bit 387 O 1 IO_PAD153 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_PAD152 +bit 384 O 1 IO_PAD152 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_PAD151 +bit 381 O 1 IO_PAD151 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_PAD149 +bit 378 O 1 IO_PAD149 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_PAD147 +bit 375 O 1 IO_PAD147 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_PAD146 +bit 372 O 1 IO_PAD146 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_PAD145 +bit 369 O 1 IO_PAD145 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_PAD144 +bit 366 O 1 IO_PAD144 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_PAD143 +bit 363 O 1 IO_PAD143 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_PAD141 +bit 360 O 1 IO_PAD141 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_PAD139 +bit 357 O 1 IO_PAD139 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_PAD137 +bit 354 O 1 IO_PAD137 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_PAD135 +bit 351 O 1 IO_PAD135 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_PAD134 +bit 348 O 1 IO_PAD134 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_PAD133 +bit 345 O 1 IO_PAD133 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_PAD131 +bit 342 O 1 IO_PAD131 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_PAD130 +bit 339 O 1 IO_PAD130 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_PAD129 +bit 336 O 1 IO_PAD129 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_PAD127 +bit 333 O 1 IO_PAD127 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_PAD125 +bit 330 O 1 IO_PAD125 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_PAD123 +bit 327 O 1 IO_PAD123 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_PAD121 +bit 324 O 1 IO_PAD121 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_PAD120 +bit 321 O 1 IO_PAD120 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_PAD119 +bit 318 O 1 IO_PAD119 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_PAD117 +bit 315 O 1 IO_PAD117 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_PAD115 +bit 312 O 1 IO_PAD115 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_PAD114 +bit 309 O 1 IO_PAD114 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_PAD108 +bit 306 O 1 IO_PAD108 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_PAD107 +bit 303 O 1 IO_PAD107 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_PAD105 +bit 300 O 1 IO_PAD105 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_PAD103 +bit 297 O 1 IO_PAD103 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_PAD102 +bit 294 O 1 IO_PAD102 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_PAD101 +bit 291 O 1 IO_PAD101 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_PAD99 +bit 288 O 1 IO_PAD99 287 1 Z +bit 287 C 1 * +bit 286 I 1 IO_PAD97 +bit 285 O 1 IO_PAD97 284 1 Z +bit 284 C 1 * +bit 283 I 1 IO_PAD95 +bit 282 O 1 IO_PAD95 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_PAD93 +bit 279 O 1 IO_PAD93 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_PAD92 +bit 276 O 1 IO_PAD92 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_PAD91 +bit 273 O 1 IO_PAD91 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_PAD89 +bit 270 O 1 IO_PAD89 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_PAD88 +bit 267 O 1 IO_PAD88 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_PAD87 +bit 264 O 1 IO_PAD87 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_PAD85 +bit 261 O 1 IO_PAD85 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_PAD83 +bit 258 O 1 IO_PAD83 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_PAD81 +bit 255 O 1 IO_PAD81 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_PAD79 +bit 252 O 1 IO_PAD79 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_PAD78 +bit 249 O 1 IO_PAD78 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_PAD77 +bit 246 O 1 IO_PAD77 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_PAD76 +bit 243 O 1 IO_PAD76 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_PAD75 +bit 240 O 1 IO_PAD75 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_PAD73 +bit 237 O 1 IO_PAD73 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_PAD71 +bit 234 O 1 IO_PAD71 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_PAD70 +bit 231 O 1 IO_PAD70 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_PAD69 +bit 228 O 1 IO_PAD69 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_PAD67 +bit 225 O 1 IO_PAD67 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_PAD66 +bit 222 O 1 IO_PAD66 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_PAD65 +bit 219 O 1 IO_PAD65 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_PAD63 +bit 216 O 1 IO_PAD63 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_PAD62 +bit 213 O 1 IO_PAD62 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 I 1 HSWAP_EN_PAD56 +bit 209 I 1 IO_PAD55 +bit 208 O 1 IO_PAD55 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD54 +bit 205 O 1 IO_PAD54 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD53 +bit 202 O 1 IO_PAD53 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD49 +bit 199 O 1 IO_PAD49 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD48 +bit 196 O 1 IO_PAD48 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD44 +bit 193 O 1 IO_PAD44 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD42 +bit 190 O 1 IO_PAD42 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD40 +bit 187 O 1 IO_PAD40 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD38 +bit 184 O 1 IO_PAD38 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD36 +bit 181 O 1 IO_PAD36 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD35 +bit 178 O 1 IO_PAD35 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD34 +bit 175 O 1 IO_PAD34 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD33 +bit 172 O 1 IO_PAD33 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD32 +bit 169 O 1 IO_PAD32 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD31 +bit 166 O 1 IO_PAD31 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD30 +bit 163 O 1 IO_PAD30 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD29 +bit 160 O 1 IO_PAD29 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD28 +bit 157 O 1 IO_PAD28 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD26 +bit 154 O 1 IO_PAD26 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD24 +bit 151 O 1 IO_PAD24 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD23 +bit 148 O 1 IO_PAD23 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD22 +bit 145 O 1 IO_PAD22 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD20 +bit 142 O 1 IO_PAD20 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD18 +bit 139 O 1 IO_PAD18 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD16 +bit 136 O 1 IO_PAD16 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD14 +bit 133 O 1 IO_PAD14 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD13 +bit 130 O 1 IO_PAD13 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD12 +bit 127 O 1 IO_PAD12 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD10 +bit 124 O 1 IO_PAD10 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD9 +bit 121 O 1 IO_PAD9 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD8 +bit 118 O 1 IO_PAD8 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD6 +bit 115 O 1 IO_PAD6 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD4 +bit 112 O 1 IO_PAD4 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD3 +bit 109 O 1 IO_PAD3 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD2 +bit 106 O 1 IO_PAD2 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD436 +bit 103 O 1 IO_PAD436 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD435 +bit 100 O 1 IO_PAD435 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD434 +bit 97 O 1 IO_PAD434 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD432 +bit 94 O 1 IO_PAD432 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD430 +bit 91 O 1 IO_PAD430 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD429 +bit 88 O 1 IO_PAD429 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD428 +bit 85 O 1 IO_PAD428 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD426 +bit 82 O 1 IO_PAD426 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD425 +bit 79 O 1 IO_PAD425 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD424 +bit 76 O 1 IO_PAD424 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD422 +bit 73 O 1 IO_PAD422 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD420 +bit 70 O 1 IO_PAD420 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD418 +bit 67 O 1 IO_PAD418 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD416 +bit 64 O 1 IO_PAD416 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD415 +bit 61 O 1 IO_PAD415 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD414 +bit 58 O 1 IO_PAD414 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD412 +bit 55 O 1 IO_PAD412 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD410 +bit 52 O 1 IO_PAD410 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD409 +bit 49 O 1 IO_PAD409 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD408 +bit 46 O 1 IO_PAD408 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD407 +bit 43 O 1 IO_PAD407 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD406 +bit 40 O 1 IO_PAD406 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD405 +bit 37 O 1 IO_PAD405 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD404 +bit 34 O 1 IO_PAD404 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD403 +bit 31 O 1 IO_PAD403 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD402 +bit 28 O 1 IO_PAD402 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD400 +bit 25 O 1 IO_PAD400 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD398 +bit 22 O 1 IO_PAD398 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD396 +bit 19 O 1 IO_PAD396 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD394 +bit 16 O 1 IO_PAD394 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD390 +bit 13 O 1 IO_PAD390 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD389 +bit 10 O 1 IO_PAD389 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD388 +bit 7 O 1 IO_PAD388 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD385 +bit 4 O 1 IO_PAD385 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD384 +bit 1 O 1 IO_PAD384 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_fg320 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_fg320 new file mode 100644 index 0000000..84b8ccd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_fg320 @@ -0,0 +1,1146 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal CCLK_T15 +signal DONE_R15 +signal HSWAP_EN_E6 +signal M0_P5 +signal M1_U3 +signal M2_R4 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A2 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_B1 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B9 +signal IO_B10 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B18 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C4 +signal IO_C5 +signal IO_C7 +signal IO_C8 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_F2 +signal IO_F4 +signal IO_F5 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F14 +signal IO_F15 +signal IO_F17 +signal IO_G1 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G18 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H17 +signal IO_H18 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J17 +signal IO_J18 +signal IO_K1 +signal IO_K2 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K17 +signal IO_K18 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_L17 +signal IO_L18 +signal IO_M1 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_M18 +signal IO_N2 +signal IO_N4 +signal IO_N5 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N11 +signal IO_N14 +signal IO_N15 +signal IO_N17 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P16 +signal IO_P17 +signal IO_P18 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R12 +signal IO_R13 +signal IO_R14 +signal IO_R16 +signal IO_R17 +signal IO_R18 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T7 +signal IO_T8 +signal IO_T11 +signal IO_T12 +signal IO_T14 +signal IO_T16 +signal IO_T17 +signal IO_T18 +signal IO_U1 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U9 +signal IO_U10 +signal IO_U13 +signal IO_U14 +signal IO_U15 +signal IO_U16 +signal IO_U18 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V12 +signal IO_V14 +signal IO_V15 +signal IO_V16 + +register BSR 815 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 814 I 1 IO_C16 +bit 813 O 1 IO_C16 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_C17 +bit 810 O 1 IO_C17 809 1 Z +bit 809 C 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 I 1 IO_B18 +bit 801 O 1 IO_B18 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_C18 +bit 798 O 1 IO_C18 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_D17 +bit 795 O 1 IO_D17 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_D18 +bit 792 O 1 IO_D18 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_D16 +bit 789 O 1 IO_D16 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_E16 +bit 786 O 1 IO_E16 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_E17 +bit 783 O 1 IO_E17 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_E18 +bit 780 O 1 IO_E18 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_F15 +bit 777 O 1 IO_F15 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_E15 +bit 774 O 1 IO_E15 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_F14 +bit 771 O 1 IO_F14 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_G14 +bit 768 O 1 IO_G14 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_G18 +bit 765 O 1 IO_G18 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_F17 +bit 762 O 1 IO_F17 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_G15 +bit 759 O 1 IO_G15 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_G16 +bit 756 O 1 IO_G16 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_H13 +bit 753 O 1 IO_H13 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_H14 +bit 750 O 1 IO_H14 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_H16 +bit 747 O 1 IO_H16 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_H15 +bit 744 O 1 IO_H15 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_H17 +bit 741 O 1 IO_H17 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_H18 +bit 738 O 1 IO_H18 737 1 Z +bit 737 C 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 I 1 IO_J13 +bit 732 O 1 IO_J13 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_J18 +bit 729 O 1 IO_J18 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_J17 +bit 726 O 1 IO_J17 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_J15 +bit 723 O 1 IO_J15 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_J14 +bit 720 O 1 IO_J14 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_K17 +bit 717 O 1 IO_K17 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_K18 +bit 714 O 1 IO_K18 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_K13 +bit 711 O 1 IO_K13 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_K14 +bit 708 O 1 IO_K14 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_K15 +bit 705 O 1 IO_K15 704 1 Z +bit 704 C 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 I 1 IO_L18 +bit 699 O 1 IO_L18 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_L17 +bit 696 O 1 IO_L17 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_L15 +bit 693 O 1 IO_L15 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_L16 +bit 690 O 1 IO_L16 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_L14 +bit 687 O 1 IO_L14 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_L13 +bit 684 O 1 IO_L13 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_M18 +bit 681 O 1 IO_M18 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_N17 +bit 678 O 1 IO_N17 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_M15 +bit 675 O 1 IO_M15 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_M16 +bit 672 O 1 IO_M16 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_M14 +bit 669 O 1 IO_M14 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_N14 +bit 666 O 1 IO_N14 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_P15 +bit 663 O 1 IO_P15 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_N15 +bit 660 O 1 IO_N15 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_P18 +bit 657 O 1 IO_P18 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_P17 +bit 654 O 1 IO_P17 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_R17 +bit 651 O 1 IO_R17 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_R18 +bit 648 O 1 IO_R18 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_P16 +bit 645 O 1 IO_P16 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_R16 +bit 642 O 1 IO_R16 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_T18 +bit 639 O 1 IO_T18 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_U18 +bit 636 O 1 IO_U18 635 1 Z +bit 635 C 1 * +bit 634 O 1 * +bit 633 O 1 * +bit 632 O 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 I 1 IO_T17 +bit 627 O 1 IO_T17 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_T16 +bit 624 O 1 IO_T16 623 1 Z +bit 623 C 1 * +bit 622 I 1 CCLK_T15 +bit 621 O 1 CCLK_T15 620 1 Z +bit 620 C 1 * +bit 619 I 1 DONE_R15 +bit 618 O 1 DONE_R15 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_V17 +bit 615 O 1 IO_V17 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_U16 +bit 612 O 1 IO_U16 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_V16 +bit 609 O 1 IO_V16 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_P14 +bit 606 O 1 IO_P14 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_R14 +bit 603 O 1 IO_R14 602 1 Z +bit 602 C 1 * +bit 601 O 1 * +bit 600 O 1 * +bit 599 O 1 * +bit 598 I 1 IO_U15 +bit 597 O 1 IO_U15 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_V15 +bit 594 O 1 IO_V15 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_T14 +bit 591 O 1 IO_T14 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_U14 +bit 588 O 1 IO_U14 587 1 Z +bit 587 C 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 I 1 IO_V14 +bit 576 O 1 IO_V14 575 1 Z +bit 575 C 1 * +bit 574 O 1 * +bit 573 O 1 * +bit 572 O 1 * +bit 571 I 1 IO_U13 +bit 570 O 1 IO_U13 569 1 Z +bit 569 C 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 I 1 IO_R13 +bit 561 O 1 IO_R13 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_P13 +bit 558 O 1 IO_P13 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_P12 +bit 555 O 1 IO_P12 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_T12 +bit 552 O 1 IO_T12 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_R12 +bit 549 O 1 IO_R12 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_V12 +bit 546 O 1 IO_V12 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_V11 +bit 543 O 1 IO_V11 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 I 1 IO_R11 +bit 537 O 1 IO_R11 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_T11 +bit 534 O 1 IO_T11 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_N11 +bit 531 O 1 IO_N11 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_P11 +bit 528 O 1 IO_P11 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_R10 +bit 525 O 1 IO_R10 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_U10 +bit 522 O 1 IO_U10 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_V10 +bit 519 O 1 IO_V10 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_N10 +bit 516 O 1 IO_N10 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_P10 +bit 513 O 1 IO_P10 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_R9 +bit 510 O 1 IO_R9 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_N9 +bit 507 O 1 IO_N9 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_P9 +bit 504 O 1 IO_P9 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_U9 +bit 501 O 1 IO_U9 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_V9 +bit 498 O 1 IO_V9 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_N8 +bit 495 O 1 IO_N8 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_R8 +bit 492 O 1 IO_R8 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_T8 +bit 489 O 1 IO_T8 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_V8 +bit 486 O 1 IO_V8 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_V7 +bit 483 O 1 IO_V7 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_P8 +bit 480 O 1 IO_P8 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_R7 +bit 477 O 1 IO_R7 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_T7 +bit 474 O 1 IO_T7 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_P6 +bit 471 O 1 IO_P6 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_P7 +bit 468 O 1 IO_P7 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_U6 +bit 465 O 1 IO_U6 464 1 Z +bit 464 C 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 O 1 * +bit 451 O 1 * +bit 450 O 1 * +bit 449 O 1 * +bit 448 I 1 IO_V5 +bit 447 O 1 IO_V5 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_U5 +bit 444 O 1 IO_U5 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_R6 +bit 441 O 1 IO_R6 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_R5 +bit 438 O 1 IO_R5 437 1 Z +bit 437 C 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 I 1 IO_V4 +bit 432 O 1 IO_V4 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_U4 +bit 429 O 1 IO_U4 428 1 Z +bit 428 C 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 I 1 IO_T5 +bit 417 O 1 IO_T5 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_T4 +bit 414 O 1 IO_T4 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_V3 +bit 411 O 1 IO_V3 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_V2 +bit 408 O 1 IO_V2 407 1 Z +bit 407 C 1 * +bit 406 I 1 M2_R4 +bit 405 I 1 M0_P5 +bit 404 I 1 M1_U3 +bit 403 I 1 IO_T3 +bit 402 O 1 IO_T3 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_T2 +bit 399 O 1 IO_T2 398 1 Z +bit 398 C 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 I 1 IO_U1 +bit 390 O 1 IO_U1 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_T1 +bit 387 O 1 IO_T1 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_R2 +bit 384 O 1 IO_R2 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_R1 +bit 381 O 1 IO_R1 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_R3 +bit 378 O 1 IO_R3 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_P3 +bit 375 O 1 IO_P3 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_P2 +bit 372 O 1 IO_P2 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_P1 +bit 369 O 1 IO_P1 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_N4 +bit 366 O 1 IO_N4 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P4 +bit 363 O 1 IO_P4 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_N5 +bit 360 O 1 IO_N5 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_M5 +bit 357 O 1 IO_M5 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_M3 +bit 354 O 1 IO_M3 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_M4 +bit 351 O 1 IO_M4 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_N2 +bit 348 O 1 IO_N2 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_M1 +bit 345 O 1 IO_M1 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_L6 +bit 342 O 1 IO_L6 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_L5 +bit 339 O 1 IO_L5 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_L3 +bit 336 O 1 IO_L3 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_L4 +bit 333 O 1 IO_L4 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_L2 +bit 330 O 1 IO_L2 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_L1 +bit 327 O 1 IO_L1 326 1 Z +bit 326 C 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 I 1 IO_K6 +bit 321 O 1 IO_K6 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_K5 +bit 318 O 1 IO_K5 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_K4 +bit 315 O 1 IO_K4 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_K1 +bit 312 O 1 IO_K1 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_K2 +bit 309 O 1 IO_K2 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_J5 +bit 306 O 1 IO_J5 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_J4 +bit 303 O 1 IO_J4 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_J1 +bit 300 O 1 IO_J1 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_J2 +bit 297 O 1 IO_J2 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_J6 +bit 294 O 1 IO_J6 293 1 Z +bit 293 C 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 I 1 IO_H1 +bit 288 O 1 IO_H1 287 1 Z +bit 287 C 1 * +bit 286 I 1 IO_H2 +bit 285 O 1 IO_H2 284 1 Z +bit 284 C 1 * +bit 283 I 1 IO_H4 +bit 282 O 1 IO_H4 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_H3 +bit 279 O 1 IO_H3 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_H5 +bit 276 O 1 IO_H5 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_H6 +bit 273 O 1 IO_H6 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_G4 +bit 270 O 1 IO_G4 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_G3 +bit 267 O 1 IO_G3 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_G1 +bit 264 O 1 IO_G1 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_F2 +bit 261 O 1 IO_F2 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_G5 +bit 258 O 1 IO_G5 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_F5 +bit 255 O 1 IO_F5 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_E4 +bit 252 O 1 IO_E4 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_F4 +bit 249 O 1 IO_F4 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_E2 +bit 246 O 1 IO_E2 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_E1 +bit 243 O 1 IO_E1 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_E3 +bit 240 O 1 IO_E3 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_D3 +bit 237 O 1 IO_D3 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_D1 +bit 234 O 1 IO_D1 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_D2 +bit 231 O 1 IO_D2 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_C1 +bit 228 O 1 IO_C1 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_B1 +bit 225 O 1 IO_B1 224 1 Z +bit 224 C 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_C3 +bit 216 O 1 IO_C3 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_C2 +bit 213 O 1 IO_C2 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 I 1 HSWAP_EN_E6 +bit 209 I 1 IO_B3 +bit 208 O 1 IO_B3 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_A2 +bit 205 O 1 IO_A2 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_A3 +bit 202 O 1 IO_A3 201 1 Z +bit 201 C 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 O 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 I 1 IO_B4 +bit 190 O 1 IO_B4 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_C4 +bit 187 O 1 IO_C4 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_C5 +bit 184 O 1 IO_C5 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D5 +bit 181 O 1 IO_D5 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_A4 +bit 175 O 1 IO_A4 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_A5 +bit 172 O 1 IO_A5 171 1 Z +bit 171 C 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 I 1 IO_D6 +bit 163 O 1 IO_D6 162 1 Z +bit 162 C 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 I 1 IO_B5 +bit 154 O 1 IO_B5 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_B6 +bit 151 O 1 IO_B6 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_E7 +bit 148 O 1 IO_E7 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_C7 +bit 145 O 1 IO_C7 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_D7 +bit 142 O 1 IO_D7 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_C8 +bit 139 O 1 IO_C8 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_D8 +bit 136 O 1 IO_D8 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_E8 +bit 130 O 1 IO_E8 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_F8 +bit 127 O 1 IO_F8 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_A7 +bit 124 O 1 IO_A7 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_A8 +bit 121 O 1 IO_A8 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_D9 +bit 118 O 1 IO_D9 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_B9 +bit 115 O 1 IO_B9 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_A9 +bit 112 O 1 IO_A9 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_E9 +bit 109 O 1 IO_E9 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_F9 +bit 106 O 1 IO_F9 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_D10 +bit 103 O 1 IO_D10 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_E10 +bit 100 O 1 IO_E10 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_F10 +bit 97 O 1 IO_F10 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_A10 +bit 94 O 1 IO_A10 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_B10 +bit 91 O 1 IO_B10 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_A11 +bit 88 O 1 IO_A11 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_C11 +bit 85 O 1 IO_C11 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_D11 +bit 82 O 1 IO_D11 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_F11 +bit 79 O 1 IO_F11 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_E11 +bit 76 O 1 IO_E11 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_A12 +bit 73 O 1 IO_A12 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_C12 +bit 70 O 1 IO_C12 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D12 +bit 67 O 1 IO_D12 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_E13 +bit 64 O 1 IO_E13 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_E12 +bit 61 O 1 IO_E12 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_B13 +bit 58 O 1 IO_B13 57 1 Z +bit 57 C 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 I 1 IO_D14 +bit 49 O 1 IO_D14 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D13 +bit 46 O 1 IO_D13 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_A14 +bit 40 O 1 IO_A14 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_B14 +bit 37 O 1 IO_B14 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_C14 +bit 34 O 1 IO_C14 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_C15 +bit 31 O 1 IO_C15 30 1 Z +bit 30 C 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 I 1 IO_A15 +bit 25 O 1 IO_A15 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_B15 +bit 22 O 1 IO_B15 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_A16 +bit 4 O 1 IO_A16 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_A17 +bit 1 O 1 IO_A17 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_fg456 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_fg456 new file mode 100644 index 0000000..c891654 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_fg456 @@ -0,0 +1,1213 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal CCLK_AA22 +signal DONE_AB21 +signal HSWAP_EN_B3 +signal M0_AB2 +signal M1_AA1 +signal M2_AB3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A18 +signal IO_A19 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C13 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C22 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D15 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E6 +signal IO_E7 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_G1 +signal IO_G2 +signal IO_G5 +signal IO_G6 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G21 +signal IO_G22 +signal IO_H5 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L17 +signal IO_L18 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M17 +signal IO_M18 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_R18 +signal IO_T1 +signal IO_T2 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T17 +signal IO_T18 +signal IO_T21 +signal IO_T22 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U10 +signal IO_U11 +signal IO_U12 +signal IO_U13 +signal IO_U14 +signal IO_U16 +signal IO_U17 +signal IO_U18 +signal IO_U19 +signal IO_U20 +signal IO_U21 +signal IO_V1 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V12 +signal IO_V13 +signal IO_V14 +signal IO_V16 +signal IO_V17 +signal IO_V18 +signal IO_V19 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W8 +signal IO_W9 +signal IO_W10 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W16 +signal IO_W17 +signal IO_W18 +signal IO_W19 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA17 +signal IO_AA18 +signal IO_AA20 +signal IO_AB4 +signal IO_AB5 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB18 + +register BSR 815 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 814 I 1 IO_C20 +bit 813 O 1 IO_C20 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_C21 +bit 810 O 1 IO_C21 809 1 Z +bit 809 C 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 I 1 IO_C22 +bit 804 O 1 IO_C22 803 1 Z +bit 803 C 1 * +bit 802 I 1 IO_D20 +bit 801 O 1 IO_D20 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_D19 +bit 798 O 1 IO_D19 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_D21 +bit 795 O 1 IO_D21 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_D22 +bit 792 O 1 IO_D22 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_E18 +bit 789 O 1 IO_E18 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_F18 +bit 786 O 1 IO_F18 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_E19 +bit 783 O 1 IO_E19 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_E20 +bit 780 O 1 IO_E20 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_E21 +bit 777 O 1 IO_E21 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_E22 +bit 774 O 1 IO_E22 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_G17 +bit 771 O 1 IO_G17 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_G18 +bit 768 O 1 IO_G18 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_F19 +bit 765 O 1 IO_F19 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_G19 +bit 762 O 1 IO_G19 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_F20 +bit 759 O 1 IO_F20 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_F21 +bit 756 O 1 IO_F21 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_G21 +bit 753 O 1 IO_G21 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_G22 +bit 750 O 1 IO_G22 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_K19 +bit 747 O 1 IO_K19 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_K20 +bit 744 O 1 IO_K20 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_K21 +bit 741 O 1 IO_K21 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_K22 +bit 738 O 1 IO_K22 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_L17 +bit 735 O 1 IO_L17 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_L18 +bit 732 O 1 IO_L18 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_L19 +bit 729 O 1 IO_L19 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_L20 +bit 726 O 1 IO_L20 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_L21 +bit 723 O 1 IO_L21 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_L22 +bit 720 O 1 IO_L22 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_M22 +bit 717 O 1 IO_M22 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_M21 +bit 714 O 1 IO_M21 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_M20 +bit 711 O 1 IO_M20 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_M19 +bit 708 O 1 IO_M19 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_M18 +bit 705 O 1 IO_M18 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_M17 +bit 702 O 1 IO_M17 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_N22 +bit 699 O 1 IO_N22 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_N21 +bit 696 O 1 IO_N21 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_N20 +bit 693 O 1 IO_N20 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_N19 +bit 690 O 1 IO_N19 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_T22 +bit 687 O 1 IO_T22 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_T21 +bit 684 O 1 IO_T21 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_R18 +bit 681 O 1 IO_R18 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_T18 +bit 678 O 1 IO_T18 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_U21 +bit 675 O 1 IO_U21 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_U20 +bit 672 O 1 IO_U20 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_T17 +bit 669 O 1 IO_T17 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_U18 +bit 666 O 1 IO_U18 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_V22 +bit 663 O 1 IO_V22 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_V21 +bit 660 O 1 IO_V21 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_U19 +bit 657 O 1 IO_U19 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_V20 +bit 654 O 1 IO_V20 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_W21 +bit 651 O 1 IO_W21 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_W20 +bit 648 O 1 IO_W20 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_V19 +bit 645 O 1 IO_V19 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_W19 +bit 642 O 1 IO_W19 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_W22 +bit 639 O 1 IO_W22 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_Y22 +bit 636 O 1 IO_Y22 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_Y21 +bit 633 O 1 IO_Y21 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 I 1 IO_Y20 +bit 627 O 1 IO_Y20 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_Y19 +bit 624 O 1 IO_Y19 623 1 Z +bit 623 C 1 * +bit 622 I 1 CCLK_AA22 +bit 621 O 1 CCLK_AA22 620 1 Z +bit 620 C 1 * +bit 619 I 1 DONE_AB21 +bit 618 O 1 DONE_AB21 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_V18 +bit 615 O 1 IO_V18 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_AA20 +bit 612 O 1 IO_AA20 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_AB20 +bit 609 O 1 IO_AB20 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_W18 +bit 606 O 1 IO_W18 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_Y18 +bit 603 O 1 IO_Y18 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_U17 +bit 600 O 1 IO_U17 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_AA18 +bit 597 O 1 IO_AA18 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_AB18 +bit 594 O 1 IO_AB18 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_V17 +bit 591 O 1 IO_V17 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_W17 +bit 588 O 1 IO_W17 587 1 Z +bit 587 C 1 * +bit 586 I 1 IO_U16 +bit 585 O 1 IO_U16 584 1 Z +bit 584 C 1 * +bit 583 I 1 IO_Y17 +bit 582 O 1 IO_Y17 581 1 Z +bit 581 C 1 * +bit 580 I 1 IO_AA17 +bit 579 O 1 IO_AA17 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_V16 +bit 576 O 1 IO_V16 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_W16 +bit 573 O 1 IO_W16 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_Y16 +bit 570 O 1 IO_Y16 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_AA15 +bit 567 O 1 IO_AA15 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_AB15 +bit 564 O 1 IO_AB15 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_U14 +bit 561 O 1 IO_U14 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_V14 +bit 558 O 1 IO_V14 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_W14 +bit 555 O 1 IO_W14 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_AA14 +bit 552 O 1 IO_AA14 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_AB14 +bit 549 O 1 IO_AB14 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_U13 +bit 546 O 1 IO_U13 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_V13 +bit 543 O 1 IO_V13 542 1 Z +bit 542 C 1 * +bit 541 I 1 IO_W13 +bit 540 O 1 IO_W13 539 1 Z +bit 539 C 1 * +bit 538 I 1 IO_Y13 +bit 537 O 1 IO_Y13 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_AA13 +bit 534 O 1 IO_AA13 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_U12 +bit 531 O 1 IO_U12 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_V12 +bit 528 O 1 IO_V12 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_AB13 +bit 525 O 1 IO_AB13 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_W12 +bit 522 O 1 IO_W12 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_Y12 +bit 519 O 1 IO_Y12 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_AA12 +bit 516 O 1 IO_AA12 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_AB12 +bit 513 O 1 IO_AB12 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_AB11 +bit 510 O 1 IO_AB11 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_AA11 +bit 507 O 1 IO_AA11 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_Y11 +bit 504 O 1 IO_Y11 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_W11 +bit 501 O 1 IO_W11 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_V11 +bit 498 O 1 IO_V11 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_U11 +bit 495 O 1 IO_U11 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_AB10 +bit 492 O 1 IO_AB10 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_AA10 +bit 489 O 1 IO_AA10 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_Y10 +bit 486 O 1 IO_Y10 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_W10 +bit 483 O 1 IO_W10 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_V10 +bit 480 O 1 IO_V10 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_AB9 +bit 477 O 1 IO_AB9 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_AA9 +bit 474 O 1 IO_AA9 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_W9 +bit 471 O 1 IO_W9 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_V9 +bit 468 O 1 IO_V9 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_U10 +bit 465 O 1 IO_U10 464 1 Z +bit 464 C 1 * +bit 463 I 1 IO_AB8 +bit 462 O 1 IO_AB8 461 1 Z +bit 461 C 1 * +bit 460 I 1 IO_AA8 +bit 459 O 1 IO_AA8 458 1 Z +bit 458 C 1 * +bit 457 I 1 IO_W8 +bit 456 O 1 IO_W8 455 1 Z +bit 455 C 1 * +bit 454 I 1 IO_V8 +bit 453 O 1 IO_V8 452 1 Z +bit 452 C 1 * +bit 451 I 1 IO_V7 +bit 450 O 1 IO_V7 449 1 Z +bit 449 C 1 * +bit 448 I 1 IO_AA6 +bit 447 O 1 IO_AA6 446 1 Z +bit 446 C 1 * +bit 445 I 1 IO_Y6 +bit 444 O 1 IO_Y6 443 1 Z +bit 443 C 1 * +bit 442 I 1 IO_W6 +bit 441 O 1 IO_W6 440 1 Z +bit 440 C 1 * +bit 439 I 1 IO_V6 +bit 438 O 1 IO_V6 437 1 Z +bit 437 C 1 * +bit 436 I 1 IO_U7 +bit 435 O 1 IO_U7 434 1 Z +bit 434 C 1 * +bit 433 I 1 IO_AB5 +bit 432 O 1 IO_AB5 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_AA5 +bit 429 O 1 IO_AA5 428 1 Z +bit 428 C 1 * +bit 427 I 1 IO_Y5 +bit 426 O 1 IO_Y5 425 1 Z +bit 425 C 1 * +bit 424 I 1 IO_W5 +bit 423 O 1 IO_W5 422 1 Z +bit 422 C 1 * +bit 421 I 1 IO_U6 +bit 420 O 1 IO_U6 419 1 Z +bit 419 C 1 * +bit 418 I 1 IO_AB4 +bit 417 O 1 IO_AB4 416 1 Z +bit 416 C 1 * +bit 415 I 1 IO_AA4 +bit 414 O 1 IO_AA4 413 1 Z +bit 413 C 1 * +bit 412 I 1 IO_Y4 +bit 411 O 1 IO_Y4 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_AA3 +bit 408 O 1 IO_AA3 407 1 Z +bit 407 C 1 * +bit 406 I 1 M2_AB3 +bit 405 I 1 M0_AB2 +bit 404 I 1 M1_AA1 +bit 403 I 1 IO_Y3 +bit 402 O 1 IO_Y3 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_Y2 +bit 399 O 1 IO_Y2 398 1 Z +bit 398 C 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 IO_Y1 +bit 393 O 1 IO_Y1 392 1 Z +bit 392 C 1 * +bit 391 I 1 IO_W4 +bit 390 O 1 IO_W4 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_W3 +bit 387 O 1 IO_W3 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_W2 +bit 384 O 1 IO_W2 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_W1 +bit 381 O 1 IO_W1 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_V5 +bit 378 O 1 IO_V5 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_U5 +bit 375 O 1 IO_U5 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_V4 +bit 372 O 1 IO_V4 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_V3 +bit 369 O 1 IO_V3 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_V2 +bit 366 O 1 IO_V2 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_V1 +bit 363 O 1 IO_V1 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_T6 +bit 360 O 1 IO_T6 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_T5 +bit 357 O 1 IO_T5 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_U4 +bit 354 O 1 IO_U4 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_T4 +bit 351 O 1 IO_T4 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_U3 +bit 348 O 1 IO_U3 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_U2 +bit 345 O 1 IO_U2 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_T2 +bit 342 O 1 IO_T2 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_T1 +bit 339 O 1 IO_T1 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_N4 +bit 336 O 1 IO_N4 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_N3 +bit 333 O 1 IO_N3 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_N2 +bit 330 O 1 IO_N2 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_N1 +bit 327 O 1 IO_N1 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_M6 +bit 324 O 1 IO_M6 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_M5 +bit 321 O 1 IO_M5 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_M4 +bit 318 O 1 IO_M4 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_M3 +bit 315 O 1 IO_M3 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_M2 +bit 312 O 1 IO_M2 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_M1 +bit 309 O 1 IO_M1 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_L1 +bit 306 O 1 IO_L1 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_L2 +bit 303 O 1 IO_L2 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_L3 +bit 300 O 1 IO_L3 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_L4 +bit 297 O 1 IO_L4 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_L5 +bit 294 O 1 IO_L5 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_L6 +bit 291 O 1 IO_L6 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_K1 +bit 288 O 1 IO_K1 287 1 Z +bit 287 C 1 * +bit 286 I 1 IO_K2 +bit 285 O 1 IO_K2 284 1 Z +bit 284 C 1 * +bit 283 I 1 IO_K3 +bit 282 O 1 IO_K3 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_K4 +bit 279 O 1 IO_K4 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_G1 +bit 276 O 1 IO_G1 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_G2 +bit 273 O 1 IO_G2 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_H5 +bit 270 O 1 IO_H5 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_G5 +bit 267 O 1 IO_G5 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_F2 +bit 264 O 1 IO_F2 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_F3 +bit 261 O 1 IO_F3 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_G6 +bit 258 O 1 IO_G6 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_F5 +bit 255 O 1 IO_F5 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_E1 +bit 252 O 1 IO_E1 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_E2 +bit 249 O 1 IO_E2 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_F4 +bit 246 O 1 IO_F4 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_E3 +bit 243 O 1 IO_E3 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_D3 +bit 240 O 1 IO_D3 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_D2 +bit 237 O 1 IO_D2 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_E4 +bit 234 O 1 IO_E4 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_D4 +bit 231 O 1 IO_D4 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_D1 +bit 228 O 1 IO_D1 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_C1 +bit 225 O 1 IO_C1 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_C2 +bit 222 O 1 IO_C2 221 1 Z +bit 221 C 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_C3 +bit 216 O 1 IO_C3 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_C4 +bit 213 O 1 IO_C4 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 I 1 HSWAP_EN_B3 +bit 209 I 1 IO_A3 +bit 208 O 1 IO_A3 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_B4 +bit 205 O 1 IO_B4 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_A4 +bit 202 O 1 IO_A4 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_D5 +bit 199 O 1 IO_D5 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_C5 +bit 196 O 1 IO_C5 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_F6 +bit 193 O 1 IO_F6 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_B5 +bit 190 O 1 IO_B5 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_A5 +bit 187 O 1 IO_A5 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_E6 +bit 184 O 1 IO_E6 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D6 +bit 181 O 1 IO_D6 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_F7 +bit 178 O 1 IO_F7 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_C6 +bit 175 O 1 IO_C6 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_B6 +bit 172 O 1 IO_B6 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_E7 +bit 169 O 1 IO_E7 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_D7 +bit 166 O 1 IO_D7 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C7 +bit 163 O 1 IO_C7 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_B8 +bit 160 O 1 IO_B8 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_A8 +bit 157 O 1 IO_A8 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_F9 +bit 154 O 1 IO_F9 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_E9 +bit 151 O 1 IO_E9 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_D9 +bit 148 O 1 IO_D9 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_B9 +bit 145 O 1 IO_B9 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_A9 +bit 142 O 1 IO_A9 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_F10 +bit 139 O 1 IO_F10 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_E10 +bit 136 O 1 IO_E10 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_D10 +bit 133 O 1 IO_D10 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_C10 +bit 130 O 1 IO_C10 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_B10 +bit 127 O 1 IO_B10 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_F11 +bit 124 O 1 IO_F11 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_E11 +bit 121 O 1 IO_E11 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_A10 +bit 118 O 1 IO_A10 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_D11 +bit 115 O 1 IO_D11 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_C11 +bit 112 O 1 IO_C11 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_B11 +bit 109 O 1 IO_B11 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_A11 +bit 106 O 1 IO_A11 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_A12 +bit 103 O 1 IO_A12 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_B12 +bit 100 O 1 IO_B12 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_C12 +bit 97 O 1 IO_C12 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_D12 +bit 94 O 1 IO_D12 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_E12 +bit 91 O 1 IO_E12 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_F12 +bit 88 O 1 IO_F12 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_A13 +bit 85 O 1 IO_A13 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_B13 +bit 82 O 1 IO_B13 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_C13 +bit 79 O 1 IO_C13 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_D13 +bit 76 O 1 IO_D13 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_E13 +bit 73 O 1 IO_E13 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_A14 +bit 70 O 1 IO_A14 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B14 +bit 67 O 1 IO_B14 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_D14 +bit 64 O 1 IO_D14 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_E14 +bit 61 O 1 IO_E14 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_F13 +bit 58 O 1 IO_F13 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_B15 +bit 55 O 1 IO_B15 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_A15 +bit 52 O 1 IO_A15 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_D15 +bit 49 O 1 IO_D15 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_E15 +bit 46 O 1 IO_E15 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_E16 +bit 43 O 1 IO_E16 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_B17 +bit 40 O 1 IO_B17 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_C17 +bit 37 O 1 IO_C17 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_D17 +bit 34 O 1 IO_D17 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_E17 +bit 31 O 1 IO_E17 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_F16 +bit 28 O 1 IO_F16 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_A18 +bit 25 O 1 IO_A18 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_B18 +bit 22 O 1 IO_B18 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_C18 +bit 19 O 1 IO_C18 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_D18 +bit 16 O 1 IO_D18 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_F17 +bit 13 O 1 IO_F17 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_A19 +bit 10 O 1 IO_A19 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_B19 +bit 7 O 1 IO_B19 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_C19 +bit 4 O 1 IO_C19 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B20 +bit 1 O 1 IO_B20 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_ft256 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_ft256 new file mode 100644 index 0000000..5d3d136 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_ft256 @@ -0,0 +1,1082 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal CCLK_T15 +signal DONE_R14 +signal HSWAP_EN_C4 +signal M0_P3 +signal M1_T2 +signal M2_P4 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_B1 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B16 +signal IO_C1 +signal IO_C2 +signal IO_C3 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C15 +signal IO_C16 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D12 +signal IO_D14 +signal IO_D15 +signal IO_D16 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E6 +signal IO_E7 +signal IO_E10 +signal IO_E11 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_H1 +signal IO_H3 +signal IO_H4 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_J1 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J13 +signal IO_J14 +signal IO_J16 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M6 +signal IO_M7 +signal IO_M10 +signal IO_M11 +signal IO_M13 +signal IO_M14 +signal IO_M15 +signal IO_M16 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N11 +signal IO_N12 +signal IO_N14 +signal IO_N15 +signal IO_N16 +signal IO_P1 +signal IO_P2 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P16 +signal IO_R1 +signal IO_R3 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R12 +signal IO_R13 +signal IO_R16 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T12 +signal IO_T13 + +register BSR 815 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 814 I 1 IO_B16 +bit 813 O 1 IO_B16 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_C16 +bit 810 O 1 IO_C16 809 1 Z +bit 809 C 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 I 1 IO_C15 +bit 801 O 1 IO_C15 800 1 Z +bit 800 C 1 * +bit 799 I 1 IO_D14 +bit 798 O 1 IO_D14 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_D15 +bit 795 O 1 IO_D15 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_D16 +bit 792 O 1 IO_D16 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_E13 +bit 789 O 1 IO_E13 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_E14 +bit 786 O 1 IO_E14 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_E15 +bit 783 O 1 IO_E15 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_E16 +bit 780 O 1 IO_E16 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_F12 +bit 777 O 1 IO_F12 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_F13 +bit 774 O 1 IO_F13 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_F14 +bit 771 O 1 IO_F14 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_F15 +bit 768 O 1 IO_F15 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_G12 +bit 765 O 1 IO_G12 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_G13 +bit 762 O 1 IO_G13 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_G14 +bit 759 O 1 IO_G14 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_G15 +bit 756 O 1 IO_G15 755 1 Z +bit 755 C 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 I 1 IO_G16 +bit 732 O 1 IO_G16 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_H13 +bit 729 O 1 IO_H13 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_H14 +bit 726 O 1 IO_H14 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_H15 +bit 723 O 1 IO_H15 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_H16 +bit 720 O 1 IO_H16 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_J16 +bit 717 O 1 IO_J16 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_K16 +bit 714 O 1 IO_K16 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_J14 +bit 711 O 1 IO_J14 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_J13 +bit 708 O 1 IO_J13 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_K15 +bit 705 O 1 IO_K15 704 1 Z +bit 704 C 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 O 1 * +bit 699 O 1 * +bit 698 O 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 O 1 * +bit 690 O 1 * +bit 689 O 1 * +bit 688 O 1 * +bit 687 O 1 * +bit 686 O 1 * +bit 685 O 1 * +bit 684 O 1 * +bit 683 O 1 * +bit 682 I 1 IO_K14 +bit 681 O 1 IO_K14 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_K13 +bit 678 O 1 IO_K13 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_K12 +bit 675 O 1 IO_K12 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_L12 +bit 672 O 1 IO_L12 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_L15 +bit 669 O 1 IO_L15 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_L14 +bit 666 O 1 IO_L14 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_L13 +bit 663 O 1 IO_L13 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_M13 +bit 660 O 1 IO_M13 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_M16 +bit 657 O 1 IO_M16 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_M15 +bit 654 O 1 IO_M15 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_M14 +bit 651 O 1 IO_M14 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_N14 +bit 648 O 1 IO_N14 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_N16 +bit 645 O 1 IO_N16 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_N15 +bit 642 O 1 IO_N15 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_P15 +bit 639 O 1 IO_P15 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_P14 +bit 636 O 1 IO_P14 635 1 Z +bit 635 C 1 * +bit 634 O 1 * +bit 633 O 1 * +bit 632 O 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 I 1 IO_P16 +bit 627 O 1 IO_P16 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_R16 +bit 624 O 1 IO_R16 623 1 Z +bit 623 C 1 * +bit 622 I 1 CCLK_T15 +bit 621 O 1 CCLK_T15 620 1 Z +bit 620 C 1 * +bit 619 I 1 DONE_R14 +bit 618 O 1 DONE_R14 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_P13 +bit 615 O 1 IO_P13 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_R13 +bit 612 O 1 IO_R13 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_T13 +bit 609 O 1 IO_T13 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 O 1 * +bit 600 O 1 * +bit 599 O 1 * +bit 598 O 1 * +bit 597 O 1 * +bit 596 O 1 * +bit 595 O 1 * +bit 594 O 1 * +bit 593 O 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 I 1 IO_T14 +bit 576 O 1 IO_T14 575 1 Z +bit 575 C 1 * +bit 574 O 1 * +bit 573 O 1 * +bit 572 O 1 * +bit 571 I 1 IO_N12 +bit 570 O 1 IO_N12 569 1 Z +bit 569 C 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 I 1 IO_P12 +bit 561 O 1 IO_P12 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_R12 +bit 558 O 1 IO_R12 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_T12 +bit 555 O 1 IO_T12 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_M11 +bit 552 O 1 IO_M11 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_N11 +bit 549 O 1 IO_N11 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_P11 +bit 546 O 1 IO_P11 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_R11 +bit 543 O 1 IO_R11 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 I 1 IO_M10 +bit 537 O 1 IO_M10 536 1 Z +bit 536 C 1 * +bit 535 I 1 IO_N10 +bit 534 O 1 IO_N10 533 1 Z +bit 533 C 1 * +bit 532 I 1 IO_P10 +bit 531 O 1 IO_P10 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_R10 +bit 528 O 1 IO_R10 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_T10 +bit 525 O 1 IO_T10 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_N9 +bit 522 O 1 IO_N9 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_P9 +bit 519 O 1 IO_P9 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_R9 +bit 516 O 1 IO_R9 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_T9 +bit 513 O 1 IO_T9 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_T8 +bit 510 O 1 IO_T8 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_P8 +bit 507 O 1 IO_P8 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_N8 +bit 504 O 1 IO_N8 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_T7 +bit 501 O 1 IO_T7 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_R7 +bit 498 O 1 IO_R7 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_P7 +bit 495 O 1 IO_P7 494 1 Z +bit 494 C 1 * +bit 493 I 1 IO_N7 +bit 492 O 1 IO_N7 491 1 Z +bit 491 C 1 * +bit 490 I 1 IO_M7 +bit 489 O 1 IO_M7 488 1 Z +bit 488 C 1 * +bit 487 I 1 IO_R6 +bit 486 O 1 IO_R6 485 1 Z +bit 485 C 1 * +bit 484 I 1 IO_P6 +bit 483 O 1 IO_P6 482 1 Z +bit 482 C 1 * +bit 481 I 1 IO_N5 +bit 480 O 1 IO_N5 479 1 Z +bit 479 C 1 * +bit 478 I 1 IO_N6 +bit 477 O 1 IO_N6 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_M6 +bit 474 O 1 IO_M6 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_R5 +bit 471 O 1 IO_R5 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_P5 +bit 468 O 1 IO_P5 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_T5 +bit 465 O 1 IO_T5 464 1 Z +bit 464 C 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 O 1 * +bit 451 O 1 * +bit 450 O 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 I 1 IO_T4 +bit 432 O 1 IO_T4 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_R4 +bit 429 O 1 IO_R4 428 1 Z +bit 428 C 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 I 1 IO_T3 +bit 411 O 1 IO_T3 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_R3 +bit 408 O 1 IO_R3 407 1 Z +bit 407 C 1 * +bit 406 I 1 M2_P4 +bit 405 I 1 M0_P3 +bit 404 I 1 M1_T2 +bit 403 I 1 IO_R1 +bit 402 O 1 IO_R1 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_P1 +bit 399 O 1 IO_P1 398 1 Z +bit 398 C 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 I 1 IO_P2 +bit 390 O 1 IO_P2 389 1 Z +bit 389 C 1 * +bit 388 I 1 IO_N3 +bit 387 O 1 IO_N3 386 1 Z +bit 386 C 1 * +bit 385 I 1 IO_N2 +bit 384 O 1 IO_N2 383 1 Z +bit 383 C 1 * +bit 382 I 1 IO_N1 +bit 381 O 1 IO_N1 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_M4 +bit 378 O 1 IO_M4 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_M3 +bit 375 O 1 IO_M3 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_M2 +bit 372 O 1 IO_M2 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_M1 +bit 369 O 1 IO_M1 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_L5 +bit 366 O 1 IO_L5 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_L4 +bit 363 O 1 IO_L4 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_L3 +bit 360 O 1 IO_L3 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_L2 +bit 357 O 1 IO_L2 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_K5 +bit 354 O 1 IO_K5 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_K4 +bit 351 O 1 IO_K4 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_K3 +bit 348 O 1 IO_K3 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_K2 +bit 345 O 1 IO_K2 344 1 Z +bit 344 C 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 I 1 IO_K1 +bit 321 O 1 IO_K1 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_J4 +bit 318 O 1 IO_J4 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_J3 +bit 315 O 1 IO_J3 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_J2 +bit 312 O 1 IO_J2 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_J1 +bit 309 O 1 IO_J1 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_H1 +bit 306 O 1 IO_H1 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_G1 +bit 303 O 1 IO_G1 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_H3 +bit 300 O 1 IO_H3 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_H4 +bit 297 O 1 IO_H4 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_G2 +bit 294 O 1 IO_G2 293 1 Z +bit 293 C 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 I 1 IO_G3 +bit 270 O 1 IO_G3 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_G4 +bit 267 O 1 IO_G4 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_G5 +bit 264 O 1 IO_G5 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_F5 +bit 261 O 1 IO_F5 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_F2 +bit 258 O 1 IO_F2 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_F3 +bit 255 O 1 IO_F3 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_F4 +bit 252 O 1 IO_F4 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_E4 +bit 249 O 1 IO_E4 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_E1 +bit 246 O 1 IO_E1 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_E2 +bit 243 O 1 IO_E2 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_E3 +bit 240 O 1 IO_E3 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_D3 +bit 237 O 1 IO_D3 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_D1 +bit 234 O 1 IO_D1 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_D2 +bit 231 O 1 IO_D2 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_C2 +bit 228 O 1 IO_C2 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_C3 +bit 225 O 1 IO_C3 224 1 Z +bit 224 C 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_C1 +bit 216 O 1 IO_C1 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_B1 +bit 213 O 1 IO_B1 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 I 1 HSWAP_EN_C4 +bit 209 I 1 IO_A3 +bit 208 O 1 IO_A3 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_B4 +bit 205 O 1 IO_B4 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_A4 +bit 202 O 1 IO_A4 201 1 Z +bit 201 C 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 O 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 O 1 * +bit 184 O 1 * +bit 183 O 1 * +bit 182 O 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 I 1 IO_D5 +bit 163 O 1 IO_D5 162 1 Z +bit 162 C 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 I 1 IO_C5 +bit 154 O 1 IO_C5 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_B5 +bit 151 O 1 IO_B5 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_A5 +bit 148 O 1 IO_A5 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_E6 +bit 145 O 1 IO_E6 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_D6 +bit 142 O 1 IO_D6 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_C6 +bit 139 O 1 IO_C6 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_B6 +bit 136 O 1 IO_B6 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_E7 +bit 130 O 1 IO_E7 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_D7 +bit 127 O 1 IO_D7 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_C7 +bit 124 O 1 IO_C7 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_B7 +bit 121 O 1 IO_B7 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_A7 +bit 118 O 1 IO_A7 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_D8 +bit 115 O 1 IO_D8 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_C8 +bit 112 O 1 IO_C8 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_B8 +bit 109 O 1 IO_B8 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_A8 +bit 106 O 1 IO_A8 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_A9 +bit 103 O 1 IO_A9 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_C9 +bit 100 O 1 IO_C9 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_D9 +bit 97 O 1 IO_D9 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_A10 +bit 94 O 1 IO_A10 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_B10 +bit 91 O 1 IO_B10 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_C10 +bit 88 O 1 IO_C10 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_D10 +bit 85 O 1 IO_D10 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_E10 +bit 82 O 1 IO_E10 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_B11 +bit 79 O 1 IO_B11 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_C11 +bit 76 O 1 IO_C11 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_D12 +bit 73 O 1 IO_D12 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_D11 +bit 70 O 1 IO_D11 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_E11 +bit 67 O 1 IO_E11 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_B12 +bit 64 O 1 IO_B12 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_C12 +bit 61 O 1 IO_C12 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_A12 +bit 58 O 1 IO_A12 57 1 Z +bit 57 C 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 I 1 IO_A13 +bit 25 O 1 IO_A13 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_B13 +bit 22 O 1 IO_B13 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_A14 +bit 4 O 1 IO_A14 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B14 +bit 1 O 1 IO_B14 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_pq208 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_pq208 new file mode 100644 index 0000000..d962d83 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_pq208 @@ -0,0 +1,1034 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal CCLK_P104 +signal DONE_P103 +signal HSWAP_EN_P206 +signal M0_P55 +signal M1_P54 +signal M2_P56 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO01 +signal VCCO02 +signal VCCO11 +signal VCCO12 +signal VCCO21 +signal VCCO22 +signal VCCO31 +signal VCCO32 +signal VCCO41 +signal VCCO42 +signal VCCO51 +signal VCCO52 +signal VCCO61 +signal VCCO62 +signal VCCO71 +signal VCCO72 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P15 +signal IO_P16 +signal IO_P18 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P24 +signal IO_P26 +signal IO_P27 +signal IO_P28 +signal IO_P29 +signal IO_P31 +signal IO_P33 +signal IO_P34 +signal IO_P35 +signal IO_P36 +signal IO_P37 +signal IO_P39 +signal IO_P40 +signal IO_P42 +signal IO_P43 +signal IO_P44 +signal IO_P45 +signal IO_P46 +signal IO_P48 +signal IO_P50 +signal IO_P51 +signal IO_P52 +signal IO_P57 +signal IO_P58 +signal IO_P61 +signal IO_P62 +signal IO_P63 +signal IO_P64 +signal IO_P65 +signal IO_P67 +signal IO_P68 +signal IO_P71 +signal IO_P72 +signal IO_P74 +signal IO_P76 +signal IO_P77 +signal IO_P78 +signal IO_P79 +signal IO_P80 +signal IO_P81 +signal IO_P83 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P90 +signal IO_P92 +signal IO_P93 +signal IO_P94 +signal IO_P95 +signal IO_P96 +signal IO_P97 +signal IO_P100 +signal IO_P101 +signal IO_P102 +signal IO_P106 +signal IO_P107 +signal IO_P108 +signal IO_P109 +signal IO_P111 +signal IO_P113 +signal IO_P114 +signal IO_P115 +signal IO_P116 +signal IO_P117 +signal IO_P119 +signal IO_P120 +signal IO_P122 +signal IO_P123 +signal IO_P124 +signal IO_P125 +signal IO_P126 +signal IO_P128 +signal IO_P130 +signal IO_P131 +signal IO_P132 +signal IO_P133 +signal IO_P135 +signal IO_P137 +signal IO_P138 +signal IO_P139 +signal IO_P140 +signal IO_P141 +signal IO_P143 +signal IO_P144 +signal IO_P146 +signal IO_P147 +signal IO_P148 +signal IO_P149 +signal IO_P150 +signal IO_P152 +signal IO_P154 +signal IO_P155 +signal IO_P156 +signal IO_P161 +signal IO_P162 +signal IO_P165 +signal IO_P166 +signal IO_P167 +signal IO_P168 +signal IO_P169 +signal IO_P171 +signal IO_P172 +signal IO_P175 +signal IO_P176 +signal IO_P178 +signal IO_P180 +signal IO_P181 +signal IO_P182 +signal IO_P183 +signal IO_P184 +signal IO_P185 +signal IO_P187 +signal IO_P189 +signal IO_P190 +signal IO_P191 +signal IO_P194 +signal IO_P196 +signal IO_P197 +signal IO_P198 +signal IO_P199 +signal IO_P200 +signal IO_P203 +signal IO_P204 + +register BSR 815 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 814 I 1 IO_P156 +bit 813 O 1 IO_P156 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_P155 +bit 810 O 1 IO_P155 809 1 Z +bit 809 C 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 I 1 IO_P154 +bit 792 O 1 IO_P154 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_P152 +bit 789 O 1 IO_P152 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_P150 +bit 786 O 1 IO_P150 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_P149 +bit 783 O 1 IO_P149 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_P148 +bit 780 O 1 IO_P148 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_P147 +bit 777 O 1 IO_P147 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_P146 +bit 774 O 1 IO_P146 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_P144 +bit 771 O 1 IO_P144 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_P143 +bit 768 O 1 IO_P143 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_P141 +bit 765 O 1 IO_P141 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_P140 +bit 762 O 1 IO_P140 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_P139 +bit 759 O 1 IO_P139 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_P138 +bit 756 O 1 IO_P138 755 1 Z +bit 755 C 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 I 1 IO_P137 +bit 729 O 1 IO_P137 728 1 Z +bit 728 C 1 * +bit 727 I 1 IO_P135 +bit 726 O 1 IO_P135 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_P133 +bit 723 O 1 IO_P133 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_P132 +bit 720 O 1 IO_P132 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_P131 +bit 717 O 1 IO_P131 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_P130 +bit 714 O 1 IO_P130 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_P128 +bit 711 O 1 IO_P128 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_P126 +bit 708 O 1 IO_P126 707 1 Z +bit 707 C 1 * +bit 706 O 1 * +bit 705 O 1 * +bit 704 O 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 O 1 * +bit 699 O 1 * +bit 698 O 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 O 1 * +bit 690 O 1 * +bit 689 O 1 * +bit 688 O 1 * +bit 687 O 1 * +bit 686 O 1 * +bit 685 O 1 * +bit 684 O 1 * +bit 683 O 1 * +bit 682 I 1 IO_P125 +bit 681 O 1 IO_P125 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_P124 +bit 678 O 1 IO_P124 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_P123 +bit 675 O 1 IO_P123 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_P122 +bit 672 O 1 IO_P122 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_P120 +bit 669 O 1 IO_P120 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_P119 +bit 666 O 1 IO_P119 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_P117 +bit 663 O 1 IO_P117 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_P116 +bit 660 O 1 IO_P116 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_P115 +bit 657 O 1 IO_P115 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_P114 +bit 654 O 1 IO_P114 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_P113 +bit 651 O 1 IO_P113 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_P111 +bit 648 O 1 IO_P111 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_P109 +bit 645 O 1 IO_P109 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_P108 +bit 642 O 1 IO_P108 641 1 Z +bit 641 C 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 O 1 * +bit 636 O 1 * +bit 635 O 1 * +bit 634 O 1 * +bit 633 O 1 * +bit 632 O 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 I 1 IO_P107 +bit 627 O 1 IO_P107 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_P106 +bit 624 O 1 IO_P106 623 1 Z +bit 623 C 1 * +bit 622 I 1 CCLK_P104 +bit 621 O 1 CCLK_P104 620 1 Z +bit 620 C 1 * +bit 619 I 1 DONE_P103 +bit 618 O 1 DONE_P103 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_P102 +bit 615 O 1 IO_P102 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_P101 +bit 612 O 1 IO_P101 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_P100 +bit 609 O 1 IO_P100 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 O 1 * +bit 600 O 1 * +bit 599 O 1 * +bit 598 O 1 * +bit 597 O 1 * +bit 596 O 1 * +bit 595 O 1 * +bit 594 O 1 * +bit 593 O 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 I 1 IO_P97 +bit 576 O 1 IO_P97 575 1 Z +bit 575 C 1 * +bit 574 O 1 * +bit 573 O 1 * +bit 572 O 1 * +bit 571 I 1 IO_P96 +bit 570 O 1 IO_P96 569 1 Z +bit 569 C 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 I 1 IO_P95 +bit 561 O 1 IO_P95 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_P94 +bit 558 O 1 IO_P94 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_P93 +bit 555 O 1 IO_P93 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_P92 +bit 552 O 1 IO_P92 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_P90 +bit 549 O 1 IO_P90 548 1 Z +bit 548 C 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 I 1 IO_P87 +bit 531 O 1 IO_P87 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_P86 +bit 528 O 1 IO_P86 527 1 Z +bit 527 C 1 * +bit 526 I 1 IO_P85 +bit 525 O 1 IO_P85 524 1 Z +bit 524 C 1 * +bit 523 I 1 IO_P83 +bit 522 O 1 IO_P83 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_P81 +bit 519 O 1 IO_P81 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_P80 +bit 516 O 1 IO_P80 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_P79 +bit 513 O 1 IO_P79 512 1 Z +bit 512 C 1 * +bit 511 I 1 IO_P78 +bit 510 O 1 IO_P78 509 1 Z +bit 509 C 1 * +bit 508 I 1 IO_P77 +bit 507 O 1 IO_P77 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_P76 +bit 504 O 1 IO_P76 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_P74 +bit 501 O 1 IO_P74 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_P72 +bit 498 O 1 IO_P72 497 1 Z +bit 497 C 1 * +bit 496 I 1 IO_P71 +bit 495 O 1 IO_P71 494 1 Z +bit 494 C 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 O 1 * +bit 490 O 1 * +bit 489 O 1 * +bit 488 O 1 * +bit 487 O 1 * +bit 486 O 1 * +bit 485 O 1 * +bit 484 O 1 * +bit 483 O 1 * +bit 482 O 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 O 1 * +bit 478 I 1 IO_P68 +bit 477 O 1 IO_P68 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_P67 +bit 474 O 1 IO_P67 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_P65 +bit 471 O 1 IO_P65 470 1 Z +bit 470 C 1 * +bit 469 I 1 IO_P64 +bit 468 O 1 IO_P64 467 1 Z +bit 467 C 1 * +bit 466 I 1 IO_P63 +bit 465 O 1 IO_P63 464 1 Z +bit 464 C 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 O 1 * +bit 451 O 1 * +bit 450 O 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 I 1 IO_P62 +bit 432 O 1 IO_P62 431 1 Z +bit 431 C 1 * +bit 430 I 1 IO_P61 +bit 429 O 1 IO_P61 428 1 Z +bit 428 C 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 I 1 IO_P58 +bit 411 O 1 IO_P58 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_P57 +bit 408 O 1 IO_P57 407 1 Z +bit 407 C 1 * +bit 406 I 1 M2_P56 +bit 405 I 1 M0_P55 +bit 404 I 1 M1_P54 +bit 403 I 1 IO_P52 +bit 402 O 1 IO_P52 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_P51 +bit 399 O 1 IO_P51 398 1 Z +bit 398 C 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 I 1 IO_P50 +bit 381 O 1 IO_P50 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P48 +bit 378 O 1 IO_P48 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_P46 +bit 375 O 1 IO_P46 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_P45 +bit 372 O 1 IO_P45 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_P44 +bit 369 O 1 IO_P44 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_P43 +bit 366 O 1 IO_P43 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P42 +bit 363 O 1 IO_P42 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P40 +bit 360 O 1 IO_P40 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_P39 +bit 357 O 1 IO_P39 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_P37 +bit 354 O 1 IO_P37 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_P36 +bit 351 O 1 IO_P36 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_P35 +bit 348 O 1 IO_P35 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_P34 +bit 345 O 1 IO_P34 344 1 Z +bit 344 C 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 I 1 IO_P33 +bit 318 O 1 IO_P33 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_P31 +bit 315 O 1 IO_P31 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_P29 +bit 312 O 1 IO_P29 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_P28 +bit 309 O 1 IO_P28 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_P27 +bit 306 O 1 IO_P27 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_P26 +bit 303 O 1 IO_P26 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_P24 +bit 300 O 1 IO_P24 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_P22 +bit 297 O 1 IO_P22 296 1 Z +bit 296 C 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 I 1 IO_P21 +bit 270 O 1 IO_P21 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_P20 +bit 267 O 1 IO_P20 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_P19 +bit 264 O 1 IO_P19 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_P18 +bit 261 O 1 IO_P18 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_P16 +bit 258 O 1 IO_P16 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_P15 +bit 255 O 1 IO_P15 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P13 +bit 252 O 1 IO_P13 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_P12 +bit 249 O 1 IO_P12 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_P11 +bit 246 O 1 IO_P11 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P10 +bit 243 O 1 IO_P10 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_P9 +bit 240 O 1 IO_P9 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_P7 +bit 237 O 1 IO_P7 236 1 Z +bit 236 C 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 O 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 I 1 IO_P5 +bit 228 O 1 IO_P5 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_P4 +bit 225 O 1 IO_P4 224 1 Z +bit 224 C 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_P3 +bit 216 O 1 IO_P3 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_P2 +bit 213 O 1 IO_P2 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 I 1 HSWAP_EN_P206 +bit 209 I 1 IO_P205 +bit 208 O 1 IO_P205 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_P204 +bit 205 O 1 IO_P204 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_P203 +bit 202 O 1 IO_P203 201 1 Z +bit 201 C 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 O 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 O 1 * +bit 184 O 1 * +bit 183 O 1 * +bit 182 O 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 I 1 IO_P200 +bit 163 O 1 IO_P200 162 1 Z +bit 162 C 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 I 1 IO_P199 +bit 154 O 1 IO_P199 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_P198 +bit 151 O 1 IO_P198 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_P197 +bit 148 O 1 IO_P197 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_P196 +bit 145 O 1 IO_P196 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_P194 +bit 142 O 1 IO_P194 141 1 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 I 1 IO_P191 +bit 124 O 1 IO_P191 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_P190 +bit 121 O 1 IO_P190 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_P189 +bit 118 O 1 IO_P189 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_P187 +bit 115 O 1 IO_P187 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_P185 +bit 112 O 1 IO_P185 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_P184 +bit 109 O 1 IO_P184 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_P183 +bit 106 O 1 IO_P183 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_P182 +bit 103 O 1 IO_P182 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_P181 +bit 100 O 1 IO_P181 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_P180 +bit 97 O 1 IO_P180 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_P178 +bit 94 O 1 IO_P178 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_P176 +bit 91 O 1 IO_P176 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_P175 +bit 88 O 1 IO_P175 87 1 Z +bit 87 C 1 * +bit 86 O 1 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 O 1 * +bit 82 O 1 * +bit 81 O 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_P172 +bit 70 O 1 IO_P172 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P171 +bit 67 O 1 IO_P171 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P169 +bit 64 O 1 IO_P169 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_P168 +bit 61 O 1 IO_P168 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_P167 +bit 58 O 1 IO_P167 57 1 Z +bit 57 C 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 I 1 IO_P166 +bit 25 O 1 IO_P166 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_P165 +bit 22 O 1 IO_P165 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_P162 +bit 4 O 1 IO_P162 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P161 +bit 1 O 1 IO_P161 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_tq144 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_tq144 new file mode 100644 index 0000000..eb2ad81 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s400/xc3s400_tq144 @@ -0,0 +1,970 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal CCLK_P72 +signal DONE_P71 +signal HSWAP_EN_P142 +signal M0_P38 +signal M1_P37 +signal M2_P39 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO231 +signal VCCO232 +signal VCCO233 +signal VCCO451 +signal VCCO452 +signal VCCO453 +signal VCCO671 +signal VCCO672 +signal VCCO673 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P17 +signal IO_P18 +signal IO_P20 +signal IO_P21 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_P27 +signal IO_P28 +signal IO_P30 +signal IO_P31 +signal IO_P32 +signal IO_P33 +signal IO_P35 +signal IO_P36 +signal IO_P40 +signal IO_P41 +signal IO_P44 +signal IO_P46 +signal IO_P47 +signal IO_P50 +signal IO_P51 +signal IO_P52 +signal IO_P53 +signal IO_P55 +signal IO_P56 +signal IO_P57 +signal IO_P58 +signal IO_P59 +signal IO_P60 +signal IO_P63 +signal IO_P65 +signal IO_P68 +signal IO_P69 +signal IO_P70 +signal IO_P73 +signal IO_P74 +signal IO_P76 +signal IO_P77 +signal IO_P78 +signal IO_P79 +signal IO_P80 +signal IO_P82 +signal IO_P83 +signal IO_P84 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P89 +signal IO_P90 +signal IO_P92 +signal IO_P93 +signal IO_P95 +signal IO_P96 +signal IO_P97 +signal IO_P98 +signal IO_P99 +signal IO_P100 +signal IO_P102 +signal IO_P103 +signal IO_P104 +signal IO_P105 +signal IO_P107 +signal IO_P108 +signal IO_P112 +signal IO_P113 +signal IO_P116 +signal IO_P118 +signal IO_P119 +signal IO_P122 +signal IO_P123 +signal IO_P124 +signal IO_P125 +signal IO_P127 +signal IO_P128 +signal IO_P129 +signal IO_P130 +signal IO_P131 +signal IO_P132 +signal IO_P135 +signal IO_P137 +signal IO_P140 + +register BSR 815 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 814 I 1 IO_P108 +bit 813 O 1 IO_P108 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_P107 +bit 810 O 1 IO_P107 809 1 Z +bit 809 C 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 O 1 * +bit 792 O 1 * +bit 791 O 1 * +bit 790 O 1 * +bit 789 O 1 * +bit 788 O 1 * +bit 787 O 1 * +bit 786 O 1 * +bit 785 O 1 * +bit 784 I 1 IO_P105 +bit 783 O 1 IO_P105 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_P104 +bit 780 O 1 IO_P104 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_P103 +bit 777 O 1 IO_P103 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_P102 +bit 774 O 1 IO_P102 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_P100 +bit 771 O 1 IO_P100 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_P99 +bit 768 O 1 IO_P99 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_P98 +bit 765 O 1 IO_P98 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_P97 +bit 762 O 1 IO_P97 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_P96 +bit 759 O 1 IO_P96 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_P95 +bit 756 O 1 IO_P95 755 1 Z +bit 755 C 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 O 1 * +bit 744 O 1 * +bit 743 O 1 * +bit 742 O 1 * +bit 741 O 1 * +bit 740 O 1 * +bit 739 O 1 * +bit 738 O 1 * +bit 737 O 1 * +bit 736 O 1 * +bit 735 O 1 * +bit 734 O 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 I 1 IO_P93 +bit 723 O 1 IO_P93 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_P92 +bit 720 O 1 IO_P92 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_P90 +bit 717 O 1 IO_P90 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_P89 +bit 714 O 1 IO_P89 713 1 Z +bit 713 C 1 * +bit 712 O 1 * +bit 711 O 1 * +bit 710 O 1 * +bit 709 O 1 * +bit 708 O 1 * +bit 707 O 1 * +bit 706 O 1 * +bit 705 O 1 * +bit 704 O 1 * +bit 703 O 1 * +bit 702 O 1 * +bit 701 O 1 * +bit 700 O 1 * +bit 699 O 1 * +bit 698 O 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 O 1 * +bit 690 O 1 * +bit 689 O 1 * +bit 688 O 1 * +bit 687 O 1 * +bit 686 O 1 * +bit 685 O 1 * +bit 684 O 1 * +bit 683 O 1 * +bit 682 I 1 IO_P87 +bit 681 O 1 IO_P87 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_P86 +bit 678 O 1 IO_P86 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_P85 +bit 675 O 1 IO_P85 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_P84 +bit 672 O 1 IO_P84 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_P83 +bit 669 O 1 IO_P83 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_P82 +bit 666 O 1 IO_P82 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_P80 +bit 663 O 1 IO_P80 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_P79 +bit 660 O 1 IO_P79 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_P78 +bit 657 O 1 IO_P78 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_P77 +bit 654 O 1 IO_P77 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_P76 +bit 651 O 1 IO_P76 650 1 Z +bit 650 C 1 * +bit 649 O 1 * +bit 648 O 1 * +bit 647 O 1 * +bit 646 O 1 * +bit 645 O 1 * +bit 644 O 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 O 1 * +bit 636 O 1 * +bit 635 O 1 * +bit 634 O 1 * +bit 633 O 1 * +bit 632 O 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 I 1 IO_P74 +bit 627 O 1 IO_P74 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_P73 +bit 624 O 1 IO_P73 623 1 Z +bit 623 C 1 * +bit 622 I 1 CCLK_P72 +bit 621 O 1 CCLK_P72 620 1 Z +bit 620 C 1 * +bit 619 I 1 DONE_P71 +bit 618 O 1 DONE_P71 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_P70 +bit 615 O 1 IO_P70 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_P69 +bit 612 O 1 IO_P69 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_P68 +bit 609 O 1 IO_P68 608 1 Z +bit 608 C 1 * +bit 607 O 1 * +bit 606 O 1 * +bit 605 O 1 * +bit 604 O 1 * +bit 603 O 1 * +bit 602 O 1 * +bit 601 O 1 * +bit 600 O 1 * +bit 599 O 1 * +bit 598 O 1 * +bit 597 O 1 * +bit 596 O 1 * +bit 595 O 1 * +bit 594 O 1 * +bit 593 O 1 * +bit 592 O 1 * +bit 591 O 1 * +bit 590 O 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 O 1 * +bit 576 O 1 * +bit 575 O 1 * +bit 574 O 1 * +bit 573 O 1 * +bit 572 O 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 O 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 O 1 * +bit 556 O 1 * +bit 555 O 1 * +bit 554 O 1 * +bit 553 I 1 IO_P65 +bit 552 O 1 IO_P65 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_P63 +bit 549 O 1 IO_P63 548 1 Z +bit 548 C 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 O 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 I 1 IO_P60 +bit 531 O 1 IO_P60 530 1 Z +bit 530 C 1 * +bit 529 I 1 IO_P59 +bit 528 O 1 IO_P59 527 1 Z +bit 527 C 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 I 1 IO_P58 +bit 522 O 1 IO_P58 521 1 Z +bit 521 C 1 * +bit 520 I 1 IO_P57 +bit 519 O 1 IO_P57 518 1 Z +bit 518 C 1 * +bit 517 I 1 IO_P56 +bit 516 O 1 IO_P56 515 1 Z +bit 515 C 1 * +bit 514 I 1 IO_P55 +bit 513 O 1 IO_P55 512 1 Z +bit 512 C 1 * +bit 511 O 1 * +bit 510 O 1 * +bit 509 O 1 * +bit 508 I 1 IO_P53 +bit 507 O 1 IO_P53 506 1 Z +bit 506 C 1 * +bit 505 I 1 IO_P52 +bit 504 O 1 IO_P52 503 1 Z +bit 503 C 1 * +bit 502 I 1 IO_P51 +bit 501 O 1 IO_P51 500 1 Z +bit 500 C 1 * +bit 499 I 1 IO_P50 +bit 498 O 1 IO_P50 497 1 Z +bit 497 C 1 * +bit 496 O 1 * +bit 495 O 1 * +bit 494 O 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 O 1 * +bit 490 O 1 * +bit 489 O 1 * +bit 488 O 1 * +bit 487 O 1 * +bit 486 O 1 * +bit 485 O 1 * +bit 484 O 1 * +bit 483 O 1 * +bit 482 O 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 O 1 * +bit 478 I 1 IO_P47 +bit 477 O 1 IO_P47 476 1 Z +bit 476 C 1 * +bit 475 I 1 IO_P46 +bit 474 O 1 IO_P46 473 1 Z +bit 473 C 1 * +bit 472 I 1 IO_P44 +bit 471 O 1 IO_P44 470 1 Z +bit 470 C 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 O 1 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 O 1 * +bit 451 O 1 * +bit 450 O 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 O 1 * +bit 430 O 1 * +bit 429 O 1 * +bit 428 O 1 * +bit 427 O 1 * +bit 426 O 1 * +bit 425 O 1 * +bit 424 O 1 * +bit 423 O 1 * +bit 422 O 1 * +bit 421 O 1 * +bit 420 O 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 I 1 IO_P41 +bit 411 O 1 IO_P41 410 1 Z +bit 410 C 1 * +bit 409 I 1 IO_P40 +bit 408 O 1 IO_P40 407 1 Z +bit 407 C 1 * +bit 406 I 1 M2_P39 +bit 405 I 1 M0_P38 +bit 404 I 1 M1_P37 +bit 403 I 1 IO_P36 +bit 402 O 1 IO_P36 401 1 Z +bit 401 C 1 * +bit 400 I 1 IO_P35 +bit 399 O 1 IO_P35 398 1 Z +bit 398 C 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 O 1 * +bit 378 O 1 * +bit 377 O 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 I 1 IO_P33 +bit 372 O 1 IO_P33 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_P32 +bit 369 O 1 IO_P32 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_P31 +bit 366 O 1 IO_P31 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P30 +bit 363 O 1 IO_P30 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P28 +bit 360 O 1 IO_P28 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_P27 +bit 357 O 1 IO_P27 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_P26 +bit 354 O 1 IO_P26 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_P25 +bit 351 O 1 IO_P25 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_P24 +bit 348 O 1 IO_P24 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_P23 +bit 345 O 1 IO_P23 344 1 Z +bit 344 C 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 O 1 * +bit 321 O 1 * +bit 320 O 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 I 1 IO_P21 +bit 312 O 1 IO_P21 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_P20 +bit 309 O 1 IO_P20 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_P18 +bit 306 O 1 IO_P18 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_P17 +bit 303 O 1 IO_P17 302 1 Z +bit 302 C 1 * +bit 301 O 1 * +bit 300 O 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 O 1 * +bit 291 O 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 I 1 IO_P15 +bit 270 O 1 IO_P15 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_P14 +bit 267 O 1 IO_P14 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_P13 +bit 264 O 1 IO_P13 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_P12 +bit 261 O 1 IO_P12 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_P11 +bit 258 O 1 IO_P11 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_P10 +bit 255 O 1 IO_P10 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P8 +bit 252 O 1 IO_P8 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_P7 +bit 249 O 1 IO_P7 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_P6 +bit 246 O 1 IO_P6 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P5 +bit 243 O 1 IO_P5 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_P4 +bit 240 O 1 IO_P4 239 1 Z +bit 239 C 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 O 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 O 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 O 1 * +bit 228 O 1 * +bit 227 O 1 * +bit 226 O 1 * +bit 225 O 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_P2 +bit 216 O 1 IO_P2 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_P1 +bit 213 O 1 IO_P1 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 I 1 HSWAP_EN_P142 +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 I 1 IO_P141 +bit 205 O 1 IO_P141 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_P140 +bit 202 O 1 IO_P140 201 1 Z +bit 201 C 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 O 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 O 1 * +bit 184 O 1 * +bit 183 O 1 * +bit 182 O 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 I 1 IO_P137 +bit 145 O 1 IO_P137 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_P135 +bit 142 O 1 IO_P135 141 1 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 O 1 * +bit 135 O 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 I 1 IO_P132 +bit 124 O 1 IO_P132 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_P131 +bit 121 O 1 IO_P131 120 1 Z +bit 120 C 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 I 1 IO_P130 +bit 115 O 1 IO_P130 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_P129 +bit 112 O 1 IO_P129 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_P128 +bit 109 O 1 IO_P128 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_P127 +bit 106 O 1 IO_P127 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 I 1 IO_P125 +bit 100 O 1 IO_P125 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_P124 +bit 97 O 1 IO_P124 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_P123 +bit 94 O 1 IO_P123 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_P122 +bit 91 O 1 IO_P122 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 O 1 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 O 1 * +bit 82 O 1 * +bit 81 O 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_P119 +bit 70 O 1 IO_P119 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P118 +bit 67 O 1 IO_P118 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P116 +bit 64 O 1 IO_P116 63 1 Z +bit 63 C 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_P113 +bit 4 O 1 IO_P113 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P112 +bit 1 O 1 IO_P112 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/STEPPINGS new file mode 100644 index 0000000..3c07cf4 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s4000 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000 new file mode 100644 index 0000000..210ad17 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000 @@ -0,0 +1,3283 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal GND121 +signal GND122 +signal GND123 +signal GND124 +signal GND125 +signal GND126 +signal GND127 +signal GND128 +signal GND129 +signal GND130 +signal GND131 +signal GND132 +signal GND133 +signal GND134 +signal GND135 +signal GND136 +signal GND137 +signal GND138 +signal GND139 +signal GND140 +signal GND141 +signal GND142 +signal GND143 +signal GND144 +signal GND145 +signal GND146 +signal GND147 +signal GND148 +signal GND149 +signal NC1 +signal NC2 +signal NC3 +signal NC4 +signal NC5 +signal CCLK_PAD654 +signal DONE_PAD653 +signal HSWAP_EN_PAD137 +signal M0_PAD382 +signal M1_PAD381 +signal M2_PAD383 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCAUX25 +signal VCCAUX26 +signal VCCAUX27 +signal VCCAUX28 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCINT33 +signal VCCINT34 +signal VCCINT35 +signal VCCINT36 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO011 +signal VCCO012 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO111 +signal VCCO112 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO411 +signal VCCO412 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO511 +signal VCCO512 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD5 +signal IO_PAD7 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD11 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD15 +signal IO_PAD17 +signal IO_PAD19 +signal IO_PAD21 +signal IO_PAD23 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD27 +signal IO_PAD28 +signal IO_PAD29 +signal IO_PAD31 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD37 +signal IO_PAD38 +signal IO_PAD39 +signal IO_PAD41 +signal IO_PAD43 +signal IO_PAD45 +signal IO_PAD46 +signal IO_PAD47 +signal IO_PAD49 +signal IO_PAD50 +signal IO_PAD51 +signal IO_PAD53 +signal IO_PAD55 +signal IO_PAD57 +signal IO_PAD58 +signal IO_PAD59 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD63 +signal IO_PAD65 +signal IO_PAD67 +signal IO_PAD69 +signal IO_PAD70 +signal IO_PAD71 +signal IO_PAD73 +signal IO_PAD74 +signal IO_PAD75 +signal IO_PAD77 +signal IO_PAD79 +signal IO_PAD80 +signal IO_PAD81 +signal IO_PAD83 +signal IO_PAD85 +signal IO_PAD87 +signal IO_PAD89 +signal IO_PAD91 +signal IO_PAD92 +signal IO_PAD93 +signal IO_PAD95 +signal IO_PAD97 +signal IO_PAD98 +signal IO_PAD99 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD103 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD107 +signal IO_PAD109 +signal IO_PAD111 +signal IO_PAD113 +signal IO_PAD115 +signal IO_PAD117 +signal IO_PAD119 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD123 +signal IO_PAD125 +signal IO_PAD127 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD131 +signal IO_PAD133 +signal IO_PAD135 +signal IO_PAD136 +signal IO_PAD141 +signal IO_PAD142 +signal IO_PAD143 +signal IO_PAD145 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD149 +signal IO_PAD150 +signal IO_PAD151 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD157 +signal IO_PAD159 +signal IO_PAD161 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD165 +signal IO_PAD166 +signal IO_PAD167 +signal IO_PAD169 +signal IO_PAD171 +signal IO_PAD172 +signal IO_PAD173 +signal IO_PAD174 +signal IO_PAD175 +signal IO_PAD176 +signal IO_PAD177 +signal IO_PAD179 +signal IO_PAD181 +signal IO_PAD182 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD185 +signal IO_PAD187 +signal IO_PAD188 +signal IO_PAD189 +signal IO_PAD191 +signal IO_PAD192 +signal IO_PAD193 +signal IO_PAD195 +signal IO_PAD196 +signal IO_PAD197 +signal IO_PAD198 +signal IO_PAD199 +signal IO_PAD201 +signal IO_PAD203 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD206 +signal IO_PAD207 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD213 +signal IO_PAD215 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD219 +signal IO_PAD220 +signal IO_PAD221 +signal IO_PAD223 +signal IO_PAD225 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD228 +signal IO_PAD229 +signal IO_PAD231 +signal IO_PAD233 +signal IO_PAD234 +signal IO_PAD235 +signal IO_PAD236 +signal IO_PAD237 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD241 +signal IO_PAD243 +signal IO_PAD245 +signal IO_PAD247 +signal IO_PAD249 +signal IO_PAD250 +signal IO_PAD251 +signal IO_PAD252 +signal IO_PAD253 +signal IO_PAD255 +signal IO_PAD257 +signal IO_PAD258 +signal IO_PAD260 +signal IO_PAD261 +signal IO_PAD263 +signal IO_PAD265 +signal IO_PAD266 +signal IO_PAD267 +signal IO_PAD268 +signal IO_PAD269 +signal IO_PAD271 +signal IO_PAD273 +signal IO_PAD275 +signal IO_PAD277 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD281 +signal IO_PAD282 +signal IO_PAD283 +signal IO_PAD284 +signal IO_PAD285 +signal IO_PAD287 +signal IO_PAD289 +signal IO_PAD290 +signal IO_PAD291 +signal IO_PAD292 +signal IO_PAD293 +signal IO_PAD295 +signal IO_PAD297 +signal IO_PAD298 +signal IO_PAD299 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD303 +signal IO_PAD305 +signal IO_PAD307 +signal IO_PAD308 +signal IO_PAD309 +signal IO_PAD310 +signal IO_PAD311 +signal IO_PAD312 +signal IO_PAD313 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD317 +signal IO_PAD319 +signal IO_PAD320 +signal IO_PAD321 +signal IO_PAD322 +signal IO_PAD323 +signal IO_PAD325 +signal IO_PAD326 +signal IO_PAD327 +signal IO_PAD329 +signal IO_PAD330 +signal IO_PAD331 +signal IO_PAD333 +signal IO_PAD334 +signal IO_PAD335 +signal IO_PAD336 +signal IO_PAD337 +signal IO_PAD339 +signal IO_PAD341 +signal IO_PAD342 +signal IO_PAD343 +signal IO_PAD344 +signal IO_PAD345 +signal IO_PAD346 +signal IO_PAD347 +signal IO_PAD349 +signal IO_PAD351 +signal IO_PAD352 +signal IO_PAD353 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD357 +signal IO_PAD359 +signal IO_PAD361 +signal IO_PAD363 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD367 +signal IO_PAD368 +signal IO_PAD369 +signal IO_PAD371 +signal IO_PAD372 +signal IO_PAD373 +signal IO_PAD375 +signal IO_PAD376 +signal IO_PAD377 +signal IO_PAD384 +signal IO_PAD385 +signal IO_PAD387 +signal IO_PAD389 +signal IO_PAD390 +signal IO_PAD391 +signal IO_PAD393 +signal IO_PAD395 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD399 +signal IO_PAD400 +signal IO_PAD401 +signal IO_PAD403 +signal IO_PAD405 +signal IO_PAD406 +signal IO_PAD407 +signal IO_PAD409 +signal IO_PAD411 +signal IO_PAD413 +signal IO_PAD414 +signal IO_PAD415 +signal IO_PAD416 +signal IO_PAD417 +signal IO_PAD419 +signal IO_PAD420 +signal IO_PAD421 +signal IO_PAD423 +signal IO_PAD425 +signal IO_PAD426 +signal IO_PAD427 +signal IO_PAD429 +signal IO_PAD431 +signal IO_PAD433 +signal IO_PAD435 +signal IO_PAD437 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD441 +signal IO_PAD443 +signal IO_PAD444 +signal IO_PAD445 +signal IO_PAD447 +signal IO_PAD448 +signal IO_PAD449 +signal IO_PAD451 +signal IO_PAD453 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD457 +signal IO_PAD459 +signal IO_PAD460 +signal IO_PAD461 +signal IO_PAD463 +signal IO_PAD465 +signal IO_PAD467 +signal IO_PAD468 +signal IO_PAD469 +signal IO_PAD471 +signal IO_PAD472 +signal IO_PAD473 +signal IO_PAD475 +signal IO_PAD477 +signal IO_PAD479 +signal IO_PAD480 +signal IO_PAD481 +signal IO_PAD483 +signal IO_PAD484 +signal IO_PAD485 +signal IO_PAD487 +signal IO_PAD489 +signal IO_PAD490 +signal IO_PAD491 +signal IO_PAD492 +signal IO_PAD493 +signal IO_PAD495 +signal IO_PAD497 +signal IO_PAD499 +signal IO_PAD501 +signal IO_PAD503 +signal IO_PAD504 +signal IO_PAD505 +signal IO_PAD507 +signal IO_PAD508 +signal IO_PAD509 +signal IO_PAD511 +signal IO_PAD513 +signal IO_PAD514 +signal IO_PAD515 +signal IO_PAD516 +signal IO_PAD518 +signal IO_PAD519 +signal IO_PAD520 +signal IO_PAD521 +signal IO_PAD523 +signal IO_PAD525 +signal IO_PAD526 +signal IO_PAD527 +signal IO_PAD529 +signal IO_PAD530 +signal IO_PAD531 +signal IO_PAD533 +signal IO_PAD535 +signal IO_PAD537 +signal IO_PAD539 +signal IO_PAD541 +signal IO_PAD542 +signal IO_PAD543 +signal IO_PAD544 +signal IO_PAD545 +signal IO_PAD547 +signal IO_PAD549 +signal IO_PAD550 +signal IO_PAD551 +signal IO_PAD553 +signal IO_PAD554 +signal IO_PAD555 +signal IO_PAD557 +signal IO_PAD559 +signal IO_PAD561 +signal IO_PAD562 +signal IO_PAD563 +signal IO_PAD565 +signal IO_PAD566 +signal IO_PAD567 +signal IO_PAD569 +signal IO_PAD571 +signal IO_PAD573 +signal IO_PAD574 +signal IO_PAD575 +signal IO_PAD577 +signal IO_PAD578 +signal IO_PAD579 +signal IO_PAD581 +signal IO_PAD583 +signal IO_PAD585 +signal IO_PAD586 +signal IO_PAD587 +signal IO_PAD589 +signal IO_PAD590 +signal IO_PAD591 +signal IO_PAD593 +signal IO_PAD595 +signal IO_PAD596 +signal IO_PAD597 +signal IO_PAD599 +signal IO_PAD601 +signal IO_PAD603 +signal IO_PAD605 +signal IO_PAD607 +signal IO_PAD608 +signal IO_PAD609 +signal IO_PAD611 +signal IO_PAD613 +signal IO_PAD614 +signal IO_PAD615 +signal IO_PAD617 +signal IO_PAD618 +signal IO_PAD619 +signal IO_PAD620 +signal IO_PAD621 +signal IO_PAD623 +signal IO_PAD625 +signal IO_PAD627 +signal IO_PAD629 +signal IO_PAD631 +signal IO_PAD633 +signal IO_PAD635 +signal IO_PAD636 +signal IO_PAD637 +signal IO_PAD638 +signal IO_PAD639 +signal IO_PAD641 +signal IO_PAD643 +signal IO_PAD645 +signal IO_PAD646 +signal IO_PAD647 +signal IO_PAD649 +signal IO_PAD651 +signal IO_PAD652 +signal IO_PAD657 +signal IO_PAD658 +signal IO_PAD659 +signal IO_PAD661 +signal IO_PAD662 +signal IO_PAD663 +signal IO_PAD665 +signal IO_PAD666 +signal IO_PAD667 +signal IO_PAD669 +signal IO_PAD670 +signal IO_PAD671 +signal IO_PAD673 +signal IO_PAD675 +signal IO_PAD677 +signal IO_PAD679 +signal IO_PAD680 +signal IO_PAD681 +signal IO_PAD682 +signal IO_PAD683 +signal IO_PAD685 +signal IO_PAD687 +signal IO_PAD688 +signal IO_PAD689 +signal IO_PAD690 +signal IO_PAD691 +signal IO_PAD692 +signal IO_PAD693 +signal IO_PAD695 +signal IO_PAD697 +signal IO_PAD698 +signal IO_PAD699 +signal IO_PAD700 +signal IO_PAD701 +signal IO_PAD703 +signal IO_PAD704 +signal IO_PAD705 +signal IO_PAD707 +signal IO_PAD708 +signal IO_PAD709 +signal IO_PAD711 +signal IO_PAD712 +signal IO_PAD713 +signal IO_PAD714 +signal IO_PAD715 +signal IO_PAD717 +signal IO_PAD719 +signal IO_PAD720 +signal IO_PAD721 +signal IO_PAD722 +signal IO_PAD723 +signal IO_PAD724 +signal IO_PAD725 +signal IO_PAD726 +signal IO_PAD727 +signal IO_PAD729 +signal IO_PAD731 +signal IO_PAD733 +signal IO_PAD734 +signal IO_PAD735 +signal IO_PAD736 +signal IO_PAD737 +signal IO_PAD739 +signal IO_PAD741 +signal IO_PAD742 +signal IO_PAD743 +signal IO_PAD744 +signal IO_PAD745 +signal IO_PAD747 +signal IO_PAD749 +signal IO_PAD750 +signal IO_PAD751 +signal IO_PAD752 +signal IO_PAD753 +signal IO_PAD755 +signal IO_PAD756 +signal IO_PAD757 +signal IO_PAD759 +signal IO_PAD761 +signal IO_PAD763 +signal IO_PAD765 +signal IO_PAD766 +signal IO_PAD767 +signal IO_PAD768 +signal IO_PAD769 +signal IO_PAD771 +signal IO_PAD773 +signal IO_PAD774 +signal IO_PAD776 +signal IO_PAD777 +signal IO_PAD779 +signal IO_PAD781 +signal IO_PAD782 +signal IO_PAD783 +signal IO_PAD784 +signal IO_PAD785 +signal IO_PAD787 +signal IO_PAD789 +signal IO_PAD791 +signal IO_PAD793 +signal IO_PAD794 +signal IO_PAD795 +signal IO_PAD797 +signal IO_PAD798 +signal IO_PAD799 +signal IO_PAD800 +signal IO_PAD801 +signal IO_PAD803 +signal IO_PAD805 +signal IO_PAD806 +signal IO_PAD807 +signal IO_PAD808 +signal IO_PAD809 +signal IO_PAD811 +signal IO_PAD813 +signal IO_PAD814 +signal IO_PAD815 +signal IO_PAD816 +signal IO_PAD817 +signal IO_PAD819 +signal IO_PAD821 +signal IO_PAD823 +signal IO_PAD824 +signal IO_PAD825 +signal IO_PAD826 +signal IO_PAD827 +signal IO_PAD828 +signal IO_PAD829 +signal IO_PAD830 +signal IO_PAD831 +signal IO_PAD833 +signal IO_PAD835 +signal IO_PAD836 +signal IO_PAD837 +signal IO_PAD838 +signal IO_PAD839 +signal IO_PAD841 +signal IO_PAD842 +signal IO_PAD843 +signal IO_PAD845 +signal IO_PAD846 +signal IO_PAD847 +signal IO_PAD849 +signal IO_PAD850 +signal IO_PAD851 +signal IO_PAD852 +signal IO_PAD853 +signal IO_PAD855 +signal IO_PAD857 +signal IO_PAD858 +signal IO_PAD859 +signal IO_PAD860 +signal IO_PAD861 +signal IO_PAD862 +signal IO_PAD863 +signal IO_PAD865 +signal IO_PAD867 +signal IO_PAD868 +signal IO_PAD869 +signal IO_PAD870 +signal IO_PAD871 +signal IO_PAD873 +signal IO_PAD875 +signal IO_PAD877 +signal IO_PAD879 +signal IO_PAD880 +signal IO_PAD881 +signal IO_PAD883 +signal IO_PAD884 +signal IO_PAD885 +signal IO_PAD887 +signal IO_PAD888 +signal IO_PAD889 +signal IO_PAD891 +signal IO_PAD892 +signal IO_PAD893 +signal IO_PAD898 +signal IO_PAD899 +signal IO_PAD901 +signal IO_PAD903 +signal IO_PAD904 +signal IO_PAD905 +signal IO_PAD907 +signal IO_PAD909 +signal IO_PAD911 +signal IO_PAD912 +signal IO_PAD913 +signal IO_PAD914 +signal IO_PAD915 +signal IO_PAD917 +signal IO_PAD919 +signal IO_PAD920 +signal IO_PAD921 +signal IO_PAD923 +signal IO_PAD925 +signal IO_PAD927 +signal IO_PAD928 +signal IO_PAD929 +signal IO_PAD930 +signal IO_PAD931 +signal IO_PAD933 +signal IO_PAD934 +signal IO_PAD935 +signal IO_PAD937 +signal IO_PAD939 +signal IO_PAD940 +signal IO_PAD941 +signal IO_PAD943 +signal IO_PAD945 +signal IO_PAD947 +signal IO_PAD949 +signal IO_PAD951 +signal IO_PAD952 +signal IO_PAD953 +signal IO_PAD955 +signal IO_PAD957 +signal IO_PAD958 +signal IO_PAD959 +signal IO_PAD961 +signal IO_PAD962 +signal IO_PAD963 +signal IO_PAD965 +signal IO_PAD967 +signal IO_PAD969 +signal IO_PAD970 +signal IO_PAD971 +signal IO_PAD973 +signal IO_PAD974 +signal IO_PAD975 +signal IO_PAD977 +signal IO_PAD979 +signal IO_PAD981 +signal IO_PAD982 +signal IO_PAD983 +signal IO_PAD985 +signal IO_PAD986 +signal IO_PAD987 +signal IO_PAD989 +signal IO_PAD991 +signal IO_PAD993 +signal IO_PAD994 +signal IO_PAD995 +signal IO_PAD997 +signal IO_PAD998 +signal IO_PAD999 +signal IO_PAD1001 +signal IO_PAD1003 +signal IO_PAD1004 +signal IO_PAD1005 +signal IO_PAD1006 +signal IO_PAD1007 +signal IO_PAD1009 +signal IO_PAD1011 +signal IO_PAD1013 +signal IO_PAD1015 +signal IO_PAD1017 +signal IO_PAD1018 +signal IO_PAD1019 +signal IO_PAD1021 +signal IO_PAD1022 +signal IO_PAD1023 +signal IO_PAD1025 +signal IO_PAD1027 +signal IO_PAD1028 +signal IO_PAD1029 + +register BSR 2243 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2242 I 1 IO_PAD893 +bit 2241 O 1 IO_PAD893 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_PAD892 +bit 2238 O 1 IO_PAD892 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_PAD891 +bit 2235 O 1 IO_PAD891 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_PAD889 +bit 2232 O 1 IO_PAD889 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_PAD888 +bit 2229 O 1 IO_PAD888 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_PAD887 +bit 2226 O 1 IO_PAD887 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_PAD885 +bit 2223 O 1 IO_PAD885 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_PAD884 +bit 2220 O 1 IO_PAD884 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_PAD883 +bit 2217 O 1 IO_PAD883 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_PAD881 +bit 2214 O 1 IO_PAD881 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_PAD880 +bit 2211 O 1 IO_PAD880 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_PAD879 +bit 2208 O 1 IO_PAD879 2207 1 Z +bit 2207 C 1 * +bit 2206 I 1 IO_PAD877 +bit 2205 O 1 IO_PAD877 2204 1 Z +bit 2204 C 1 * +bit 2203 O 1 * +bit 2202 O 1 * +bit 2201 O 1 * +bit 2200 O 1 * +bit 2199 O 1 * +bit 2198 O 1 * +bit 2197 I 1 IO_PAD875 +bit 2196 O 1 IO_PAD875 2195 1 Z +bit 2195 C 1 * +bit 2194 I 1 IO_PAD873 +bit 2193 O 1 IO_PAD873 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_PAD871 +bit 2190 O 1 IO_PAD871 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_PAD870 +bit 2187 O 1 IO_PAD870 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_PAD869 +bit 2184 O 1 IO_PAD869 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_PAD868 +bit 2181 O 1 IO_PAD868 2180 1 Z +bit 2180 C 1 * +bit 2179 I 1 IO_PAD867 +bit 2178 O 1 IO_PAD867 2177 1 Z +bit 2177 C 1 * +bit 2176 I 1 IO_PAD865 +bit 2175 O 1 IO_PAD865 2174 1 Z +bit 2174 C 1 * +bit 2173 I 1 IO_PAD863 +bit 2172 O 1 IO_PAD863 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_PAD862 +bit 2169 O 1 IO_PAD862 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_PAD861 +bit 2166 O 1 IO_PAD861 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_PAD860 +bit 2163 O 1 IO_PAD860 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_PAD859 +bit 2160 O 1 IO_PAD859 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_PAD858 +bit 2157 O 1 IO_PAD858 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_PAD857 +bit 2154 O 1 IO_PAD857 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_PAD855 +bit 2151 O 1 IO_PAD855 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_PAD853 +bit 2148 O 1 IO_PAD853 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_PAD852 +bit 2145 O 1 IO_PAD852 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_PAD851 +bit 2142 O 1 IO_PAD851 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_PAD850 +bit 2139 O 1 IO_PAD850 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_PAD849 +bit 2136 O 1 IO_PAD849 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_PAD847 +bit 2133 O 1 IO_PAD847 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_PAD846 +bit 2130 O 1 IO_PAD846 2129 1 Z +bit 2129 C 1 * +bit 2128 O 1 * +bit 2127 O 1 * +bit 2126 O 1 * +bit 2125 O 1 * +bit 2124 O 1 * +bit 2123 O 1 * +bit 2122 I 1 IO_PAD845 +bit 2121 O 1 IO_PAD845 2120 1 Z +bit 2120 C 1 * +bit 2119 I 1 IO_PAD843 +bit 2118 O 1 IO_PAD843 2117 1 Z +bit 2117 C 1 * +bit 2116 I 1 IO_PAD842 +bit 2115 O 1 IO_PAD842 2114 1 Z +bit 2114 C 1 * +bit 2113 I 1 IO_PAD841 +bit 2112 O 1 IO_PAD841 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_PAD839 +bit 2109 O 1 IO_PAD839 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_PAD838 +bit 2106 O 1 IO_PAD838 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_PAD837 +bit 2103 O 1 IO_PAD837 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_PAD836 +bit 2100 O 1 IO_PAD836 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_PAD835 +bit 2097 O 1 IO_PAD835 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_PAD833 +bit 2094 O 1 IO_PAD833 2093 1 Z +bit 2093 C 1 * +bit 2092 I 1 IO_PAD831 +bit 2091 O 1 IO_PAD831 2090 1 Z +bit 2090 C 1 * +bit 2089 I 1 IO_PAD830 +bit 2088 O 1 IO_PAD830 2087 1 Z +bit 2087 C 1 * +bit 2086 I 1 IO_PAD829 +bit 2085 O 1 IO_PAD829 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_PAD828 +bit 2082 O 1 IO_PAD828 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_PAD827 +bit 2079 O 1 IO_PAD827 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_PAD826 +bit 2076 O 1 IO_PAD826 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_PAD825 +bit 2073 O 1 IO_PAD825 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_PAD824 +bit 2070 O 1 IO_PAD824 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_PAD823 +bit 2067 O 1 IO_PAD823 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_PAD821 +bit 2064 O 1 IO_PAD821 2063 1 Z +bit 2063 C 1 * +bit 2062 O 1 * +bit 2061 O 1 * +bit 2060 O 1 * +bit 2059 O 1 * +bit 2058 O 1 * +bit 2057 O 1 * +bit 2056 I 1 IO_PAD819 +bit 2055 O 1 IO_PAD819 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_PAD817 +bit 2052 O 1 IO_PAD817 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_PAD816 +bit 2049 O 1 IO_PAD816 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_PAD815 +bit 2046 O 1 IO_PAD815 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_PAD814 +bit 2043 O 1 IO_PAD814 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_PAD813 +bit 2040 O 1 IO_PAD813 2039 1 Z +bit 2039 C 1 * +bit 2038 I 1 IO_PAD811 +bit 2037 O 1 IO_PAD811 2036 1 Z +bit 2036 C 1 * +bit 2035 I 1 IO_PAD809 +bit 2034 O 1 IO_PAD809 2033 1 Z +bit 2033 C 1 * +bit 2032 I 1 IO_PAD808 +bit 2031 O 1 IO_PAD808 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_PAD807 +bit 2028 O 1 IO_PAD807 2027 1 Z +bit 2027 C 1 * +bit 2026 I 1 IO_PAD806 +bit 2025 O 1 IO_PAD806 2024 1 Z +bit 2024 C 1 * +bit 2023 I 1 IO_PAD805 +bit 2022 O 1 IO_PAD805 2021 1 Z +bit 2021 C 1 * +bit 2020 I 1 IO_PAD803 +bit 2019 O 1 IO_PAD803 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_PAD801 +bit 2016 O 1 IO_PAD801 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_PAD800 +bit 2013 O 1 IO_PAD800 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_PAD799 +bit 2010 O 1 IO_PAD799 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_PAD798 +bit 2007 O 1 IO_PAD798 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_PAD797 +bit 2004 O 1 IO_PAD797 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_PAD795 +bit 2001 O 1 IO_PAD795 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_PAD794 +bit 1998 O 1 IO_PAD794 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_PAD793 +bit 1995 O 1 IO_PAD793 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_PAD791 +bit 1992 O 1 IO_PAD791 1991 1 Z +bit 1991 C 1 * +bit 1990 I 1 IO_PAD789 +bit 1989 O 1 IO_PAD789 1988 1 Z +bit 1988 C 1 * +bit 1987 O 1 * +bit 1986 O 1 * +bit 1985 O 1 * +bit 1984 O 1 * +bit 1983 O 1 * +bit 1982 O 1 * +bit 1981 I 1 IO_PAD787 +bit 1980 O 1 IO_PAD787 1979 1 Z +bit 1979 C 1 * +bit 1978 I 1 IO_PAD785 +bit 1977 O 1 IO_PAD785 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_PAD784 +bit 1974 O 1 IO_PAD784 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_PAD783 +bit 1971 O 1 IO_PAD783 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_PAD782 +bit 1968 O 1 IO_PAD782 1967 1 Z +bit 1967 C 1 * +bit 1966 I 1 IO_PAD781 +bit 1965 O 1 IO_PAD781 1964 1 Z +bit 1964 C 1 * +bit 1963 I 1 IO_PAD779 +bit 1962 O 1 IO_PAD779 1961 1 Z +bit 1961 C 1 * +bit 1960 I 1 IO_PAD777 +bit 1959 O 1 IO_PAD777 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_PAD776 +bit 1956 O 1 IO_PAD776 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_PAD774 +bit 1953 O 1 IO_PAD774 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_PAD773 +bit 1950 O 1 IO_PAD773 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_PAD771 +bit 1947 O 1 IO_PAD771 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_PAD769 +bit 1944 O 1 IO_PAD769 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_PAD768 +bit 1941 O 1 IO_PAD768 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_PAD767 +bit 1938 O 1 IO_PAD767 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_PAD766 +bit 1935 O 1 IO_PAD766 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_PAD765 +bit 1932 O 1 IO_PAD765 1931 1 Z +bit 1931 C 1 * +bit 1930 I 1 IO_PAD763 +bit 1929 O 1 IO_PAD763 1928 1 Z +bit 1928 C 1 * +bit 1927 O 1 * +bit 1926 O 1 * +bit 1925 O 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 I 1 IO_PAD761 +bit 1920 O 1 IO_PAD761 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_PAD759 +bit 1917 O 1 IO_PAD759 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_PAD757 +bit 1914 O 1 IO_PAD757 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_PAD756 +bit 1911 O 1 IO_PAD756 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_PAD755 +bit 1908 O 1 IO_PAD755 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_PAD753 +bit 1905 O 1 IO_PAD753 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_PAD752 +bit 1902 O 1 IO_PAD752 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_PAD751 +bit 1899 O 1 IO_PAD751 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_PAD750 +bit 1896 O 1 IO_PAD750 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_PAD749 +bit 1893 O 1 IO_PAD749 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_PAD747 +bit 1890 O 1 IO_PAD747 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_PAD745 +bit 1887 O 1 IO_PAD745 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_PAD744 +bit 1884 O 1 IO_PAD744 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_PAD743 +bit 1881 O 1 IO_PAD743 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_PAD742 +bit 1878 O 1 IO_PAD742 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_PAD741 +bit 1875 O 1 IO_PAD741 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_PAD739 +bit 1872 O 1 IO_PAD739 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_PAD737 +bit 1869 O 1 IO_PAD737 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_PAD736 +bit 1866 O 1 IO_PAD736 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_PAD735 +bit 1863 O 1 IO_PAD735 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_PAD734 +bit 1860 O 1 IO_PAD734 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_PAD733 +bit 1857 O 1 IO_PAD733 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_PAD731 +bit 1854 O 1 IO_PAD731 1853 1 Z +bit 1853 C 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 O 1 * +bit 1848 O 1 * +bit 1847 O 1 * +bit 1846 I 1 IO_PAD729 +bit 1845 O 1 IO_PAD729 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_PAD727 +bit 1842 O 1 IO_PAD727 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_PAD726 +bit 1839 O 1 IO_PAD726 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_PAD725 +bit 1836 O 1 IO_PAD725 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_PAD724 +bit 1833 O 1 IO_PAD724 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_PAD723 +bit 1830 O 1 IO_PAD723 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_PAD722 +bit 1827 O 1 IO_PAD722 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_PAD721 +bit 1824 O 1 IO_PAD721 1823 1 Z +bit 1823 C 1 * +bit 1822 I 1 IO_PAD720 +bit 1821 O 1 IO_PAD720 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_PAD719 +bit 1818 O 1 IO_PAD719 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_PAD717 +bit 1815 O 1 IO_PAD717 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_PAD715 +bit 1812 O 1 IO_PAD715 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_PAD714 +bit 1809 O 1 IO_PAD714 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_PAD713 +bit 1806 O 1 IO_PAD713 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_PAD712 +bit 1803 O 1 IO_PAD712 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_PAD711 +bit 1800 O 1 IO_PAD711 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_PAD709 +bit 1797 O 1 IO_PAD709 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_PAD708 +bit 1794 O 1 IO_PAD708 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_PAD707 +bit 1791 O 1 IO_PAD707 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_PAD705 +bit 1788 O 1 IO_PAD705 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_PAD704 +bit 1779 O 1 IO_PAD704 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_PAD703 +bit 1776 O 1 IO_PAD703 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_PAD701 +bit 1773 O 1 IO_PAD701 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_PAD700 +bit 1770 O 1 IO_PAD700 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_PAD699 +bit 1767 O 1 IO_PAD699 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_PAD698 +bit 1764 O 1 IO_PAD698 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_PAD697 +bit 1761 O 1 IO_PAD697 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_PAD695 +bit 1758 O 1 IO_PAD695 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_PAD693 +bit 1755 O 1 IO_PAD693 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_PAD692 +bit 1752 O 1 IO_PAD692 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_PAD691 +bit 1749 O 1 IO_PAD691 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_PAD690 +bit 1746 O 1 IO_PAD690 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_PAD689 +bit 1743 O 1 IO_PAD689 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_PAD688 +bit 1740 O 1 IO_PAD688 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_PAD687 +bit 1737 O 1 IO_PAD687 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_PAD685 +bit 1734 O 1 IO_PAD685 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_PAD683 +bit 1731 O 1 IO_PAD683 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_PAD682 +bit 1728 O 1 IO_PAD682 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_PAD681 +bit 1725 O 1 IO_PAD681 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_PAD680 +bit 1722 O 1 IO_PAD680 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_PAD679 +bit 1719 O 1 IO_PAD679 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_PAD677 +bit 1716 O 1 IO_PAD677 1715 1 Z +bit 1715 C 1 * +bit 1714 I 1 IO_PAD675 +bit 1713 O 1 IO_PAD675 1712 1 Z +bit 1712 C 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 I 1 IO_PAD673 +bit 1704 O 1 IO_PAD673 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_PAD671 +bit 1701 O 1 IO_PAD671 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_PAD670 +bit 1698 O 1 IO_PAD670 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_PAD669 +bit 1695 O 1 IO_PAD669 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_PAD667 +bit 1692 O 1 IO_PAD667 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_PAD666 +bit 1689 O 1 IO_PAD666 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_PAD665 +bit 1686 O 1 IO_PAD665 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_PAD663 +bit 1683 O 1 IO_PAD663 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_PAD662 +bit 1680 O 1 IO_PAD662 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_PAD661 +bit 1677 O 1 IO_PAD661 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_PAD659 +bit 1674 O 1 IO_PAD659 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_PAD658 +bit 1671 O 1 IO_PAD658 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_PAD657 +bit 1668 O 1 IO_PAD657 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 CCLK_PAD654 +bit 1665 O 1 CCLK_PAD654 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 DONE_PAD653 +bit 1662 O 1 DONE_PAD653 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_PAD652 +bit 1659 O 1 IO_PAD652 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_PAD651 +bit 1656 O 1 IO_PAD651 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_PAD649 +bit 1653 O 1 IO_PAD649 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_PAD647 +bit 1650 O 1 IO_PAD647 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_PAD646 +bit 1647 O 1 IO_PAD646 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_PAD645 +bit 1644 O 1 IO_PAD645 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_PAD643 +bit 1641 O 1 IO_PAD643 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_PAD641 +bit 1638 O 1 IO_PAD641 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_PAD639 +bit 1635 O 1 IO_PAD639 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_PAD638 +bit 1632 O 1 IO_PAD638 1631 1 Z +bit 1631 C 1 * +bit 1630 I 1 IO_PAD637 +bit 1629 O 1 IO_PAD637 1628 1 Z +bit 1628 C 1 * +bit 1627 I 1 IO_PAD636 +bit 1626 O 1 IO_PAD636 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_PAD635 +bit 1623 O 1 IO_PAD635 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_PAD633 +bit 1620 O 1 IO_PAD633 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_PAD631 +bit 1617 O 1 IO_PAD631 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_PAD629 +bit 1614 O 1 IO_PAD629 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_PAD627 +bit 1611 O 1 IO_PAD627 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_PAD625 +bit 1608 O 1 IO_PAD625 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_PAD623 +bit 1605 O 1 IO_PAD623 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_PAD621 +bit 1602 O 1 IO_PAD621 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_PAD620 +bit 1599 O 1 IO_PAD620 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_PAD619 +bit 1596 O 1 IO_PAD619 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_PAD618 +bit 1593 O 1 IO_PAD618 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_PAD617 +bit 1590 O 1 IO_PAD617 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_PAD615 +bit 1587 O 1 IO_PAD615 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_PAD614 +bit 1584 O 1 IO_PAD614 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_PAD613 +bit 1581 O 1 IO_PAD613 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_PAD611 +bit 1578 O 1 IO_PAD611 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_PAD609 +bit 1575 O 1 IO_PAD609 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_PAD608 +bit 1572 O 1 IO_PAD608 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_PAD607 +bit 1569 O 1 IO_PAD607 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_PAD605 +bit 1566 O 1 IO_PAD605 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_PAD603 +bit 1563 O 1 IO_PAD603 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_PAD601 +bit 1560 O 1 IO_PAD601 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_PAD599 +bit 1557 O 1 IO_PAD599 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_PAD597 +bit 1554 O 1 IO_PAD597 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_PAD596 +bit 1551 O 1 IO_PAD596 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_PAD595 +bit 1548 O 1 IO_PAD595 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_PAD593 +bit 1545 O 1 IO_PAD593 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_PAD591 +bit 1542 O 1 IO_PAD591 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_PAD590 +bit 1539 O 1 IO_PAD590 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_PAD589 +bit 1536 O 1 IO_PAD589 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_PAD587 +bit 1533 O 1 IO_PAD587 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_PAD586 +bit 1530 O 1 IO_PAD586 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_PAD585 +bit 1527 O 1 IO_PAD585 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_PAD583 +bit 1524 O 1 IO_PAD583 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_PAD581 +bit 1521 O 1 IO_PAD581 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_PAD579 +bit 1518 O 1 IO_PAD579 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_PAD578 +bit 1515 O 1 IO_PAD578 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_PAD577 +bit 1512 O 1 IO_PAD577 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_PAD575 +bit 1509 O 1 IO_PAD575 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_PAD574 +bit 1506 O 1 IO_PAD574 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_PAD573 +bit 1503 O 1 IO_PAD573 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_PAD571 +bit 1500 O 1 IO_PAD571 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_PAD569 +bit 1497 O 1 IO_PAD569 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_PAD567 +bit 1494 O 1 IO_PAD567 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_PAD566 +bit 1491 O 1 IO_PAD566 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_PAD565 +bit 1488 O 1 IO_PAD565 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_PAD563 +bit 1485 O 1 IO_PAD563 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_PAD562 +bit 1482 O 1 IO_PAD562 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_PAD561 +bit 1479 O 1 IO_PAD561 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_PAD559 +bit 1476 O 1 IO_PAD559 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_PAD557 +bit 1473 O 1 IO_PAD557 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_PAD555 +bit 1470 O 1 IO_PAD555 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_PAD554 +bit 1467 O 1 IO_PAD554 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_PAD553 +bit 1464 O 1 IO_PAD553 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_PAD551 +bit 1461 O 1 IO_PAD551 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_PAD550 +bit 1458 O 1 IO_PAD550 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_PAD549 +bit 1455 O 1 IO_PAD549 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_PAD547 +bit 1452 O 1 IO_PAD547 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_PAD545 +bit 1449 O 1 IO_PAD545 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_PAD544 +bit 1446 O 1 IO_PAD544 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_PAD543 +bit 1443 O 1 IO_PAD543 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_PAD542 +bit 1440 O 1 IO_PAD542 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_PAD541 +bit 1437 O 1 IO_PAD541 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_PAD539 +bit 1434 O 1 IO_PAD539 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_PAD537 +bit 1431 O 1 IO_PAD537 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_PAD535 +bit 1428 O 1 IO_PAD535 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_PAD533 +bit 1425 O 1 IO_PAD533 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_PAD531 +bit 1422 O 1 IO_PAD531 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_PAD530 +bit 1419 O 1 IO_PAD530 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_PAD529 +bit 1416 O 1 IO_PAD529 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_PAD527 +bit 1413 O 1 IO_PAD527 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_PAD526 +bit 1410 O 1 IO_PAD526 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_PAD525 +bit 1407 O 1 IO_PAD525 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_PAD523 +bit 1404 O 1 IO_PAD523 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_PAD521 +bit 1401 O 1 IO_PAD521 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_PAD520 +bit 1398 O 1 IO_PAD520 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_PAD519 +bit 1395 O 1 IO_PAD519 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_PAD518 +bit 1392 O 1 IO_PAD518 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_PAD516 +bit 1389 O 1 IO_PAD516 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_PAD515 +bit 1386 O 1 IO_PAD515 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_PAD514 +bit 1383 O 1 IO_PAD514 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_PAD513 +bit 1380 O 1 IO_PAD513 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_PAD511 +bit 1377 O 1 IO_PAD511 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_PAD509 +bit 1374 O 1 IO_PAD509 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_PAD508 +bit 1371 O 1 IO_PAD508 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_PAD507 +bit 1368 O 1 IO_PAD507 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_PAD505 +bit 1365 O 1 IO_PAD505 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_PAD504 +bit 1362 O 1 IO_PAD504 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_PAD503 +bit 1359 O 1 IO_PAD503 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_PAD501 +bit 1356 O 1 IO_PAD501 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_PAD499 +bit 1353 O 1 IO_PAD499 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_PAD497 +bit 1350 O 1 IO_PAD497 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_PAD495 +bit 1347 O 1 IO_PAD495 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_PAD493 +bit 1344 O 1 IO_PAD493 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_PAD492 +bit 1341 O 1 IO_PAD492 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_PAD491 +bit 1338 O 1 IO_PAD491 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_PAD490 +bit 1335 O 1 IO_PAD490 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_PAD489 +bit 1332 O 1 IO_PAD489 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_PAD487 +bit 1329 O 1 IO_PAD487 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_PAD485 +bit 1326 O 1 IO_PAD485 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_PAD484 +bit 1323 O 1 IO_PAD484 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_PAD483 +bit 1320 O 1 IO_PAD483 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_PAD481 +bit 1317 O 1 IO_PAD481 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_PAD480 +bit 1314 O 1 IO_PAD480 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_PAD479 +bit 1311 O 1 IO_PAD479 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_PAD477 +bit 1308 O 1 IO_PAD477 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_PAD475 +bit 1305 O 1 IO_PAD475 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_PAD473 +bit 1302 O 1 IO_PAD473 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_PAD472 +bit 1299 O 1 IO_PAD472 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_PAD471 +bit 1296 O 1 IO_PAD471 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_PAD469 +bit 1293 O 1 IO_PAD469 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_PAD468 +bit 1290 O 1 IO_PAD468 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_PAD467 +bit 1287 O 1 IO_PAD467 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_PAD465 +bit 1284 O 1 IO_PAD465 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_PAD463 +bit 1281 O 1 IO_PAD463 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_PAD461 +bit 1278 O 1 IO_PAD461 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_PAD460 +bit 1275 O 1 IO_PAD460 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_PAD459 +bit 1272 O 1 IO_PAD459 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_PAD457 +bit 1269 O 1 IO_PAD457 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_PAD456 +bit 1266 O 1 IO_PAD456 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_PAD455 +bit 1263 O 1 IO_PAD455 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_PAD453 +bit 1260 O 1 IO_PAD453 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_PAD451 +bit 1257 O 1 IO_PAD451 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_PAD449 +bit 1254 O 1 IO_PAD449 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_PAD448 +bit 1251 O 1 IO_PAD448 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_PAD447 +bit 1248 O 1 IO_PAD447 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_PAD445 +bit 1245 O 1 IO_PAD445 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_PAD444 +bit 1242 O 1 IO_PAD444 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_PAD443 +bit 1239 O 1 IO_PAD443 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_PAD441 +bit 1236 O 1 IO_PAD441 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_PAD439 +bit 1233 O 1 IO_PAD439 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_PAD438 +bit 1230 O 1 IO_PAD438 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_PAD437 +bit 1227 O 1 IO_PAD437 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_PAD435 +bit 1224 O 1 IO_PAD435 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_PAD433 +bit 1221 O 1 IO_PAD433 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_PAD431 +bit 1218 O 1 IO_PAD431 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_PAD429 +bit 1215 O 1 IO_PAD429 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_PAD427 +bit 1212 O 1 IO_PAD427 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_PAD426 +bit 1209 O 1 IO_PAD426 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_PAD425 +bit 1206 O 1 IO_PAD425 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_PAD423 +bit 1203 O 1 IO_PAD423 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_PAD421 +bit 1200 O 1 IO_PAD421 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_PAD420 +bit 1197 O 1 IO_PAD420 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_PAD419 +bit 1194 O 1 IO_PAD419 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_PAD417 +bit 1191 O 1 IO_PAD417 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_PAD416 +bit 1188 O 1 IO_PAD416 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_PAD415 +bit 1185 O 1 IO_PAD415 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_PAD414 +bit 1182 O 1 IO_PAD414 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_PAD413 +bit 1179 O 1 IO_PAD413 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_PAD411 +bit 1176 O 1 IO_PAD411 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_PAD409 +bit 1173 O 1 IO_PAD409 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_PAD407 +bit 1170 O 1 IO_PAD407 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_PAD406 +bit 1167 O 1 IO_PAD406 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_PAD405 +bit 1164 O 1 IO_PAD405 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD403 +bit 1161 O 1 IO_PAD403 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD401 +bit 1158 O 1 IO_PAD401 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD400 +bit 1155 O 1 IO_PAD400 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD399 +bit 1152 O 1 IO_PAD399 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD398 +bit 1149 O 1 IO_PAD398 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD397 +bit 1146 O 1 IO_PAD397 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD395 +bit 1143 O 1 IO_PAD395 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD393 +bit 1140 O 1 IO_PAD393 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD391 +bit 1137 O 1 IO_PAD391 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD390 +bit 1134 O 1 IO_PAD390 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD389 +bit 1131 O 1 IO_PAD389 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD387 +bit 1128 O 1 IO_PAD387 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD385 +bit 1125 O 1 IO_PAD385 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD384 +bit 1122 O 1 IO_PAD384 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 M2_PAD383 +bit 1119 I 1 M0_PAD382 +bit 1118 I 1 M1_PAD381 +bit 1117 I 1 IO_PAD377 +bit 1116 O 1 IO_PAD377 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD376 +bit 1113 O 1 IO_PAD376 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD375 +bit 1110 O 1 IO_PAD375 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD373 +bit 1107 O 1 IO_PAD373 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD372 +bit 1104 O 1 IO_PAD372 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_PAD371 +bit 1101 O 1 IO_PAD371 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_PAD369 +bit 1098 O 1 IO_PAD369 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_PAD368 +bit 1095 O 1 IO_PAD368 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_PAD367 +bit 1092 O 1 IO_PAD367 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD365 +bit 1089 O 1 IO_PAD365 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD364 +bit 1086 O 1 IO_PAD364 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_PAD363 +bit 1083 O 1 IO_PAD363 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_PAD361 +bit 1080 O 1 IO_PAD361 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 I 1 IO_PAD359 +bit 1071 O 1 IO_PAD359 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD357 +bit 1068 O 1 IO_PAD357 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_PAD355 +bit 1065 O 1 IO_PAD355 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_PAD354 +bit 1062 O 1 IO_PAD354 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD353 +bit 1059 O 1 IO_PAD353 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD352 +bit 1056 O 1 IO_PAD352 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD351 +bit 1053 O 1 IO_PAD351 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD349 +bit 1050 O 1 IO_PAD349 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_PAD347 +bit 1047 O 1 IO_PAD347 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_PAD346 +bit 1044 O 1 IO_PAD346 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD345 +bit 1041 O 1 IO_PAD345 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD344 +bit 1038 O 1 IO_PAD344 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD343 +bit 1035 O 1 IO_PAD343 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD342 +bit 1032 O 1 IO_PAD342 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_PAD341 +bit 1029 O 1 IO_PAD341 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_PAD339 +bit 1026 O 1 IO_PAD339 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_PAD337 +bit 1023 O 1 IO_PAD337 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_PAD336 +bit 1020 O 1 IO_PAD336 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD335 +bit 1017 O 1 IO_PAD335 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_PAD334 +bit 1014 O 1 IO_PAD334 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_PAD333 +bit 1011 O 1 IO_PAD333 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_PAD331 +bit 1008 O 1 IO_PAD331 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_PAD330 +bit 1005 O 1 IO_PAD330 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 I 1 IO_PAD329 +bit 996 O 1 IO_PAD329 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD327 +bit 993 O 1 IO_PAD327 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_PAD326 +bit 990 O 1 IO_PAD326 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_PAD325 +bit 987 O 1 IO_PAD325 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD323 +bit 984 O 1 IO_PAD323 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD322 +bit 981 O 1 IO_PAD322 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD321 +bit 978 O 1 IO_PAD321 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD320 +bit 975 O 1 IO_PAD320 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_PAD319 +bit 972 O 1 IO_PAD319 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_PAD317 +bit 969 O 1 IO_PAD317 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD315 +bit 966 O 1 IO_PAD315 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_PAD314 +bit 963 O 1 IO_PAD314 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_PAD313 +bit 960 O 1 IO_PAD313 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD312 +bit 957 O 1 IO_PAD312 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_PAD311 +bit 954 O 1 IO_PAD311 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_PAD310 +bit 951 O 1 IO_PAD310 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD309 +bit 948 O 1 IO_PAD309 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_PAD308 +bit 945 O 1 IO_PAD308 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_PAD307 +bit 942 O 1 IO_PAD307 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_PAD305 +bit 939 O 1 IO_PAD305 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 I 1 IO_PAD303 +bit 930 O 1 IO_PAD303 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD301 +bit 927 O 1 IO_PAD301 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD300 +bit 924 O 1 IO_PAD300 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD299 +bit 921 O 1 IO_PAD299 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_PAD298 +bit 918 O 1 IO_PAD298 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_PAD297 +bit 915 O 1 IO_PAD297 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD295 +bit 912 O 1 IO_PAD295 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD293 +bit 909 O 1 IO_PAD293 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD292 +bit 906 O 1 IO_PAD292 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD291 +bit 903 O 1 IO_PAD291 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD290 +bit 900 O 1 IO_PAD290 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD289 +bit 897 O 1 IO_PAD289 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD287 +bit 894 O 1 IO_PAD287 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD285 +bit 891 O 1 IO_PAD285 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD284 +bit 888 O 1 IO_PAD284 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_PAD283 +bit 885 O 1 IO_PAD283 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_PAD282 +bit 882 O 1 IO_PAD282 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_PAD281 +bit 879 O 1 IO_PAD281 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_PAD279 +bit 876 O 1 IO_PAD279 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_PAD278 +bit 873 O 1 IO_PAD278 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_PAD277 +bit 870 O 1 IO_PAD277 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_PAD275 +bit 867 O 1 IO_PAD275 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_PAD273 +bit 864 O 1 IO_PAD273 863 1 Z +bit 863 C 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 I 1 IO_PAD271 +bit 855 O 1 IO_PAD271 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_PAD269 +bit 852 O 1 IO_PAD269 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_PAD268 +bit 849 O 1 IO_PAD268 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_PAD267 +bit 846 O 1 IO_PAD267 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_PAD266 +bit 843 O 1 IO_PAD266 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_PAD265 +bit 840 O 1 IO_PAD265 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_PAD263 +bit 837 O 1 IO_PAD263 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_PAD261 +bit 834 O 1 IO_PAD261 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_PAD260 +bit 831 O 1 IO_PAD260 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_PAD258 +bit 828 O 1 IO_PAD258 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_PAD257 +bit 825 O 1 IO_PAD257 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_PAD255 +bit 822 O 1 IO_PAD255 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_PAD253 +bit 819 O 1 IO_PAD253 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_PAD252 +bit 816 O 1 IO_PAD252 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_PAD251 +bit 813 O 1 IO_PAD251 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_PAD250 +bit 810 O 1 IO_PAD250 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD249 +bit 807 O 1 IO_PAD249 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_PAD247 +bit 804 O 1 IO_PAD247 803 1 Z +bit 803 C 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 I 1 IO_PAD245 +bit 795 O 1 IO_PAD245 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD243 +bit 792 O 1 IO_PAD243 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD241 +bit 789 O 1 IO_PAD241 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_PAD240 +bit 786 O 1 IO_PAD240 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_PAD239 +bit 783 O 1 IO_PAD239 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_PAD237 +bit 780 O 1 IO_PAD237 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_PAD236 +bit 777 O 1 IO_PAD236 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_PAD235 +bit 774 O 1 IO_PAD235 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD234 +bit 771 O 1 IO_PAD234 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD233 +bit 768 O 1 IO_PAD233 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD231 +bit 765 O 1 IO_PAD231 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD229 +bit 762 O 1 IO_PAD229 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD228 +bit 759 O 1 IO_PAD228 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD227 +bit 756 O 1 IO_PAD227 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD226 +bit 753 O 1 IO_PAD226 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_PAD225 +bit 750 O 1 IO_PAD225 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_PAD223 +bit 747 O 1 IO_PAD223 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_PAD221 +bit 744 O 1 IO_PAD221 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD220 +bit 741 O 1 IO_PAD220 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD219 +bit 738 O 1 IO_PAD219 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD218 +bit 735 O 1 IO_PAD218 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_PAD217 +bit 732 O 1 IO_PAD217 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_PAD215 +bit 729 O 1 IO_PAD215 728 1 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_PAD213 +bit 720 O 1 IO_PAD213 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD211 +bit 717 O 1 IO_PAD211 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD210 +bit 714 O 1 IO_PAD210 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD209 +bit 711 O 1 IO_PAD209 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD208 +bit 708 O 1 IO_PAD208 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD207 +bit 705 O 1 IO_PAD207 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD206 +bit 702 O 1 IO_PAD206 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD205 +bit 699 O 1 IO_PAD205 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_PAD204 +bit 696 O 1 IO_PAD204 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_PAD203 +bit 693 O 1 IO_PAD203 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_PAD201 +bit 690 O 1 IO_PAD201 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD199 +bit 687 O 1 IO_PAD199 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD198 +bit 684 O 1 IO_PAD198 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD197 +bit 681 O 1 IO_PAD197 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD196 +bit 678 O 1 IO_PAD196 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD195 +bit 675 O 1 IO_PAD195 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD193 +bit 672 O 1 IO_PAD193 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD192 +bit 669 O 1 IO_PAD192 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD191 +bit 666 O 1 IO_PAD191 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD189 +bit 663 O 1 IO_PAD189 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_PAD188 +bit 654 O 1 IO_PAD188 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD187 +bit 651 O 1 IO_PAD187 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD185 +bit 648 O 1 IO_PAD185 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD184 +bit 645 O 1 IO_PAD184 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_PAD183 +bit 642 O 1 IO_PAD183 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_PAD182 +bit 639 O 1 IO_PAD182 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_PAD181 +bit 636 O 1 IO_PAD181 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD179 +bit 633 O 1 IO_PAD179 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_PAD177 +bit 630 O 1 IO_PAD177 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_PAD176 +bit 627 O 1 IO_PAD176 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_PAD175 +bit 624 O 1 IO_PAD175 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_PAD174 +bit 621 O 1 IO_PAD174 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD173 +bit 618 O 1 IO_PAD173 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD172 +bit 615 O 1 IO_PAD172 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD171 +bit 612 O 1 IO_PAD171 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD169 +bit 609 O 1 IO_PAD169 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_PAD167 +bit 606 O 1 IO_PAD167 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_PAD166 +bit 603 O 1 IO_PAD166 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_PAD165 +bit 600 O 1 IO_PAD165 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD164 +bit 597 O 1 IO_PAD164 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD163 +bit 594 O 1 IO_PAD163 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD161 +bit 591 O 1 IO_PAD161 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_PAD159 +bit 588 O 1 IO_PAD159 587 1 Z +bit 587 C 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 I 1 IO_PAD157 +bit 579 O 1 IO_PAD157 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD155 +bit 576 O 1 IO_PAD155 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD154 +bit 573 O 1 IO_PAD154 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD153 +bit 570 O 1 IO_PAD153 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD151 +bit 567 O 1 IO_PAD151 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_PAD150 +bit 564 O 1 IO_PAD150 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_PAD149 +bit 561 O 1 IO_PAD149 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_PAD147 +bit 558 O 1 IO_PAD147 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD146 +bit 555 O 1 IO_PAD146 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD145 +bit 552 O 1 IO_PAD145 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD143 +bit 549 O 1 IO_PAD143 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD142 +bit 546 O 1 IO_PAD142 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD141 +bit 543 O 1 IO_PAD141 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 I 1 HSWAP_EN_PAD137 +bit 539 I 1 IO_PAD136 +bit 538 O 1 IO_PAD136 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_PAD135 +bit 535 O 1 IO_PAD135 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_PAD133 +bit 532 O 1 IO_PAD133 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_PAD131 +bit 529 O 1 IO_PAD131 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_PAD130 +bit 526 O 1 IO_PAD130 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_PAD129 +bit 523 O 1 IO_PAD129 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_PAD127 +bit 520 O 1 IO_PAD127 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_PAD125 +bit 517 O 1 IO_PAD125 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_PAD123 +bit 514 O 1 IO_PAD123 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_PAD122 +bit 511 O 1 IO_PAD122 510 1 Z +bit 510 C 1 * +bit 509 I 1 IO_PAD121 +bit 508 O 1 IO_PAD121 507 1 Z +bit 507 C 1 * +bit 506 I 1 IO_PAD120 +bit 505 O 1 IO_PAD120 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_PAD119 +bit 502 O 1 IO_PAD119 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_PAD117 +bit 499 O 1 IO_PAD117 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_PAD115 +bit 496 O 1 IO_PAD115 495 1 Z +bit 495 C 1 * +bit 494 I 1 IO_PAD113 +bit 493 O 1 IO_PAD113 492 1 Z +bit 492 C 1 * +bit 491 I 1 IO_PAD111 +bit 490 O 1 IO_PAD111 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_PAD109 +bit 487 O 1 IO_PAD109 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_PAD107 +bit 484 O 1 IO_PAD107 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_PAD105 +bit 481 O 1 IO_PAD105 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_PAD104 +bit 478 O 1 IO_PAD104 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_PAD103 +bit 475 O 1 IO_PAD103 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_PAD102 +bit 472 O 1 IO_PAD102 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_PAD101 +bit 469 O 1 IO_PAD101 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_PAD99 +bit 466 O 1 IO_PAD99 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_PAD98 +bit 463 O 1 IO_PAD98 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_PAD97 +bit 460 O 1 IO_PAD97 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_PAD95 +bit 457 O 1 IO_PAD95 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_PAD93 +bit 454 O 1 IO_PAD93 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_PAD92 +bit 451 O 1 IO_PAD92 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_PAD91 +bit 448 O 1 IO_PAD91 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_PAD89 +bit 445 O 1 IO_PAD89 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_PAD87 +bit 442 O 1 IO_PAD87 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_PAD85 +bit 439 O 1 IO_PAD85 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_PAD83 +bit 436 O 1 IO_PAD83 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_PAD81 +bit 433 O 1 IO_PAD81 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_PAD80 +bit 430 O 1 IO_PAD80 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_PAD79 +bit 427 O 1 IO_PAD79 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_PAD77 +bit 424 O 1 IO_PAD77 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_PAD75 +bit 421 O 1 IO_PAD75 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_PAD74 +bit 418 O 1 IO_PAD74 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_PAD73 +bit 415 O 1 IO_PAD73 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_PAD71 +bit 412 O 1 IO_PAD71 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_PAD70 +bit 409 O 1 IO_PAD70 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_PAD69 +bit 406 O 1 IO_PAD69 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_PAD67 +bit 403 O 1 IO_PAD67 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_PAD65 +bit 400 O 1 IO_PAD65 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_PAD63 +bit 397 O 1 IO_PAD63 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_PAD62 +bit 394 O 1 IO_PAD62 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_PAD61 +bit 391 O 1 IO_PAD61 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_PAD59 +bit 388 O 1 IO_PAD59 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_PAD58 +bit 385 O 1 IO_PAD58 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_PAD57 +bit 382 O 1 IO_PAD57 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_PAD55 +bit 379 O 1 IO_PAD55 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_PAD53 +bit 376 O 1 IO_PAD53 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_PAD51 +bit 373 O 1 IO_PAD51 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_PAD50 +bit 370 O 1 IO_PAD50 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_PAD49 +bit 367 O 1 IO_PAD49 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_PAD47 +bit 364 O 1 IO_PAD47 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_PAD46 +bit 361 O 1 IO_PAD46 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_PAD45 +bit 358 O 1 IO_PAD45 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_PAD43 +bit 355 O 1 IO_PAD43 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_PAD41 +bit 352 O 1 IO_PAD41 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_PAD39 +bit 349 O 1 IO_PAD39 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_PAD38 +bit 346 O 1 IO_PAD38 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_PAD37 +bit 343 O 1 IO_PAD37 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_PAD35 +bit 340 O 1 IO_PAD35 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_PAD34 +bit 337 O 1 IO_PAD34 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_PAD33 +bit 334 O 1 IO_PAD33 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_PAD31 +bit 331 O 1 IO_PAD31 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_PAD29 +bit 328 O 1 IO_PAD29 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_PAD28 +bit 325 O 1 IO_PAD28 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_PAD27 +bit 322 O 1 IO_PAD27 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_PAD26 +bit 319 O 1 IO_PAD26 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_PAD25 +bit 316 O 1 IO_PAD25 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_PAD23 +bit 313 O 1 IO_PAD23 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_PAD21 +bit 310 O 1 IO_PAD21 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_PAD19 +bit 307 O 1 IO_PAD19 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_PAD17 +bit 304 O 1 IO_PAD17 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_PAD15 +bit 301 O 1 IO_PAD15 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_PAD14 +bit 298 O 1 IO_PAD14 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_PAD13 +bit 295 O 1 IO_PAD13 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_PAD11 +bit 292 O 1 IO_PAD11 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_PAD10 +bit 289 O 1 IO_PAD10 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_PAD9 +bit 286 O 1 IO_PAD9 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_PAD7 +bit 283 O 1 IO_PAD7 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_PAD5 +bit 280 O 1 IO_PAD5 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_PAD4 +bit 277 O 1 IO_PAD4 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_PAD3 +bit 274 O 1 IO_PAD3 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_PAD2 +bit 271 O 1 IO_PAD2 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_PAD1030 +bit 268 O 1 IO_PAD1030 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_PAD1029 +bit 265 O 1 IO_PAD1029 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_PAD1028 +bit 262 O 1 IO_PAD1028 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_PAD1027 +bit 259 O 1 IO_PAD1027 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_PAD1025 +bit 256 O 1 IO_PAD1025 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_PAD1023 +bit 253 O 1 IO_PAD1023 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_PAD1022 +bit 250 O 1 IO_PAD1022 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_PAD1021 +bit 247 O 1 IO_PAD1021 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_PAD1019 +bit 244 O 1 IO_PAD1019 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_PAD1018 +bit 241 O 1 IO_PAD1018 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_PAD1017 +bit 238 O 1 IO_PAD1017 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_PAD1015 +bit 235 O 1 IO_PAD1015 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_PAD1013 +bit 232 O 1 IO_PAD1013 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_PAD1011 +bit 229 O 1 IO_PAD1011 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_PAD1009 +bit 226 O 1 IO_PAD1009 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_PAD1007 +bit 223 O 1 IO_PAD1007 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_PAD1006 +bit 220 O 1 IO_PAD1006 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_PAD1005 +bit 217 O 1 IO_PAD1005 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_PAD1004 +bit 214 O 1 IO_PAD1004 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_PAD1003 +bit 211 O 1 IO_PAD1003 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_PAD1001 +bit 208 O 1 IO_PAD1001 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD999 +bit 205 O 1 IO_PAD999 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD998 +bit 202 O 1 IO_PAD998 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD997 +bit 199 O 1 IO_PAD997 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD995 +bit 196 O 1 IO_PAD995 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD994 +bit 193 O 1 IO_PAD994 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD993 +bit 190 O 1 IO_PAD993 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD991 +bit 187 O 1 IO_PAD991 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD989 +bit 184 O 1 IO_PAD989 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD987 +bit 181 O 1 IO_PAD987 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD986 +bit 178 O 1 IO_PAD986 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD985 +bit 175 O 1 IO_PAD985 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD983 +bit 172 O 1 IO_PAD983 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD982 +bit 169 O 1 IO_PAD982 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD981 +bit 166 O 1 IO_PAD981 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD979 +bit 163 O 1 IO_PAD979 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD977 +bit 160 O 1 IO_PAD977 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD975 +bit 157 O 1 IO_PAD975 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD974 +bit 154 O 1 IO_PAD974 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD973 +bit 151 O 1 IO_PAD973 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD971 +bit 148 O 1 IO_PAD971 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD970 +bit 145 O 1 IO_PAD970 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD969 +bit 142 O 1 IO_PAD969 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD967 +bit 139 O 1 IO_PAD967 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD965 +bit 136 O 1 IO_PAD965 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD963 +bit 133 O 1 IO_PAD963 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD962 +bit 130 O 1 IO_PAD962 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD961 +bit 127 O 1 IO_PAD961 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD959 +bit 124 O 1 IO_PAD959 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD958 +bit 121 O 1 IO_PAD958 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD957 +bit 118 O 1 IO_PAD957 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD955 +bit 115 O 1 IO_PAD955 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD953 +bit 112 O 1 IO_PAD953 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD952 +bit 109 O 1 IO_PAD952 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD951 +bit 106 O 1 IO_PAD951 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD949 +bit 103 O 1 IO_PAD949 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD947 +bit 100 O 1 IO_PAD947 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD945 +bit 97 O 1 IO_PAD945 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD943 +bit 94 O 1 IO_PAD943 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD941 +bit 91 O 1 IO_PAD941 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD940 +bit 88 O 1 IO_PAD940 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD939 +bit 85 O 1 IO_PAD939 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD937 +bit 82 O 1 IO_PAD937 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD935 +bit 79 O 1 IO_PAD935 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD934 +bit 76 O 1 IO_PAD934 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD933 +bit 73 O 1 IO_PAD933 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD931 +bit 70 O 1 IO_PAD931 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD930 +bit 67 O 1 IO_PAD930 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD929 +bit 64 O 1 IO_PAD929 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD928 +bit 61 O 1 IO_PAD928 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD927 +bit 58 O 1 IO_PAD927 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD925 +bit 55 O 1 IO_PAD925 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD923 +bit 52 O 1 IO_PAD923 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD921 +bit 49 O 1 IO_PAD921 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD920 +bit 46 O 1 IO_PAD920 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD919 +bit 43 O 1 IO_PAD919 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD917 +bit 40 O 1 IO_PAD917 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD915 +bit 37 O 1 IO_PAD915 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD914 +bit 34 O 1 IO_PAD914 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD913 +bit 31 O 1 IO_PAD913 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD912 +bit 28 O 1 IO_PAD912 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD911 +bit 25 O 1 IO_PAD911 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD909 +bit 22 O 1 IO_PAD909 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD907 +bit 19 O 1 IO_PAD907 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD905 +bit 16 O 1 IO_PAD905 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD904 +bit 13 O 1 IO_PAD904 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD903 +bit 10 O 1 IO_PAD903 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD901 +bit 7 O 1 IO_PAD901 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD899 +bit 4 O 1 IO_PAD899 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD898 +bit 1 O 1 IO_PAD898 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg1156 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg1156 new file mode 100644 index 0000000..1c3a29a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg1156 @@ -0,0 +1,3337 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal GND121 +signal GND122 +signal GND123 +signal GND124 +signal GND125 +signal GND126 +signal GND127 +signal GND128 +signal GND129 +signal GND130 +signal GND131 +signal GND132 +signal GND133 +signal GND134 +signal GND135 +signal GND136 +signal GND137 +signal GND138 +signal GND139 +signal GND140 +signal GND141 +signal GND142 +signal GND143 +signal GND144 +signal GND145 +signal GND146 +signal GND147 +signal GND148 +signal GND149 +signal GND150 +signal GND151 +signal GND152 +signal GND153 +signal GND154 +signal GND155 +signal GND156 +signal GND157 +signal GND158 +signal GND159 +signal GND160 +signal GND161 +signal GND162 +signal GND163 +signal GND164 +signal GND165 +signal GND166 +signal GND167 +signal GND168 +signal GND169 +signal GND170 +signal GND171 +signal GND172 +signal GND173 +signal GND174 +signal GND175 +signal GND176 +signal GND177 +signal GND178 +signal GND179 +signal GND180 +signal GND181 +signal GND182 +signal GND183 +signal GND184 +signal CCLK_AL31 +signal DONE_AD24 +signal HSWAP_EN_L11 +signal M0_AL4 +signal M1_AK4 +signal M2_AG8 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCAUX25 +signal VCCAUX26 +signal VCCAUX27 +signal VCCAUX28 +signal VCCAUX29 +signal VCCAUX30 +signal VCCAUX31 +signal VCCAUX32 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCINT33 +signal VCCINT34 +signal VCCINT35 +signal VCCINT36 +signal VCCINT37 +signal VCCINT38 +signal VCCINT39 +signal VCCINT40 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO111 +signal VCCO112 +signal VCCO113 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO211 +signal VCCO212 +signal VCCO213 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO311 +signal VCCO312 +signal VCCO313 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO411 +signal VCCO412 +signal VCCO413 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO511 +signal VCCO512 +signal VCCO513 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO611 +signal VCCO612 +signal VCCO613 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal VCCO711 +signal VCCO712 +signal VCCO713 +signal IO_A3 +signal IO_A4 +signal IO_A6 +signal IO_A8 +signal IO_A10 +signal IO_A12 +signal IO_A14 +signal IO_A15 +signal IO_A17 +signal IO_A18 +signal IO_A20 +signal IO_A21 +signal IO_A23 +signal IO_A24 +signal IO_A25 +signal IO_A27 +signal IO_A29 +signal IO_A31 +signal IO_A32 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B12 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_B29 +signal IO_B30 +signal IO_B31 +signal IO_B32 +signal IO_C1 +signal IO_C2 +signal IO_C5 +signal IO_C6 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_C29 +signal IO_C30 +signal IO_C33 +signal IO_C34 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D15 +signal IO_D17 +signal IO_D18 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D23 +signal IO_D25 +signal IO_D26 +signal IO_D27 +signal IO_D29 +signal IO_D30 +signal IO_D33 +signal IO_D34 +signal IO_E2 +signal IO_E3 +signal IO_E7 +signal IO_E8 +signal IO_E10 +signal IO_E12 +signal IO_E14 +signal IO_E17 +signal IO_E18 +signal IO_E21 +signal IO_E23 +signal IO_E25 +signal IO_E27 +signal IO_E28 +signal IO_E32 +signal IO_E33 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F12 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F23 +signal IO_F25 +signal IO_F26 +signal IO_F27 +signal IO_F28 +signal IO_F29 +signal IO_F31 +signal IO_F32 +signal IO_F33 +signal IO_F34 +signal IO_G1 +signal IO_G2 +signal IO_G5 +signal IO_G6 +signal IO_G9 +signal IO_G10 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G25 +signal IO_G26 +signal IO_G29 +signal IO_G30 +signal IO_G33 +signal IO_G34 +signal IO_H1 +signal IO_H2 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H8 +signal IO_H9 +signal IO_H10 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H17 +signal IO_H18 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H25 +signal IO_H26 +signal IO_H29 +signal IO_H30 +signal IO_H31 +signal IO_H33 +signal IO_H34 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J6 +signal IO_J7 +signal IO_J8 +signal IO_J10 +signal IO_J11 +signal IO_J12 +signal IO_J14 +signal IO_J15 +signal IO_J17 +signal IO_J18 +signal IO_J20 +signal IO_J21 +signal IO_J23 +signal IO_J25 +signal IO_J27 +signal IO_J28 +signal IO_J29 +signal IO_J31 +signal IO_J32 +signal IO_J33 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K8 +signal IO_K9 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K26 +signal IO_K27 +signal IO_K28 +signal IO_K29 +signal IO_K30 +signal IO_K31 +signal IO_K32 +signal IO_K33 +signal IO_K34 +signal IO_L1 +signal IO_L2 +signal IO_L9 +signal IO_L10 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_L17 +signal IO_L18 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L24 +signal IO_L25 +signal IO_L26 +signal IO_L33 +signal IO_L34 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M9 +signal IO_M10 +signal IO_M11 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_M29 +signal IO_M30 +signal IO_M31 +signal IO_M32 +signal IO_M33 +signal IO_M34 +signal IO_N3 +signal IO_N4 +signal IO_N7 +signal IO_N8 +signal IO_N10 +signal IO_N11 +signal IO_N24 +signal IO_N25 +signal IO_N27 +signal IO_N28 +signal IO_N31 +signal IO_N32 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P11 +signal IO_P24 +signal IO_P27 +signal IO_P28 +signal IO_P29 +signal IO_P30 +signal IO_P31 +signal IO_P32 +signal IO_P33 +signal IO_P34 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R6 +signal IO_R7 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R28 +signal IO_R29 +signal IO_R31 +signal IO_R32 +signal IO_R33 +signal IO_R34 +signal IO_T2 +signal IO_T3 +signal IO_T6 +signal IO_T7 +signal IO_T10 +signal IO_T25 +signal IO_T28 +signal IO_T29 +signal IO_T32 +signal IO_T33 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U8 +signal IO_U9 +signal IO_U10 +signal IO_U25 +signal IO_U26 +signal IO_U27 +signal IO_U28 +signal IO_U29 +signal IO_U30 +signal IO_U31 +signal IO_U32 +signal IO_U33 +signal IO_U34 +signal IO_V1 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V25 +signal IO_V26 +signal IO_V27 +signal IO_V28 +signal IO_V29 +signal IO_V30 +signal IO_V31 +signal IO_V32 +signal IO_V33 +signal IO_V34 +signal IO_W2 +signal IO_W3 +signal IO_W6 +signal IO_W7 +signal IO_W10 +signal IO_W25 +signal IO_W28 +signal IO_W29 +signal IO_W32 +signal IO_W33 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y6 +signal IO_Y7 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y28 +signal IO_Y29 +signal IO_Y31 +signal IO_Y32 +signal IO_Y33 +signal IO_Y34 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA11 +signal IO_AA24 +signal IO_AA27 +signal IO_AA28 +signal IO_AA29 +signal IO_AA30 +signal IO_AA31 +signal IO_AA32 +signal IO_AA33 +signal IO_AA34 +signal IO_AB3 +signal IO_AB4 +signal IO_AB7 +signal IO_AB8 +signal IO_AB10 +signal IO_AB11 +signal IO_AB24 +signal IO_AB25 +signal IO_AB27 +signal IO_AB28 +signal IO_AB31 +signal IO_AB32 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AC31 +signal IO_AC32 +signal IO_AC33 +signal IO_AC34 +signal IO_AD1 +signal IO_AD2 +signal IO_AD9 +signal IO_AD10 +signal IO_AD11 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AD26 +signal IO_AD33 +signal IO_AD34 +signal IO_AE1 +signal IO_AE2 +signal IO_AE3 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE26 +signal IO_AE27 +signal IO_AE28 +signal IO_AE29 +signal IO_AE30 +signal IO_AE31 +signal IO_AE32 +signal IO_AE33 +signal IO_AE34 +signal IO_AF2 +signal IO_AF3 +signal IO_AF4 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF10 +signal IO_AF12 +signal IO_AF14 +signal IO_AF15 +signal IO_AF17 +signal IO_AF18 +signal IO_AF20 +signal IO_AF21 +signal IO_AF23 +signal IO_AF24 +signal IO_AF25 +signal IO_AF27 +signal IO_AF28 +signal IO_AF29 +signal IO_AF31 +signal IO_AF32 +signal IO_AF33 +signal IO_AG1 +signal IO_AG2 +signal IO_AG4 +signal IO_AG5 +signal IO_AG6 +signal IO_AG9 +signal IO_AG10 +signal IO_AG12 +signal IO_AG13 +signal IO_AG14 +signal IO_AG17 +signal IO_AG18 +signal IO_AG21 +signal IO_AG22 +signal IO_AG23 +signal IO_AG25 +signal IO_AG26 +signal IO_AG27 +signal IO_AG29 +signal IO_AG30 +signal IO_AG31 +signal IO_AG33 +signal IO_AG34 +signal IO_AH1 +signal IO_AH2 +signal IO_AH5 +signal IO_AH6 +signal IO_AH9 +signal IO_AH10 +signal IO_AH12 +signal IO_AH13 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH18 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH22 +signal IO_AH23 +signal IO_AH25 +signal IO_AH26 +signal IO_AH29 +signal IO_AH30 +signal IO_AH33 +signal IO_AH34 +signal IO_AJ1 +signal IO_AJ2 +signal IO_AJ3 +signal IO_AJ4 +signal IO_AJ6 +signal IO_AJ7 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ12 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ23 +signal IO_AJ25 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AJ28 +signal IO_AJ29 +signal IO_AJ31 +signal IO_AJ32 +signal IO_AJ33 +signal IO_AJ34 +signal IO_AK2 +signal IO_AK3 +signal IO_AK7 +signal IO_AK8 +signal IO_AK10 +signal IO_AK12 +signal IO_AK14 +signal IO_AK17 +signal IO_AK18 +signal IO_AK21 +signal IO_AK23 +signal IO_AK25 +signal IO_AK27 +signal IO_AK28 +signal IO_AK32 +signal IO_AK33 +signal IO_AL1 +signal IO_AL2 +signal IO_AL5 +signal IO_AL6 +signal IO_AL8 +signal IO_AL9 +signal IO_AL10 +signal IO_AL12 +signal IO_AL13 +signal IO_AL14 +signal IO_AL15 +signal IO_AL17 +signal IO_AL18 +signal IO_AL20 +signal IO_AL21 +signal IO_AL22 +signal IO_AL23 +signal IO_AL25 +signal IO_AL26 +signal IO_AL27 +signal IO_AL29 +signal IO_AL30 +signal IO_AL33 +signal IO_AL34 +signal IO_AM1 +signal IO_AM2 +signal IO_AM5 +signal IO_AM6 +signal IO_AM9 +signal IO_AM10 +signal IO_AM12 +signal IO_AM13 +signal IO_AM14 +signal IO_AM15 +signal IO_AM16 +signal IO_AM17 +signal IO_AM18 +signal IO_AM19 +signal IO_AM20 +signal IO_AM21 +signal IO_AM22 +signal IO_AM23 +signal IO_AM25 +signal IO_AM26 +signal IO_AM29 +signal IO_AM30 +signal IO_AM33 +signal IO_AM34 +signal IO_AN3 +signal IO_AN4 +signal IO_AN5 +signal IO_AN6 +signal IO_AN8 +signal IO_AN9 +signal IO_AN10 +signal IO_AN11 +signal IO_AN12 +signal IO_AN14 +signal IO_AN15 +signal IO_AN16 +signal IO_AN17 +signal IO_AN18 +signal IO_AN19 +signal IO_AN20 +signal IO_AN21 +signal IO_AN23 +signal IO_AN25 +signal IO_AN26 +signal IO_AN27 +signal IO_AN29 +signal IO_AN30 +signal IO_AN31 +signal IO_AN32 +signal IO_AP3 +signal IO_AP4 +signal IO_AP6 +signal IO_AP8 +signal IO_AP10 +signal IO_AP11 +signal IO_AP12 +signal IO_AP14 +signal IO_AP15 +signal IO_AP17 +signal IO_AP18 +signal IO_AP20 +signal IO_AP21 +signal IO_AP23 +signal IO_AP25 +signal IO_AP27 +signal IO_AP29 +signal IO_AP31 + +register BSR 2243 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2242 I 1 IO_C33 +bit 2241 O 1 IO_C33 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_C34 +bit 2238 O 1 IO_C34 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_D33 +bit 2235 O 1 IO_D33 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_D34 +bit 2232 O 1 IO_D34 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_E32 +bit 2229 O 1 IO_E32 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_E33 +bit 2226 O 1 IO_E33 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_F31 +bit 2223 O 1 IO_F31 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_F32 +bit 2220 O 1 IO_F32 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_F33 +bit 2217 O 1 IO_F33 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_F34 +bit 2214 O 1 IO_F34 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_G29 +bit 2211 O 1 IO_G29 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_G30 +bit 2208 O 1 IO_G30 2207 1 Z +bit 2207 C 1 * +bit 2206 I 1 IO_G33 +bit 2205 O 1 IO_G33 2204 1 Z +bit 2204 C 1 * +bit 2203 O 1 * +bit 2202 O 1 * +bit 2201 O 1 * +bit 2200 O 1 * +bit 2199 O 1 * +bit 2198 O 1 * +bit 2197 I 1 IO_G34 +bit 2196 O 1 IO_G34 2195 1 Z +bit 2195 C 1 * +bit 2194 I 1 IO_H29 +bit 2193 O 1 IO_H29 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_H30 +bit 2190 O 1 IO_H30 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_H33 +bit 2187 O 1 IO_H33 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_H34 +bit 2184 O 1 IO_H34 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_J28 +bit 2181 O 1 IO_J28 2180 1 Z +bit 2180 C 1 * +bit 2179 I 1 IO_J29 +bit 2178 O 1 IO_J29 2177 1 Z +bit 2177 C 1 * +bit 2176 I 1 IO_H31 +bit 2175 O 1 IO_H31 2174 1 Z +bit 2174 C 1 * +bit 2173 I 1 IO_J31 +bit 2172 O 1 IO_J31 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_J32 +bit 2169 O 1 IO_J32 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_J33 +bit 2166 O 1 IO_J33 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_J27 +bit 2163 O 1 IO_J27 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_K26 +bit 2160 O 1 IO_K26 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_K27 +bit 2157 O 1 IO_K27 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_K28 +bit 2154 O 1 IO_K28 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_K29 +bit 2151 O 1 IO_K29 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_K30 +bit 2148 O 1 IO_K30 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_K31 +bit 2145 O 1 IO_K31 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_K32 +bit 2142 O 1 IO_K32 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_K33 +bit 2139 O 1 IO_K33 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_K34 +bit 2136 O 1 IO_K34 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_L25 +bit 2133 O 1 IO_L25 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_L26 +bit 2130 O 1 IO_L26 2129 1 Z +bit 2129 C 1 * +bit 2128 O 1 * +bit 2127 O 1 * +bit 2126 O 1 * +bit 2125 O 1 * +bit 2124 O 1 * +bit 2123 O 1 * +bit 2122 I 1 IO_L33 +bit 2121 O 1 IO_L33 2120 1 Z +bit 2120 C 1 * +bit 2119 I 1 IO_L34 +bit 2118 O 1 IO_L34 2117 1 Z +bit 2117 C 1 * +bit 2116 I 1 IO_M24 +bit 2115 O 1 IO_M24 2114 1 Z +bit 2114 C 1 * +bit 2113 I 1 IO_M25 +bit 2112 O 1 IO_M25 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_M27 +bit 2109 O 1 IO_M27 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_M28 +bit 2106 O 1 IO_M28 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_M29 +bit 2103 O 1 IO_M29 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_M30 +bit 2100 O 1 IO_M30 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_M31 +bit 2097 O 1 IO_M31 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_M32 +bit 2094 O 1 IO_M32 2093 1 Z +bit 2093 C 1 * +bit 2092 I 1 IO_M33 +bit 2091 O 1 IO_M33 2090 1 Z +bit 2090 C 1 * +bit 2089 I 1 IO_M34 +bit 2088 O 1 IO_M34 2087 1 Z +bit 2087 C 1 * +bit 2086 I 1 IO_M26 +bit 2085 O 1 IO_M26 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_N25 +bit 2082 O 1 IO_N25 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_N27 +bit 2079 O 1 IO_N27 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_N28 +bit 2076 O 1 IO_N28 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_N31 +bit 2073 O 1 IO_N31 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_N32 +bit 2070 O 1 IO_N32 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_N24 +bit 2067 O 1 IO_N24 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_P24 +bit 2064 O 1 IO_P24 2063 1 Z +bit 2063 C 1 * +bit 2062 O 1 * +bit 2061 O 1 * +bit 2060 O 1 * +bit 2059 O 1 * +bit 2058 O 1 * +bit 2057 O 1 * +bit 2056 I 1 IO_P27 +bit 2055 O 1 IO_P27 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_P28 +bit 2052 O 1 IO_P28 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_P29 +bit 2049 O 1 IO_P29 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_P30 +bit 2046 O 1 IO_P30 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_P31 +bit 2043 O 1 IO_P31 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_P32 +bit 2040 O 1 IO_P32 2039 1 Z +bit 2039 C 1 * +bit 2038 I 1 IO_P33 +bit 2037 O 1 IO_P33 2036 1 Z +bit 2036 C 1 * +bit 2035 I 1 IO_P34 +bit 2034 O 1 IO_P34 2033 1 Z +bit 2033 C 1 * +bit 2032 I 1 IO_R24 +bit 2031 O 1 IO_R24 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_R25 +bit 2028 O 1 IO_R25 2027 1 Z +bit 2027 C 1 * +bit 2026 I 1 IO_R28 +bit 2025 O 1 IO_R28 2024 1 Z +bit 2024 C 1 * +bit 2023 I 1 IO_R29 +bit 2022 O 1 IO_R29 2021 1 Z +bit 2021 C 1 * +bit 2020 I 1 IO_R31 +bit 2019 O 1 IO_R31 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_R32 +bit 2016 O 1 IO_R32 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_R33 +bit 2013 O 1 IO_R33 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_R34 +bit 2010 O 1 IO_R34 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_R26 +bit 2007 O 1 IO_R26 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_T25 +bit 2004 O 1 IO_T25 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_T28 +bit 2001 O 1 IO_T28 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_T29 +bit 1998 O 1 IO_T29 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_T32 +bit 1995 O 1 IO_T32 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_T33 +bit 1992 O 1 IO_T33 1991 1 Z +bit 1991 C 1 * +bit 1990 I 1 IO_U25 +bit 1989 O 1 IO_U25 1988 1 Z +bit 1988 C 1 * +bit 1987 O 1 * +bit 1986 O 1 * +bit 1985 O 1 * +bit 1984 O 1 * +bit 1983 O 1 * +bit 1982 O 1 * +bit 1981 I 1 IO_U26 +bit 1980 O 1 IO_U26 1979 1 Z +bit 1979 C 1 * +bit 1978 I 1 IO_U27 +bit 1977 O 1 IO_U27 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_U28 +bit 1974 O 1 IO_U28 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_U29 +bit 1971 O 1 IO_U29 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_U30 +bit 1968 O 1 IO_U30 1967 1 Z +bit 1967 C 1 * +bit 1966 I 1 IO_U31 +bit 1965 O 1 IO_U31 1964 1 Z +bit 1964 C 1 * +bit 1963 I 1 IO_U32 +bit 1962 O 1 IO_U32 1961 1 Z +bit 1961 C 1 * +bit 1960 I 1 IO_U33 +bit 1959 O 1 IO_U33 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_U34 +bit 1956 O 1 IO_U34 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_V34 +bit 1953 O 1 IO_V34 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_V33 +bit 1950 O 1 IO_V33 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_V32 +bit 1947 O 1 IO_V32 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_V31 +bit 1944 O 1 IO_V31 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_V30 +bit 1941 O 1 IO_V30 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_V29 +bit 1938 O 1 IO_V29 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_V28 +bit 1935 O 1 IO_V28 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_V27 +bit 1932 O 1 IO_V27 1931 1 Z +bit 1931 C 1 * +bit 1930 I 1 IO_V26 +bit 1929 O 1 IO_V26 1928 1 Z +bit 1928 C 1 * +bit 1927 O 1 * +bit 1926 O 1 * +bit 1925 O 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 I 1 IO_V25 +bit 1920 O 1 IO_V25 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_W33 +bit 1917 O 1 IO_W33 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_W32 +bit 1914 O 1 IO_W32 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_W29 +bit 1911 O 1 IO_W29 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_W28 +bit 1908 O 1 IO_W28 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_W25 +bit 1905 O 1 IO_W25 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_Y26 +bit 1902 O 1 IO_Y26 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_Y34 +bit 1899 O 1 IO_Y34 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_Y33 +bit 1896 O 1 IO_Y33 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_Y32 +bit 1893 O 1 IO_Y32 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_Y31 +bit 1890 O 1 IO_Y31 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_Y29 +bit 1887 O 1 IO_Y29 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_Y28 +bit 1884 O 1 IO_Y28 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_Y25 +bit 1881 O 1 IO_Y25 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_Y24 +bit 1878 O 1 IO_Y24 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_AA34 +bit 1875 O 1 IO_AA34 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_AA33 +bit 1872 O 1 IO_AA33 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_AA32 +bit 1869 O 1 IO_AA32 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_AA31 +bit 1866 O 1 IO_AA31 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_AA30 +bit 1863 O 1 IO_AA30 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_AA29 +bit 1860 O 1 IO_AA29 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_AA28 +bit 1857 O 1 IO_AA28 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_AA27 +bit 1854 O 1 IO_AA27 1853 1 Z +bit 1853 C 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 O 1 * +bit 1848 O 1 * +bit 1847 O 1 * +bit 1846 I 1 IO_AA24 +bit 1845 O 1 IO_AA24 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_AB24 +bit 1842 O 1 IO_AB24 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_AB32 +bit 1839 O 1 IO_AB32 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_AB31 +bit 1836 O 1 IO_AB31 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_AB28 +bit 1833 O 1 IO_AB28 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_AB27 +bit 1830 O 1 IO_AB27 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_AB25 +bit 1827 O 1 IO_AB25 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_AC26 +bit 1824 O 1 IO_AC26 1823 1 Z +bit 1823 C 1 * +bit 1822 I 1 IO_AC34 +bit 1821 O 1 IO_AC34 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_AC33 +bit 1818 O 1 IO_AC33 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_AC32 +bit 1815 O 1 IO_AC32 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_AC31 +bit 1812 O 1 IO_AC31 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_AC30 +bit 1809 O 1 IO_AC30 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_AC29 +bit 1806 O 1 IO_AC29 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_AC28 +bit 1803 O 1 IO_AC28 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_AC27 +bit 1800 O 1 IO_AC27 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_AC25 +bit 1797 O 1 IO_AC25 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_AC24 +bit 1794 O 1 IO_AC24 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_AD34 +bit 1791 O 1 IO_AD34 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_AD33 +bit 1788 O 1 IO_AD33 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_AD26 +bit 1779 O 1 IO_AD26 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_AD25 +bit 1776 O 1 IO_AD25 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_AE34 +bit 1773 O 1 IO_AE34 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_AE33 +bit 1770 O 1 IO_AE33 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_AE32 +bit 1767 O 1 IO_AE32 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_AE31 +bit 1764 O 1 IO_AE31 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_AE30 +bit 1761 O 1 IO_AE30 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_AE29 +bit 1758 O 1 IO_AE29 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_AE28 +bit 1755 O 1 IO_AE28 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_AE27 +bit 1752 O 1 IO_AE27 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_AE26 +bit 1749 O 1 IO_AE26 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_AF27 +bit 1746 O 1 IO_AF27 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_AF33 +bit 1743 O 1 IO_AF33 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_AF32 +bit 1740 O 1 IO_AF32 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_AF31 +bit 1737 O 1 IO_AF31 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_AG31 +bit 1734 O 1 IO_AG31 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_AF29 +bit 1731 O 1 IO_AF29 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_AF28 +bit 1728 O 1 IO_AF28 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_AG34 +bit 1725 O 1 IO_AG34 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_AG33 +bit 1722 O 1 IO_AG33 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_AG30 +bit 1719 O 1 IO_AG30 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_AG29 +bit 1716 O 1 IO_AG29 1715 1 Z +bit 1715 C 1 * +bit 1714 I 1 IO_AH34 +bit 1713 O 1 IO_AH34 1712 1 Z +bit 1712 C 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 I 1 IO_AH33 +bit 1704 O 1 IO_AH33 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_AH30 +bit 1701 O 1 IO_AH30 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_AH29 +bit 1698 O 1 IO_AH29 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_AJ34 +bit 1695 O 1 IO_AJ34 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_AJ33 +bit 1692 O 1 IO_AJ33 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_AJ32 +bit 1689 O 1 IO_AJ32 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_AJ31 +bit 1686 O 1 IO_AJ31 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_AK33 +bit 1683 O 1 IO_AK33 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_AK32 +bit 1680 O 1 IO_AK32 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_AL34 +bit 1677 O 1 IO_AL34 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_AL33 +bit 1674 O 1 IO_AL33 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_AM34 +bit 1671 O 1 IO_AM34 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_AM33 +bit 1668 O 1 IO_AM33 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 CCLK_AL31 +bit 1665 O 1 CCLK_AL31 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 DONE_AD24 +bit 1662 O 1 DONE_AD24 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_AL30 +bit 1659 O 1 IO_AL30 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_AN32 +bit 1656 O 1 IO_AN32 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_AP32 +bit 1653 O 1 IO_AP32 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_AN31 +bit 1650 O 1 IO_AN31 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_AP31 +bit 1647 O 1 IO_AP31 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_AJ29 +bit 1644 O 1 IO_AJ29 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_AM30 +bit 1641 O 1 IO_AM30 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_AN30 +bit 1638 O 1 IO_AN30 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_AL29 +bit 1635 O 1 IO_AL29 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_AM29 +bit 1632 O 1 IO_AM29 1631 1 Z +bit 1631 C 1 * +bit 1630 I 1 IO_AG27 +bit 1629 O 1 IO_AG27 1628 1 Z +bit 1628 C 1 * +bit 1627 I 1 IO_AN29 +bit 1626 O 1 IO_AN29 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_AP29 +bit 1623 O 1 IO_AP29 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_AJ28 +bit 1620 O 1 IO_AJ28 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_AK28 +bit 1617 O 1 IO_AK28 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_AJ27 +bit 1614 O 1 IO_AJ27 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_AK27 +bit 1611 O 1 IO_AK27 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_AL27 +bit 1608 O 1 IO_AL27 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_AN27 +bit 1605 O 1 IO_AN27 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_AP27 +bit 1602 O 1 IO_AP27 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_AG26 +bit 1599 O 1 IO_AG26 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_AH26 +bit 1596 O 1 IO_AH26 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_AJ26 +bit 1593 O 1 IO_AJ26 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_AL26 +bit 1590 O 1 IO_AL26 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_AM26 +bit 1587 O 1 IO_AM26 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_AN26 +bit 1584 O 1 IO_AN26 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_AF25 +bit 1581 O 1 IO_AF25 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_AG25 +bit 1578 O 1 IO_AG25 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_AH25 +bit 1575 O 1 IO_AH25 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_AJ25 +bit 1572 O 1 IO_AJ25 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_AK25 +bit 1569 O 1 IO_AK25 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AL25 +bit 1566 O 1 IO_AL25 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AM25 +bit 1563 O 1 IO_AM25 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AN25 +bit 1560 O 1 IO_AN25 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_AP25 +bit 1557 O 1 IO_AP25 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_AF24 +bit 1554 O 1 IO_AF24 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_AD23 +bit 1551 O 1 IO_AD23 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AE23 +bit 1548 O 1 IO_AE23 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AF23 +bit 1545 O 1 IO_AF23 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AG23 +bit 1542 O 1 IO_AG23 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_AH23 +bit 1539 O 1 IO_AH23 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_AJ23 +bit 1536 O 1 IO_AJ23 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AK23 +bit 1533 O 1 IO_AK23 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AL23 +bit 1530 O 1 IO_AL23 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AM23 +bit 1527 O 1 IO_AM23 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_AD22 +bit 1524 O 1 IO_AD22 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_AN23 +bit 1521 O 1 IO_AN23 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_AP23 +bit 1518 O 1 IO_AP23 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_AG22 +bit 1515 O 1 IO_AG22 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AH22 +bit 1512 O 1 IO_AH22 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_AE22 +bit 1509 O 1 IO_AE22 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_AL22 +bit 1506 O 1 IO_AL22 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AM22 +bit 1503 O 1 IO_AM22 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AD21 +bit 1500 O 1 IO_AD21 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AE21 +bit 1497 O 1 IO_AE21 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_AF21 +bit 1494 O 1 IO_AF21 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AG21 +bit 1491 O 1 IO_AG21 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AH21 +bit 1488 O 1 IO_AH21 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AJ21 +bit 1485 O 1 IO_AJ21 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AK21 +bit 1482 O 1 IO_AK21 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_AD20 +bit 1479 O 1 IO_AD20 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_AL21 +bit 1476 O 1 IO_AL21 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AM21 +bit 1473 O 1 IO_AM21 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AN21 +bit 1470 O 1 IO_AN21 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AP21 +bit 1467 O 1 IO_AP21 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AD19 +bit 1464 O 1 IO_AD19 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AE20 +bit 1461 O 1 IO_AE20 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AF20 +bit 1458 O 1 IO_AF20 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AH20 +bit 1455 O 1 IO_AH20 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AJ20 +bit 1452 O 1 IO_AJ20 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_AE19 +bit 1449 O 1 IO_AE19 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_AL20 +bit 1446 O 1 IO_AL20 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AM20 +bit 1443 O 1 IO_AM20 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AN20 +bit 1440 O 1 IO_AN20 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AP20 +bit 1437 O 1 IO_AP20 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_AD18 +bit 1434 O 1 IO_AD18 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AH19 +bit 1431 O 1 IO_AH19 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AJ19 +bit 1428 O 1 IO_AJ19 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AM19 +bit 1425 O 1 IO_AM19 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AN19 +bit 1422 O 1 IO_AN19 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_AE18 +bit 1419 O 1 IO_AE18 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AF18 +bit 1416 O 1 IO_AF18 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AG18 +bit 1413 O 1 IO_AG18 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AH18 +bit 1410 O 1 IO_AH18 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AJ18 +bit 1407 O 1 IO_AJ18 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AK18 +bit 1404 O 1 IO_AK18 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AL18 +bit 1401 O 1 IO_AL18 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AM18 +bit 1398 O 1 IO_AM18 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AN18 +bit 1395 O 1 IO_AN18 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AP18 +bit 1392 O 1 IO_AP18 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AP17 +bit 1389 O 1 IO_AP17 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AN17 +bit 1386 O 1 IO_AN17 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AM17 +bit 1383 O 1 IO_AM17 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AL17 +bit 1380 O 1 IO_AL17 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AK17 +bit 1377 O 1 IO_AK17 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AJ17 +bit 1374 O 1 IO_AJ17 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AH17 +bit 1371 O 1 IO_AH17 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AG17 +bit 1368 O 1 IO_AG17 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_AF17 +bit 1365 O 1 IO_AF17 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AE17 +bit 1362 O 1 IO_AE17 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AD17 +bit 1359 O 1 IO_AD17 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AN16 +bit 1356 O 1 IO_AN16 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_AM16 +bit 1353 O 1 IO_AM16 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_AJ16 +bit 1350 O 1 IO_AJ16 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_AH16 +bit 1347 O 1 IO_AH16 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_AE16 +bit 1344 O 1 IO_AE16 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_AP15 +bit 1341 O 1 IO_AP15 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_AN15 +bit 1338 O 1 IO_AN15 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AM15 +bit 1335 O 1 IO_AM15 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AL15 +bit 1332 O 1 IO_AL15 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_AD16 +bit 1329 O 1 IO_AD16 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AJ15 +bit 1326 O 1 IO_AJ15 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_AH15 +bit 1323 O 1 IO_AH15 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_AF15 +bit 1320 O 1 IO_AF15 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AE15 +bit 1317 O 1 IO_AE15 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AD15 +bit 1314 O 1 IO_AD15 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AP14 +bit 1311 O 1 IO_AP14 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_AN14 +bit 1308 O 1 IO_AN14 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AM14 +bit 1305 O 1 IO_AM14 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AL14 +bit 1302 O 1 IO_AL14 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_AK14 +bit 1299 O 1 IO_AK14 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_AJ14 +bit 1296 O 1 IO_AJ14 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_AH14 +bit 1293 O 1 IO_AH14 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_AG14 +bit 1290 O 1 IO_AG14 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_AF14 +bit 1287 O 1 IO_AF14 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_AE14 +bit 1284 O 1 IO_AE14 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_AM13 +bit 1281 O 1 IO_AM13 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AL13 +bit 1278 O 1 IO_AL13 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AH13 +bit 1275 O 1 IO_AH13 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AG13 +bit 1272 O 1 IO_AG13 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_AD14 +bit 1269 O 1 IO_AD14 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AE13 +bit 1266 O 1 IO_AE13 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AD13 +bit 1263 O 1 IO_AD13 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AP12 +bit 1260 O 1 IO_AP12 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AN12 +bit 1257 O 1 IO_AN12 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AM12 +bit 1254 O 1 IO_AM12 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AL12 +bit 1251 O 1 IO_AL12 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AK12 +bit 1248 O 1 IO_AK12 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AJ12 +bit 1245 O 1 IO_AJ12 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AH12 +bit 1242 O 1 IO_AH12 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AG12 +bit 1239 O 1 IO_AG12 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AF12 +bit 1236 O 1 IO_AF12 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AE12 +bit 1233 O 1 IO_AE12 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AP11 +bit 1230 O 1 IO_AP11 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AN11 +bit 1227 O 1 IO_AN11 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_AD11 +bit 1224 O 1 IO_AD11 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AP10 +bit 1221 O 1 IO_AP10 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AN10 +bit 1218 O 1 IO_AN10 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AM10 +bit 1215 O 1 IO_AM10 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AL10 +bit 1212 O 1 IO_AL10 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AK10 +bit 1209 O 1 IO_AK10 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AJ10 +bit 1206 O 1 IO_AJ10 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AH10 +bit 1203 O 1 IO_AH10 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AG10 +bit 1200 O 1 IO_AG10 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AF10 +bit 1197 O 1 IO_AF10 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AN9 +bit 1194 O 1 IO_AN9 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AM9 +bit 1191 O 1 IO_AM9 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AL9 +bit 1188 O 1 IO_AL9 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AJ9 +bit 1185 O 1 IO_AJ9 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AH9 +bit 1182 O 1 IO_AH9 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AG9 +bit 1179 O 1 IO_AG9 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AP8 +bit 1176 O 1 IO_AP8 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AN8 +bit 1173 O 1 IO_AN8 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AL8 +bit 1170 O 1 IO_AL8 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AK8 +bit 1167 O 1 IO_AK8 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AJ8 +bit 1164 O 1 IO_AJ8 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AK7 +bit 1161 O 1 IO_AK7 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AJ7 +bit 1158 O 1 IO_AJ7 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AP6 +bit 1155 O 1 IO_AP6 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AN6 +bit 1152 O 1 IO_AN6 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AJ6 +bit 1149 O 1 IO_AJ6 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AM6 +bit 1146 O 1 IO_AM6 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AL6 +bit 1143 O 1 IO_AL6 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_AN5 +bit 1140 O 1 IO_AN5 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AM5 +bit 1137 O 1 IO_AM5 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AL5 +bit 1134 O 1 IO_AL5 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AP4 +bit 1131 O 1 IO_AP4 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AN4 +bit 1128 O 1 IO_AN4 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AP3 +bit 1125 O 1 IO_AP3 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AN3 +bit 1122 O 1 IO_AN3 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 M2_AG8 +bit 1119 I 1 M0_AL4 +bit 1118 I 1 M1_AK4 +bit 1117 I 1 IO_AM2 +bit 1116 O 1 IO_AM2 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AM1 +bit 1113 O 1 IO_AM1 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AL2 +bit 1110 O 1 IO_AL2 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AL1 +bit 1107 O 1 IO_AL1 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AK3 +bit 1104 O 1 IO_AK3 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AK2 +bit 1101 O 1 IO_AK2 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_AJ4 +bit 1098 O 1 IO_AJ4 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_AJ3 +bit 1095 O 1 IO_AJ3 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AJ2 +bit 1092 O 1 IO_AJ2 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AJ1 +bit 1089 O 1 IO_AJ1 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AH6 +bit 1086 O 1 IO_AH6 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_AH5 +bit 1083 O 1 IO_AH5 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AH2 +bit 1080 O 1 IO_AH2 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 I 1 IO_AH1 +bit 1071 O 1 IO_AH1 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_AG6 +bit 1068 O 1 IO_AG6 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AG5 +bit 1065 O 1 IO_AG5 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_AG2 +bit 1062 O 1 IO_AG2 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AG1 +bit 1059 O 1 IO_AG1 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AF7 +bit 1056 O 1 IO_AF7 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AF6 +bit 1053 O 1 IO_AF6 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AG4 +bit 1050 O 1 IO_AG4 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AF4 +bit 1047 O 1 IO_AF4 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AF3 +bit 1044 O 1 IO_AF3 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AF2 +bit 1041 O 1 IO_AF2 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_AF8 +bit 1038 O 1 IO_AF8 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AE9 +bit 1035 O 1 IO_AE9 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AE8 +bit 1032 O 1 IO_AE8 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AE7 +bit 1029 O 1 IO_AE7 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AE6 +bit 1026 O 1 IO_AE6 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AE5 +bit 1023 O 1 IO_AE5 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AE4 +bit 1020 O 1 IO_AE4 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AE3 +bit 1017 O 1 IO_AE3 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AE2 +bit 1014 O 1 IO_AE2 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AE1 +bit 1011 O 1 IO_AE1 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AD10 +bit 1008 O 1 IO_AD10 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AD9 +bit 1005 O 1 IO_AD9 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 I 1 IO_AD2 +bit 996 O 1 IO_AD2 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AD1 +bit 993 O 1 IO_AD1 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AC11 +bit 990 O 1 IO_AC11 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AC10 +bit 987 O 1 IO_AC10 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_AC8 +bit 984 O 1 IO_AC8 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_AC7 +bit 981 O 1 IO_AC7 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AC6 +bit 978 O 1 IO_AC6 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AC5 +bit 975 O 1 IO_AC5 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AC4 +bit 972 O 1 IO_AC4 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AC3 +bit 969 O 1 IO_AC3 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AC2 +bit 966 O 1 IO_AC2 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_AC1 +bit 963 O 1 IO_AC1 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_AC9 +bit 960 O 1 IO_AC9 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AB10 +bit 957 O 1 IO_AB10 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AB8 +bit 954 O 1 IO_AB8 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AB7 +bit 951 O 1 IO_AB7 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AB4 +bit 948 O 1 IO_AB4 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AB3 +bit 945 O 1 IO_AB3 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AB11 +bit 942 O 1 IO_AB11 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AA11 +bit 939 O 1 IO_AA11 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 I 1 IO_AA8 +bit 930 O 1 IO_AA8 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_AA7 +bit 927 O 1 IO_AA7 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AA6 +bit 924 O 1 IO_AA6 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AA5 +bit 921 O 1 IO_AA5 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_AA4 +bit 918 O 1 IO_AA4 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AA3 +bit 915 O 1 IO_AA3 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_AA2 +bit 912 O 1 IO_AA2 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_AA1 +bit 909 O 1 IO_AA1 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_Y11 +bit 906 O 1 IO_Y11 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_Y10 +bit 903 O 1 IO_Y10 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_Y7 +bit 900 O 1 IO_Y7 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_Y6 +bit 897 O 1 IO_Y6 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_Y4 +bit 894 O 1 IO_Y4 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_Y3 +bit 891 O 1 IO_Y3 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_Y2 +bit 888 O 1 IO_Y2 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_Y1 +bit 885 O 1 IO_Y1 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_Y9 +bit 882 O 1 IO_Y9 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_W10 +bit 879 O 1 IO_W10 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_W7 +bit 876 O 1 IO_W7 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_W6 +bit 873 O 1 IO_W6 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_W3 +bit 870 O 1 IO_W3 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_W2 +bit 867 O 1 IO_W2 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_V10 +bit 864 O 1 IO_V10 863 1 Z +bit 863 C 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 I 1 IO_V9 +bit 855 O 1 IO_V9 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_V8 +bit 852 O 1 IO_V8 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_V7 +bit 849 O 1 IO_V7 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_V6 +bit 846 O 1 IO_V6 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_V5 +bit 843 O 1 IO_V5 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_V4 +bit 840 O 1 IO_V4 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_V3 +bit 837 O 1 IO_V3 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_V2 +bit 834 O 1 IO_V2 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_V1 +bit 831 O 1 IO_V1 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_U1 +bit 828 O 1 IO_U1 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_U2 +bit 825 O 1 IO_U2 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_U3 +bit 822 O 1 IO_U3 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_U4 +bit 819 O 1 IO_U4 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_U5 +bit 816 O 1 IO_U5 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_U6 +bit 813 O 1 IO_U6 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_U7 +bit 810 O 1 IO_U7 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_U8 +bit 807 O 1 IO_U8 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_U9 +bit 804 O 1 IO_U9 803 1 Z +bit 803 C 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 I 1 IO_U10 +bit 795 O 1 IO_U10 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_T2 +bit 792 O 1 IO_T2 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_T3 +bit 789 O 1 IO_T3 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_T6 +bit 786 O 1 IO_T6 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_T7 +bit 783 O 1 IO_T7 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_T10 +bit 780 O 1 IO_T10 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_R9 +bit 777 O 1 IO_R9 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_R1 +bit 774 O 1 IO_R1 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_R2 +bit 771 O 1 IO_R2 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_R3 +bit 768 O 1 IO_R3 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_R4 +bit 765 O 1 IO_R4 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_R6 +bit 762 O 1 IO_R6 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_R7 +bit 759 O 1 IO_R7 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_R10 +bit 756 O 1 IO_R10 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_R11 +bit 753 O 1 IO_R11 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_P1 +bit 750 O 1 IO_P1 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_P2 +bit 747 O 1 IO_P2 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_P3 +bit 744 O 1 IO_P3 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_P4 +bit 741 O 1 IO_P4 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_P5 +bit 738 O 1 IO_P5 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_P6 +bit 735 O 1 IO_P6 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_P7 +bit 732 O 1 IO_P7 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_P8 +bit 729 O 1 IO_P8 728 1 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_P11 +bit 720 O 1 IO_P11 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_N11 +bit 717 O 1 IO_N11 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_N3 +bit 714 O 1 IO_N3 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_N4 +bit 711 O 1 IO_N4 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_N7 +bit 708 O 1 IO_N7 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_N8 +bit 705 O 1 IO_N8 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_N10 +bit 702 O 1 IO_N10 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_M9 +bit 699 O 1 IO_M9 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_M1 +bit 696 O 1 IO_M1 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_M2 +bit 693 O 1 IO_M2 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_M3 +bit 690 O 1 IO_M3 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_M4 +bit 687 O 1 IO_M4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_M5 +bit 684 O 1 IO_M5 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_M6 +bit 681 O 1 IO_M6 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_M7 +bit 678 O 1 IO_M7 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_M8 +bit 675 O 1 IO_M8 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_M10 +bit 672 O 1 IO_M10 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_M11 +bit 669 O 1 IO_M11 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_L1 +bit 666 O 1 IO_L1 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_L2 +bit 663 O 1 IO_L2 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_L9 +bit 654 O 1 IO_L9 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_L10 +bit 651 O 1 IO_L10 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_K1 +bit 648 O 1 IO_K1 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_K2 +bit 645 O 1 IO_K2 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_K3 +bit 642 O 1 IO_K3 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_K4 +bit 639 O 1 IO_K4 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_K5 +bit 636 O 1 IO_K5 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_K6 +bit 633 O 1 IO_K6 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_K7 +bit 630 O 1 IO_K7 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_K8 +bit 627 O 1 IO_K8 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_K9 +bit 624 O 1 IO_K9 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_J8 +bit 621 O 1 IO_J8 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_J2 +bit 618 O 1 IO_J2 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_J3 +bit 615 O 1 IO_J3 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_J4 +bit 612 O 1 IO_J4 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_H4 +bit 609 O 1 IO_H4 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_J6 +bit 606 O 1 IO_J6 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_J7 +bit 603 O 1 IO_J7 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_H1 +bit 600 O 1 IO_H1 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_H2 +bit 597 O 1 IO_H2 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_H5 +bit 594 O 1 IO_H5 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_H6 +bit 591 O 1 IO_H6 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_G1 +bit 588 O 1 IO_G1 587 1 Z +bit 587 C 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 I 1 IO_G2 +bit 579 O 1 IO_G2 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_G5 +bit 576 O 1 IO_G5 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_G6 +bit 573 O 1 IO_G6 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_F1 +bit 570 O 1 IO_F1 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_F2 +bit 567 O 1 IO_F2 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_F3 +bit 564 O 1 IO_F3 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_F4 +bit 561 O 1 IO_F4 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_E2 +bit 558 O 1 IO_E2 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_E3 +bit 555 O 1 IO_E3 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_D1 +bit 552 O 1 IO_D1 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_D2 +bit 549 O 1 IO_D2 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_C1 +bit 546 O 1 IO_C1 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_C2 +bit 543 O 1 IO_C2 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 I 1 HSWAP_EN_L11 +bit 539 I 1 IO_D5 +bit 538 O 1 IO_D5 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_B3 +bit 535 O 1 IO_B3 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_A3 +bit 532 O 1 IO_A3 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_B4 +bit 529 O 1 IO_B4 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_A4 +bit 526 O 1 IO_A4 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_F6 +bit 523 O 1 IO_F6 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_C5 +bit 520 O 1 IO_C5 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_B5 +bit 517 O 1 IO_B5 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_D6 +bit 514 O 1 IO_D6 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_C6 +bit 511 O 1 IO_C6 510 1 Z +bit 510 C 1 * +bit 509 I 1 IO_H8 +bit 508 O 1 IO_H8 507 1 Z +bit 507 C 1 * +bit 506 I 1 IO_B6 +bit 505 O 1 IO_B6 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_A6 +bit 502 O 1 IO_A6 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_F7 +bit 499 O 1 IO_F7 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_E7 +bit 496 O 1 IO_E7 495 1 Z +bit 495 C 1 * +bit 494 I 1 IO_F8 +bit 493 O 1 IO_F8 492 1 Z +bit 492 C 1 * +bit 491 I 1 IO_E8 +bit 490 O 1 IO_E8 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_D8 +bit 487 O 1 IO_D8 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_B8 +bit 484 O 1 IO_B8 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_A8 +bit 481 O 1 IO_A8 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_H9 +bit 478 O 1 IO_H9 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_G9 +bit 475 O 1 IO_G9 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_F9 +bit 472 O 1 IO_F9 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_D9 +bit 469 O 1 IO_D9 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_C9 +bit 466 O 1 IO_C9 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_B9 +bit 463 O 1 IO_B9 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_J10 +bit 460 O 1 IO_J10 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_H10 +bit 457 O 1 IO_H10 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_G10 +bit 454 O 1 IO_G10 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_F10 +bit 451 O 1 IO_F10 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_E10 +bit 448 O 1 IO_E10 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_D10 +bit 445 O 1 IO_D10 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_C10 +bit 442 O 1 IO_C10 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_B10 +bit 439 O 1 IO_B10 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_A10 +bit 436 O 1 IO_A10 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_J11 +bit 433 O 1 IO_J11 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_L12 +bit 430 O 1 IO_L12 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_K12 +bit 427 O 1 IO_K12 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_J12 +bit 424 O 1 IO_J12 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_H12 +bit 421 O 1 IO_H12 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_G12 +bit 418 O 1 IO_G12 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_F12 +bit 415 O 1 IO_F12 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_E12 +bit 412 O 1 IO_E12 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_D12 +bit 409 O 1 IO_D12 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_C12 +bit 406 O 1 IO_C12 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_L13 +bit 403 O 1 IO_L13 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_B12 +bit 400 O 1 IO_B12 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_A12 +bit 397 O 1 IO_A12 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_H13 +bit 394 O 1 IO_H13 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_G13 +bit 391 O 1 IO_G13 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_K13 +bit 388 O 1 IO_K13 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_D13 +bit 385 O 1 IO_D13 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_C13 +bit 382 O 1 IO_C13 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_L14 +bit 379 O 1 IO_L14 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_K14 +bit 376 O 1 IO_K14 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_J14 +bit 373 O 1 IO_J14 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_H14 +bit 370 O 1 IO_H14 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_G14 +bit 367 O 1 IO_G14 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_F14 +bit 364 O 1 IO_F14 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_E14 +bit 361 O 1 IO_E14 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_L15 +bit 358 O 1 IO_L15 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_D14 +bit 355 O 1 IO_D14 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_C14 +bit 352 O 1 IO_C14 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_B14 +bit 349 O 1 IO_B14 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_A14 +bit 346 O 1 IO_A14 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_L16 +bit 343 O 1 IO_L16 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_K15 +bit 340 O 1 IO_K15 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_J15 +bit 337 O 1 IO_J15 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_G15 +bit 334 O 1 IO_G15 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_F15 +bit 331 O 1 IO_F15 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_K16 +bit 328 O 1 IO_K16 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_D15 +bit 325 O 1 IO_D15 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_C15 +bit 322 O 1 IO_C15 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_B15 +bit 319 O 1 IO_B15 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_A15 +bit 316 O 1 IO_A15 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_L17 +bit 313 O 1 IO_L17 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_G16 +bit 310 O 1 IO_G16 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_F16 +bit 307 O 1 IO_F16 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_C16 +bit 304 O 1 IO_C16 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_B16 +bit 301 O 1 IO_B16 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_K17 +bit 298 O 1 IO_K17 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_J17 +bit 295 O 1 IO_J17 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_H17 +bit 292 O 1 IO_H17 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_G17 +bit 289 O 1 IO_G17 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_F17 +bit 286 O 1 IO_F17 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_E17 +bit 283 O 1 IO_E17 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_D17 +bit 280 O 1 IO_D17 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_C17 +bit 277 O 1 IO_C17 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_B17 +bit 274 O 1 IO_B17 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_A17 +bit 271 O 1 IO_A17 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_A18 +bit 268 O 1 IO_A18 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B18 +bit 265 O 1 IO_B18 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_C18 +bit 262 O 1 IO_C18 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_D18 +bit 259 O 1 IO_D18 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_E18 +bit 256 O 1 IO_E18 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_F18 +bit 253 O 1 IO_F18 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_G18 +bit 250 O 1 IO_G18 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_H18 +bit 247 O 1 IO_H18 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_J18 +bit 244 O 1 IO_J18 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_K18 +bit 241 O 1 IO_K18 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_L18 +bit 238 O 1 IO_L18 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B19 +bit 235 O 1 IO_B19 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C19 +bit 232 O 1 IO_C19 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F19 +bit 229 O 1 IO_F19 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_G19 +bit 226 O 1 IO_G19 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_K19 +bit 223 O 1 IO_K19 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_A20 +bit 220 O 1 IO_A20 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_B20 +bit 217 O 1 IO_B20 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_C20 +bit 214 O 1 IO_C20 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_D20 +bit 211 O 1 IO_D20 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_L19 +bit 208 O 1 IO_L19 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_F20 +bit 205 O 1 IO_F20 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_G20 +bit 202 O 1 IO_G20 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_J20 +bit 199 O 1 IO_J20 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_K20 +bit 196 O 1 IO_K20 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_L20 +bit 193 O 1 IO_L20 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A21 +bit 190 O 1 IO_A21 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B21 +bit 187 O 1 IO_B21 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_C21 +bit 184 O 1 IO_C21 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D21 +bit 181 O 1 IO_D21 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_E21 +bit 178 O 1 IO_E21 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_F21 +bit 175 O 1 IO_F21 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_G21 +bit 172 O 1 IO_G21 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_H21 +bit 169 O 1 IO_H21 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_J21 +bit 166 O 1 IO_J21 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_K21 +bit 163 O 1 IO_K21 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_C22 +bit 160 O 1 IO_C22 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_D22 +bit 157 O 1 IO_D22 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_G22 +bit 154 O 1 IO_G22 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_H22 +bit 151 O 1 IO_H22 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_L21 +bit 148 O 1 IO_L21 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_K22 +bit 145 O 1 IO_K22 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_L22 +bit 142 O 1 IO_L22 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_A23 +bit 139 O 1 IO_A23 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_B23 +bit 136 O 1 IO_B23 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_C23 +bit 133 O 1 IO_C23 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_D23 +bit 130 O 1 IO_D23 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_E23 +bit 127 O 1 IO_E23 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_F23 +bit 124 O 1 IO_F23 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_G23 +bit 121 O 1 IO_G23 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_H23 +bit 118 O 1 IO_H23 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_J23 +bit 115 O 1 IO_J23 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_K23 +bit 112 O 1 IO_K23 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A24 +bit 109 O 1 IO_A24 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B24 +bit 106 O 1 IO_B24 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_L24 +bit 103 O 1 IO_L24 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_A25 +bit 100 O 1 IO_A25 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_B25 +bit 97 O 1 IO_B25 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_C25 +bit 94 O 1 IO_C25 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_D25 +bit 91 O 1 IO_D25 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_E25 +bit 88 O 1 IO_E25 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_F25 +bit 85 O 1 IO_F25 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_G25 +bit 82 O 1 IO_G25 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_H25 +bit 79 O 1 IO_H25 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_J25 +bit 76 O 1 IO_J25 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_B26 +bit 73 O 1 IO_B26 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_C26 +bit 70 O 1 IO_C26 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D26 +bit 67 O 1 IO_D26 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_F26 +bit 64 O 1 IO_F26 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_G26 +bit 61 O 1 IO_G26 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_H26 +bit 58 O 1 IO_H26 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A27 +bit 55 O 1 IO_A27 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B27 +bit 52 O 1 IO_B27 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_D27 +bit 49 O 1 IO_D27 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_E27 +bit 46 O 1 IO_E27 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_F27 +bit 43 O 1 IO_F27 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_E28 +bit 40 O 1 IO_E28 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_F28 +bit 37 O 1 IO_F28 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_A29 +bit 34 O 1 IO_A29 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_B29 +bit 31 O 1 IO_B29 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_F29 +bit 28 O 1 IO_F29 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_C29 +bit 25 O 1 IO_C29 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_D29 +bit 22 O 1 IO_D29 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_B30 +bit 19 O 1 IO_B30 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_C30 +bit 16 O 1 IO_C30 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_D30 +bit 13 O 1 IO_D30 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_A31 +bit 10 O 1 IO_A31 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_B31 +bit 7 O 1 IO_B31 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A32 +bit 4 O 1 IO_A32 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B32 +bit 1 O 1 IO_B32 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg676 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg676 new file mode 100644 index 0000000..aba7ff8 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg676 @@ -0,0 +1,2930 @@ +signal CCLK_AD26 +signal DONE_AC24 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal HSWAP_EN_C2 +signal M0_AE3 +signal M1_AC3 +signal M2_AF3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal IO_A3 +signal IO_A4 +signal IO_A5 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A14 +signal IO_A15 +signal IO_A16 +signal IO_A17 +signal IO_A19 +signal IO_A20 +signal IO_A21 +signal IO_A22 +signal IO_A23 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_C4 +signal IO_C5 +signal IO_C6 +signal IO_C8 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D11 +signal IO_D13 +signal IO_D14 +signal IO_D16 +signal IO_D17 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D25 +signal IO_D26 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E4 +signal IO_E5 +signal IO_E6 +signal IO_E7 +signal IO_E8 +signal IO_E9 +signal IO_E10 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_E15 +signal IO_E16 +signal IO_E17 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E21 +signal IO_E22 +signal IO_E23 +signal IO_E24 +signal IO_E25 +signal IO_E26 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_G1 +signal IO_G2 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G9 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G25 +signal IO_G26 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H15 +signal IO_H16 +signal IO_H20 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J7 +signal IO_J20 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J24 +signal IO_J25 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K24 +signal IO_K25 +signal IO_K26 +signal IO_L1 +signal IO_L2 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L23 +signal IO_L25 +signal IO_L26 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M19 +signal IO_M20 +signal IO_M21 +signal IO_M22 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_N1 +signal IO_N2 +signal IO_N3 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N7 +signal IO_N8 +signal IO_N19 +signal IO_N20 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N24 +signal IO_N25 +signal IO_N26 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R19 +signal IO_R20 +signal IO_R21 +signal IO_R22 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_T1 +signal IO_T2 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T19 +signal IO_T20 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T25 +signal IO_T26 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U20 +signal IO_U21 +signal IO_U22 +signal IO_U23 +signal IO_U24 +signal IO_U25 +signal IO_U26 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V20 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V24 +signal IO_V25 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W11 +signal IO_W12 +signal IO_W13 +signal IO_W14 +signal IO_W15 +signal IO_W16 +signal IO_W20 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_Y1 +signal IO_Y2 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y12 +signal IO_Y13 +signal IO_Y14 +signal IO_Y15 +signal IO_Y16 +signal IO_Y17 +signal IO_Y18 +signal IO_Y19 +signal IO_Y20 +signal IO_Y21 +signal IO_Y22 +signal IO_Y23 +signal IO_Y25 +signal IO_Y26 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA23 +signal IO_AA24 +signal IO_AA25 +signal IO_AA26 +signal IO_AB1 +signal IO_AB2 +signal IO_AB3 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB7 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB11 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB20 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB24 +signal IO_AB25 +signal IO_AB26 +signal IO_AC1 +signal IO_AC2 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC13 +signal IO_AC14 +signal IO_AC16 +signal IO_AC17 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC21 +signal IO_AC22 +signal IO_AC25 +signal IO_AC26 +signal IO_AD1 +signal IO_AD2 +signal IO_AD4 +signal IO_AD5 +signal IO_AD6 +signal IO_AD8 +signal IO_AD9 +signal IO_AD10 +signal IO_AD12 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AF4 +signal IO_AF5 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF10 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF14 +signal IO_AF15 +signal IO_AF16 +signal IO_AF17 +signal IO_AF19 +signal IO_AF20 +signal IO_AF21 +signal IO_AF22 +signal IO_AF23 + +register BSR 2243 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2242 I 1 IO_C25 +bit 2241 O 1 IO_C25 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_C26 +bit 2238 O 1 IO_C26 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_E23 +bit 2235 O 1 IO_E23 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_E24 +bit 2232 O 1 IO_E24 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_D25 +bit 2229 O 1 IO_D25 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_D26 +bit 2226 O 1 IO_D26 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_F22 +bit 2223 O 1 IO_F22 2222 1 Z +bit 2222 C 1 * +bit 2221 O 1 * +bit 2220 O 1 * +bit 2219 O 1 * +bit 2218 O 1 * +bit 2217 O 1 * +bit 2216 O 1 * +bit 2215 O 1 * +bit 2214 O 1 * +bit 2213 O 1 * +bit 2212 I 1 IO_E25 +bit 2211 O 1 IO_E25 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_E26 +bit 2208 O 1 IO_E26 2207 1 Z +bit 2207 C 1 * +bit 2206 O 1 * +bit 2205 O 1 * +bit 2204 O 1 * +bit 2203 O 1 * +bit 2202 O 1 * +bit 2201 O 1 * +bit 2200 O 1 * +bit 2199 O 1 * +bit 2198 O 1 * +bit 2197 O 1 * +bit 2196 O 1 * +bit 2195 O 1 * +bit 2194 I 1 IO_G20 +bit 2193 O 1 IO_G20 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_G21 +bit 2190 O 1 IO_G21 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_F23 +bit 2187 O 1 IO_F23 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_F24 +bit 2184 O 1 IO_F24 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_G22 +bit 2181 O 1 IO_G22 2180 1 Z +bit 2180 C 1 * +bit 2179 I 1 IO_G23 +bit 2178 O 1 IO_G23 2177 1 Z +bit 2177 C 1 * +bit 2176 I 1 IO_F25 +bit 2175 O 1 IO_F25 2174 1 Z +bit 2174 C 1 * +bit 2173 I 1 IO_F26 +bit 2172 O 1 IO_F26 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_G25 +bit 2169 O 1 IO_G25 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_G26 +bit 2166 O 1 IO_G26 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_H20 +bit 2163 O 1 IO_H20 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_H21 +bit 2160 O 1 IO_H21 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_H22 +bit 2157 O 1 IO_H22 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_J21 +bit 2154 O 1 IO_J21 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_H23 +bit 2151 O 1 IO_H23 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_H24 +bit 2148 O 1 IO_H24 2147 1 Z +bit 2147 C 1 * +bit 2146 O 1 * +bit 2145 O 1 * +bit 2144 O 1 * +bit 2143 O 1 * +bit 2142 O 1 * +bit 2141 O 1 * +bit 2140 O 1 * +bit 2139 O 1 * +bit 2138 O 1 * +bit 2137 O 1 * +bit 2136 O 1 * +bit 2135 O 1 * +bit 2134 O 1 * +bit 2133 O 1 * +bit 2132 O 1 * +bit 2131 O 1 * +bit 2130 O 1 * +bit 2129 O 1 * +bit 2128 O 1 * +bit 2127 O 1 * +bit 2126 O 1 * +bit 2125 O 1 * +bit 2124 O 1 * +bit 2123 O 1 * +bit 2122 O 1 * +bit 2121 O 1 * +bit 2120 O 1 * +bit 2119 O 1 * +bit 2118 O 1 * +bit 2117 O 1 * +bit 2116 O 1 * +bit 2115 O 1 * +bit 2114 O 1 * +bit 2113 O 1 * +bit 2112 O 1 * +bit 2111 O 1 * +bit 2110 O 1 * +bit 2109 O 1 * +bit 2108 O 1 * +bit 2107 O 1 * +bit 2106 O 1 * +bit 2105 O 1 * +bit 2104 I 1 IO_H25 +bit 2103 O 1 IO_H25 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_H26 +bit 2100 O 1 IO_H26 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_J20 +bit 2097 O 1 IO_J20 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_K20 +bit 2094 O 1 IO_K20 2093 1 Z +bit 2093 C 1 * +bit 2092 O 1 * +bit 2091 O 1 * +bit 2090 O 1 * +bit 2089 O 1 * +bit 2088 O 1 * +bit 2087 O 1 * +bit 2086 I 1 IO_J22 +bit 2085 O 1 IO_J22 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_J23 +bit 2082 O 1 IO_J23 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_J24 +bit 2079 O 1 IO_J24 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_J25 +bit 2076 O 1 IO_J25 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_K21 +bit 2073 O 1 IO_K21 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_K22 +bit 2070 O 1 IO_K22 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_K23 +bit 2067 O 1 IO_K23 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_K24 +bit 2064 O 1 IO_K24 2063 1 Z +bit 2063 C 1 * +bit 2062 O 1 * +bit 2061 O 1 * +bit 2060 O 1 * +bit 2059 O 1 * +bit 2058 O 1 * +bit 2057 O 1 * +bit 2056 O 1 * +bit 2055 O 1 * +bit 2054 O 1 * +bit 2053 O 1 * +bit 2052 O 1 * +bit 2051 O 1 * +bit 2050 I 1 IO_K25 +bit 2049 O 1 IO_K25 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_K26 +bit 2046 O 1 IO_K26 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_L19 +bit 2043 O 1 IO_L19 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_L20 +bit 2040 O 1 IO_L20 2039 1 Z +bit 2039 C 1 * +bit 2038 I 1 IO_L21 +bit 2037 O 1 IO_L21 2036 1 Z +bit 2036 C 1 * +bit 2035 I 1 IO_L22 +bit 2034 O 1 IO_L22 2033 1 Z +bit 2033 C 1 * +bit 2032 I 1 IO_L25 +bit 2031 O 1 IO_L25 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_L26 +bit 2028 O 1 IO_L26 2027 1 Z +bit 2027 C 1 * +bit 2026 O 1 * +bit 2025 O 1 * +bit 2024 O 1 * +bit 2023 O 1 * +bit 2022 O 1 * +bit 2021 O 1 * +bit 2020 I 1 IO_M19 +bit 2019 O 1 IO_M19 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_M20 +bit 2016 O 1 IO_M20 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_M21 +bit 2013 O 1 IO_M21 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_M22 +bit 2010 O 1 IO_M22 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_L23 +bit 2007 O 1 IO_L23 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_M24 +bit 2004 O 1 IO_M24 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_M25 +bit 2001 O 1 IO_M25 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_M26 +bit 1998 O 1 IO_M26 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_N19 +bit 1995 O 1 IO_N19 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_N20 +bit 1992 O 1 IO_N20 1991 1 Z +bit 1991 C 1 * +bit 1990 O 1 * +bit 1989 O 1 * +bit 1988 O 1 * +bit 1987 O 1 * +bit 1986 O 1 * +bit 1985 O 1 * +bit 1984 O 1 * +bit 1983 O 1 * +bit 1982 O 1 * +bit 1981 O 1 * +bit 1980 O 1 * +bit 1979 O 1 * +bit 1978 O 1 * +bit 1977 O 1 * +bit 1976 O 1 * +bit 1975 O 1 * +bit 1974 O 1 * +bit 1973 O 1 * +bit 1972 I 1 IO_N21 +bit 1971 O 1 IO_N21 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_N22 +bit 1968 O 1 IO_N22 1967 1 Z +bit 1967 C 1 * +bit 1966 I 1 IO_N23 +bit 1965 O 1 IO_N23 1964 1 Z +bit 1964 C 1 * +bit 1963 I 1 IO_N24 +bit 1962 O 1 IO_N24 1961 1 Z +bit 1961 C 1 * +bit 1960 I 1 IO_N25 +bit 1959 O 1 IO_N25 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_N26 +bit 1956 O 1 IO_N26 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_P26 +bit 1953 O 1 IO_P26 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_P25 +bit 1950 O 1 IO_P25 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_P24 +bit 1947 O 1 IO_P24 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_P23 +bit 1944 O 1 IO_P23 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_P22 +bit 1941 O 1 IO_P22 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_P21 +bit 1938 O 1 IO_P21 1937 1 Z +bit 1937 C 1 * +bit 1936 O 1 * +bit 1935 O 1 * +bit 1934 O 1 * +bit 1933 O 1 * +bit 1932 O 1 * +bit 1931 O 1 * +bit 1930 O 1 * +bit 1929 O 1 * +bit 1928 O 1 * +bit 1927 O 1 * +bit 1926 O 1 * +bit 1925 O 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 O 1 * +bit 1920 O 1 * +bit 1919 O 1 * +bit 1918 I 1 IO_P20 +bit 1917 O 1 IO_P20 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_P19 +bit 1914 O 1 IO_P19 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_R26 +bit 1911 O 1 IO_R26 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_R25 +bit 1908 O 1 IO_R25 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_R24 +bit 1905 O 1 IO_R24 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_T23 +bit 1902 O 1 IO_T23 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_R22 +bit 1899 O 1 IO_R22 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_R21 +bit 1896 O 1 IO_R21 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_R20 +bit 1893 O 1 IO_R20 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_R19 +bit 1890 O 1 IO_R19 1889 1 Z +bit 1889 C 1 * +bit 1888 O 1 * +bit 1887 O 1 * +bit 1886 O 1 * +bit 1885 O 1 * +bit 1884 O 1 * +bit 1883 O 1 * +bit 1882 O 1 * +bit 1881 O 1 * +bit 1880 O 1 * +bit 1879 O 1 * +bit 1878 O 1 * +bit 1877 O 1 * +bit 1876 I 1 IO_T26 +bit 1875 O 1 IO_T26 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_T25 +bit 1872 O 1 IO_T25 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_T22 +bit 1869 O 1 IO_T22 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_T21 +bit 1866 O 1 IO_T21 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_T20 +bit 1863 O 1 IO_T20 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_T19 +bit 1860 O 1 IO_T19 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_U26 +bit 1857 O 1 IO_U26 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_U25 +bit 1854 O 1 IO_U25 1853 1 Z +bit 1853 C 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 O 1 * +bit 1848 O 1 * +bit 1847 O 1 * +bit 1846 O 1 * +bit 1845 O 1 * +bit 1844 O 1 * +bit 1843 O 1 * +bit 1842 O 1 * +bit 1841 O 1 * +bit 1840 O 1 * +bit 1839 O 1 * +bit 1838 O 1 * +bit 1837 O 1 * +bit 1836 O 1 * +bit 1835 O 1 * +bit 1834 O 1 * +bit 1833 O 1 * +bit 1832 O 1 * +bit 1831 O 1 * +bit 1830 O 1 * +bit 1829 O 1 * +bit 1828 I 1 IO_U24 +bit 1827 O 1 IO_U24 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_U23 +bit 1824 O 1 IO_U23 1823 1 Z +bit 1823 C 1 * +bit 1822 O 1 * +bit 1821 O 1 * +bit 1820 O 1 * +bit 1819 O 1 * +bit 1818 O 1 * +bit 1817 O 1 * +bit 1816 I 1 IO_U22 +bit 1815 O 1 IO_U22 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_U21 +bit 1812 O 1 IO_U21 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_V25 +bit 1809 O 1 IO_V25 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_V24 +bit 1806 O 1 IO_V24 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_V23 +bit 1803 O 1 IO_V23 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_V22 +bit 1800 O 1 IO_V22 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_U20 +bit 1797 O 1 IO_U20 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_V20 +bit 1794 O 1 IO_V20 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_W26 +bit 1791 O 1 IO_W26 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_W25 +bit 1788 O 1 IO_W25 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_W24 +bit 1779 O 1 IO_W24 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_W23 +bit 1776 O 1 IO_W23 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_V21 +bit 1773 O 1 IO_V21 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_W22 +bit 1770 O 1 IO_W22 1769 1 Z +bit 1769 C 1 * +bit 1768 O 1 * +bit 1767 O 1 * +bit 1766 O 1 * +bit 1765 O 1 * +bit 1764 O 1 * +bit 1763 O 1 * +bit 1762 I 1 IO_Y26 +bit 1761 O 1 IO_Y26 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_Y25 +bit 1758 O 1 IO_Y25 1757 1 Z +bit 1757 C 1 * +bit 1756 O 1 * +bit 1755 O 1 * +bit 1754 O 1 * +bit 1753 O 1 * +bit 1752 O 1 * +bit 1751 O 1 * +bit 1750 O 1 * +bit 1749 O 1 * +bit 1748 O 1 * +bit 1747 O 1 * +bit 1746 O 1 * +bit 1745 O 1 * +bit 1744 O 1 * +bit 1743 O 1 * +bit 1742 O 1 * +bit 1741 O 1 * +bit 1740 O 1 * +bit 1739 O 1 * +bit 1738 I 1 IO_W21 +bit 1737 O 1 IO_W21 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_W20 +bit 1734 O 1 IO_W20 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_AA26 +bit 1731 O 1 IO_AA26 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_AA25 +bit 1728 O 1 IO_AA25 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_Y23 +bit 1725 O 1 IO_Y23 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_Y22 +bit 1722 O 1 IO_Y22 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_AA24 +bit 1719 O 1 IO_AA24 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_AA23 +bit 1716 O 1 IO_AA23 1715 1 Z +bit 1715 C 1 * +bit 1714 O 1 * +bit 1713 O 1 * +bit 1712 O 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 O 1 * +bit 1704 O 1 * +bit 1703 O 1 * +bit 1702 I 1 IO_AB26 +bit 1701 O 1 IO_AB26 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_AB25 +bit 1698 O 1 IO_AB25 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_Y21 +bit 1695 O 1 IO_Y21 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_Y20 +bit 1692 O 1 IO_Y20 1691 1 Z +bit 1691 C 1 * +bit 1690 O 1 * +bit 1689 O 1 * +bit 1688 O 1 * +bit 1687 O 1 * +bit 1686 O 1 * +bit 1685 O 1 * +bit 1684 I 1 IO_AC26 +bit 1683 O 1 IO_AC26 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_AC25 +bit 1680 O 1 IO_AC25 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_AB24 +bit 1677 O 1 IO_AB24 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_AB23 +bit 1674 O 1 IO_AB23 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_AA22 +bit 1671 O 1 IO_AA22 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_AA21 +bit 1668 O 1 IO_AA21 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 CCLK_AD26 +bit 1665 O 1 CCLK_AD26 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 DONE_AC24 +bit 1662 O 1 DONE_AC24 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_AD25 +bit 1659 O 1 IO_AD25 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_AB22 +bit 1656 O 1 IO_AB22 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_AC22 +bit 1653 O 1 IO_AC22 1652 1 Z +bit 1652 C 1 * +bit 1651 O 1 * +bit 1650 O 1 * +bit 1649 O 1 * +bit 1648 O 1 * +bit 1647 O 1 * +bit 1646 O 1 * +bit 1645 O 1 * +bit 1644 O 1 * +bit 1643 O 1 * +bit 1642 O 1 * +bit 1641 O 1 * +bit 1640 O 1 * +bit 1639 O 1 * +bit 1638 O 1 * +bit 1637 O 1 * +bit 1636 O 1 * +bit 1635 O 1 * +bit 1634 O 1 * +bit 1633 O 1 * +bit 1632 O 1 * +bit 1631 O 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 O 1 * +bit 1626 O 1 * +bit 1625 O 1 * +bit 1624 O 1 * +bit 1623 O 1 * +bit 1622 O 1 * +bit 1621 O 1 * +bit 1620 O 1 * +bit 1619 O 1 * +bit 1618 O 1 * +bit 1617 O 1 * +bit 1616 O 1 * +bit 1615 O 1 * +bit 1614 O 1 * +bit 1613 O 1 * +bit 1612 O 1 * +bit 1611 O 1 * +bit 1610 O 1 * +bit 1609 O 1 * +bit 1608 O 1 * +bit 1607 O 1 * +bit 1606 I 1 IO_AE24 +bit 1605 O 1 IO_AE24 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_AF24 +bit 1602 O 1 IO_AF24 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_AD23 +bit 1599 O 1 IO_AD23 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_AE23 +bit 1596 O 1 IO_AE23 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_AF23 +bit 1593 O 1 IO_AF23 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_AD22 +bit 1590 O 1 IO_AD22 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_AE22 +bit 1587 O 1 IO_AE22 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_AF22 +bit 1584 O 1 IO_AF22 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_AB21 +bit 1581 O 1 IO_AB21 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_AC21 +bit 1578 O 1 IO_AC21 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_AD21 +bit 1575 O 1 IO_AD21 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_AE21 +bit 1572 O 1 IO_AE21 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_AF21 +bit 1569 O 1 IO_AF21 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AB20 +bit 1566 O 1 IO_AB20 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AC20 +bit 1563 O 1 IO_AC20 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AE20 +bit 1560 O 1 IO_AE20 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_AF20 +bit 1557 O 1 IO_AF20 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_AA20 +bit 1554 O 1 IO_AA20 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_Y19 +bit 1551 O 1 IO_Y19 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AA19 +bit 1548 O 1 IO_AA19 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AB19 +bit 1545 O 1 IO_AB19 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AC19 +bit 1542 O 1 IO_AC19 1541 1 Z +bit 1541 C 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 O 1 * +bit 1536 O 1 * +bit 1535 O 1 * +bit 1534 O 1 * +bit 1533 O 1 * +bit 1532 O 1 * +bit 1531 O 1 * +bit 1530 O 1 * +bit 1529 O 1 * +bit 1528 O 1 * +bit 1527 O 1 * +bit 1526 O 1 * +bit 1525 I 1 IO_AD19 +bit 1524 O 1 IO_AD19 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_AE19 +bit 1521 O 1 IO_AE19 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_AF19 +bit 1518 O 1 IO_AF19 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_Y18 +bit 1515 O 1 IO_Y18 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AA18 +bit 1512 O 1 IO_AA18 1511 1 Z +bit 1511 C 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 I 1 IO_AB18 +bit 1506 O 1 IO_AB18 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AC18 +bit 1503 O 1 IO_AC18 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AD18 +bit 1500 O 1 IO_AD18 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AE18 +bit 1497 O 1 IO_AE18 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_Y17 +bit 1494 O 1 IO_Y17 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AC17 +bit 1491 O 1 IO_AC17 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AA17 +bit 1488 O 1 IO_AA17 1487 1 Z +bit 1487 C 1 * +bit 1486 O 1 * +bit 1485 O 1 * +bit 1484 O 1 * +bit 1483 O 1 * +bit 1482 O 1 * +bit 1481 O 1 * +bit 1480 O 1 * +bit 1479 O 1 * +bit 1478 O 1 * +bit 1477 O 1 * +bit 1476 O 1 * +bit 1475 O 1 * +bit 1474 O 1 * +bit 1473 O 1 * +bit 1472 O 1 * +bit 1471 I 1 IO_AD17 +bit 1470 O 1 IO_AD17 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AB17 +bit 1467 O 1 IO_AB17 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_W16 +bit 1464 O 1 IO_W16 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AE17 +bit 1461 O 1 IO_AE17 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AF17 +bit 1458 O 1 IO_AF17 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_Y16 +bit 1455 O 1 IO_Y16 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AA16 +bit 1452 O 1 IO_AA16 1451 1 Z +bit 1451 C 1 * +bit 1450 O 1 * +bit 1449 O 1 * +bit 1448 O 1 * +bit 1447 I 1 IO_AB16 +bit 1446 O 1 IO_AB16 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AC16 +bit 1443 O 1 IO_AC16 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AE16 +bit 1440 O 1 IO_AE16 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AF16 +bit 1437 O 1 IO_AF16 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_W15 +bit 1434 O 1 IO_W15 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_Y15 +bit 1431 O 1 IO_Y15 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_W14 +bit 1428 O 1 IO_W14 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AA15 +bit 1425 O 1 IO_AA15 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AB15 +bit 1422 O 1 IO_AB15 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_AD15 +bit 1419 O 1 IO_AD15 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AE15 +bit 1416 O 1 IO_AE15 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AF15 +bit 1413 O 1 IO_AF15 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_Y14 +bit 1410 O 1 IO_Y14 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AA14 +bit 1407 O 1 IO_AA14 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AB14 +bit 1404 O 1 IO_AB14 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AC14 +bit 1401 O 1 IO_AC14 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AD14 +bit 1398 O 1 IO_AD14 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AE14 +bit 1395 O 1 IO_AE14 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AF14 +bit 1392 O 1 IO_AF14 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AF13 +bit 1389 O 1 IO_AF13 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AE13 +bit 1386 O 1 IO_AE13 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AD13 +bit 1383 O 1 IO_AD13 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AC13 +bit 1380 O 1 IO_AC13 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AB13 +bit 1377 O 1 IO_AB13 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AA13 +bit 1374 O 1 IO_AA13 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_Y13 +bit 1371 O 1 IO_Y13 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_W13 +bit 1368 O 1 IO_W13 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_AF12 +bit 1365 O 1 IO_AF12 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AE12 +bit 1362 O 1 IO_AE12 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AD12 +bit 1359 O 1 IO_AD12 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AB12 +bit 1356 O 1 IO_AB12 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_AA12 +bit 1353 O 1 IO_AA12 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_Y12 +bit 1350 O 1 IO_Y12 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_W12 +bit 1347 O 1 IO_W12 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_AC11 +bit 1344 O 1 IO_AC11 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_AF11 +bit 1341 O 1 IO_AF11 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_AE11 +bit 1338 O 1 IO_AE11 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AB11 +bit 1335 O 1 IO_AB11 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AA11 +bit 1332 O 1 IO_AA11 1331 1 Z +bit 1331 C 1 * +bit 1330 O 1 * +bit 1329 O 1 * +bit 1328 O 1 * +bit 1327 I 1 IO_Y11 +bit 1326 O 1 IO_Y11 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_W11 +bit 1323 O 1 IO_W11 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_AF10 +bit 1320 O 1 IO_AF10 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AE10 +bit 1317 O 1 IO_AE10 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AD10 +bit 1314 O 1 IO_AD10 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AC10 +bit 1311 O 1 IO_AC10 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_AB10 +bit 1308 O 1 IO_AB10 1307 1 Z +bit 1307 C 1 * +bit 1306 O 1 * +bit 1305 O 1 * +bit 1304 O 1 * +bit 1303 O 1 * +bit 1302 O 1 * +bit 1301 O 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 O 1 * +bit 1296 O 1 * +bit 1295 O 1 * +bit 1294 O 1 * +bit 1293 O 1 * +bit 1292 O 1 * +bit 1291 I 1 IO_AA10 +bit 1290 O 1 IO_AA10 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_Y10 +bit 1287 O 1 IO_Y10 1286 1 Z +bit 1286 C 1 * +bit 1285 O 1 * +bit 1284 O 1 * +bit 1283 O 1 * +bit 1282 I 1 IO_AE9 +bit 1281 O 1 IO_AE9 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AD9 +bit 1278 O 1 IO_AD9 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AC9 +bit 1275 O 1 IO_AC9 1274 1 Z +bit 1274 C 1 * +bit 1273 O 1 * +bit 1272 O 1 * +bit 1271 O 1 * +bit 1270 I 1 IO_AB9 +bit 1269 O 1 IO_AB9 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AA9 +bit 1266 O 1 IO_AA9 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_Y9 +bit 1263 O 1 IO_Y9 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AF8 +bit 1260 O 1 IO_AF8 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AE8 +bit 1257 O 1 IO_AE8 1256 1 Z +bit 1256 C 1 * +bit 1255 O 1 * +bit 1254 O 1 * +bit 1253 O 1 * +bit 1252 O 1 * +bit 1251 O 1 * +bit 1250 O 1 * +bit 1249 O 1 * +bit 1248 O 1 * +bit 1247 O 1 * +bit 1246 O 1 * +bit 1245 O 1 * +bit 1244 O 1 * +bit 1243 O 1 * +bit 1242 O 1 * +bit 1241 O 1 * +bit 1240 O 1 * +bit 1239 O 1 * +bit 1238 O 1 * +bit 1237 I 1 IO_AD8 +bit 1236 O 1 IO_AD8 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AC8 +bit 1233 O 1 IO_AC8 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AB8 +bit 1230 O 1 IO_AB8 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AA8 +bit 1227 O 1 IO_AA8 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_Y8 +bit 1224 O 1 IO_Y8 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AF7 +bit 1221 O 1 IO_AF7 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AE7 +bit 1218 O 1 IO_AE7 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AC7 +bit 1215 O 1 IO_AC7 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AB7 +bit 1212 O 1 IO_AB7 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AA7 +bit 1209 O 1 IO_AA7 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AF6 +bit 1206 O 1 IO_AF6 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AE6 +bit 1203 O 1 IO_AE6 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AD6 +bit 1200 O 1 IO_AD6 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AC6 +bit 1197 O 1 IO_AC6 1196 1 Z +bit 1196 C 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 O 1 * +bit 1191 O 1 * +bit 1190 O 1 * +bit 1189 O 1 * +bit 1188 O 1 * +bit 1187 O 1 * +bit 1186 O 1 * +bit 1185 O 1 * +bit 1184 O 1 * +bit 1183 O 1 * +bit 1182 O 1 * +bit 1181 O 1 * +bit 1180 O 1 * +bit 1179 O 1 * +bit 1178 O 1 * +bit 1177 O 1 * +bit 1176 O 1 * +bit 1175 O 1 * +bit 1174 O 1 * +bit 1173 O 1 * +bit 1172 O 1 * +bit 1171 O 1 * +bit 1170 O 1 * +bit 1169 O 1 * +bit 1168 O 1 * +bit 1167 O 1 * +bit 1166 O 1 * +bit 1165 I 1 IO_AF5 +bit 1164 O 1 IO_AF5 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AE5 +bit 1161 O 1 IO_AE5 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AD5 +bit 1158 O 1 IO_AD5 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AB6 +bit 1155 O 1 IO_AB6 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AA6 +bit 1152 O 1 IO_AA6 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AF4 +bit 1149 O 1 IO_AF4 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AE4 +bit 1146 O 1 IO_AE4 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AD4 +bit 1143 O 1 IO_AD4 1142 1 Z +bit 1142 C 1 * +bit 1141 O 1 * +bit 1140 O 1 * +bit 1139 O 1 * +bit 1138 O 1 * +bit 1137 O 1 * +bit 1136 O 1 * +bit 1135 O 1 * +bit 1134 O 1 * +bit 1133 O 1 * +bit 1132 O 1 * +bit 1131 O 1 * +bit 1130 O 1 * +bit 1129 O 1 * +bit 1128 O 1 * +bit 1127 O 1 * +bit 1126 I 1 IO_AC5 +bit 1125 O 1 IO_AC5 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AB5 +bit 1122 O 1 IO_AB5 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 M2_AF3 +bit 1119 I 1 M0_AE3 +bit 1118 I 1 M1_AC3 +bit 1117 I 1 IO_AD2 +bit 1116 O 1 IO_AD2 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AD1 +bit 1113 O 1 IO_AD1 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AB4 +bit 1110 O 1 IO_AB4 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AB3 +bit 1107 O 1 IO_AB3 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AC2 +bit 1104 O 1 IO_AC2 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AC1 +bit 1101 O 1 IO_AC1 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_AA5 +bit 1098 O 1 IO_AA5 1097 1 Z +bit 1097 C 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 I 1 IO_AB2 +bit 1092 O 1 IO_AB2 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AB1 +bit 1089 O 1 IO_AB1 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_Y7 +bit 1086 O 1 IO_Y7 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_Y6 +bit 1083 O 1 IO_Y6 1082 1 Z +bit 1082 C 1 * +bit 1081 O 1 * +bit 1080 O 1 * +bit 1079 O 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 I 1 IO_AA4 +bit 1068 O 1 IO_AA4 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AA3 +bit 1065 O 1 IO_AA3 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_Y5 +bit 1062 O 1 IO_Y5 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_Y4 +bit 1059 O 1 IO_Y4 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AA2 +bit 1056 O 1 IO_AA2 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AA1 +bit 1053 O 1 IO_AA1 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_Y2 +bit 1050 O 1 IO_Y2 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_Y1 +bit 1047 O 1 IO_Y1 1046 1 Z +bit 1046 C 1 * +bit 1045 O 1 * +bit 1044 O 1 * +bit 1043 O 1 * +bit 1042 O 1 * +bit 1041 O 1 * +bit 1040 O 1 * +bit 1039 O 1 * +bit 1038 O 1 * +bit 1037 O 1 * +bit 1036 O 1 * +bit 1035 O 1 * +bit 1034 O 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 O 1 * +bit 1029 O 1 * +bit 1028 O 1 * +bit 1027 I 1 IO_W7 +bit 1026 O 1 IO_W7 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_W6 +bit 1023 O 1 IO_W6 1022 1 Z +bit 1022 C 1 * +bit 1021 O 1 * +bit 1020 O 1 * +bit 1019 O 1 * +bit 1018 O 1 * +bit 1017 O 1 * +bit 1016 O 1 * +bit 1015 I 1 IO_V6 +bit 1014 O 1 IO_V6 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_W5 +bit 1011 O 1 IO_W5 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_W4 +bit 1008 O 1 IO_W4 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_W3 +bit 1005 O 1 IO_W3 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 I 1 IO_W2 +bit 996 O 1 IO_W2 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_W1 +bit 993 O 1 IO_W1 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_V7 +bit 990 O 1 IO_V7 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_U7 +bit 987 O 1 IO_U7 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_V5 +bit 984 O 1 IO_V5 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_V4 +bit 981 O 1 IO_V4 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_V3 +bit 978 O 1 IO_V3 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_V2 +bit 975 O 1 IO_V2 974 1 Z +bit 974 C 1 * +bit 973 O 1 * +bit 972 O 1 * +bit 971 O 1 * +bit 970 O 1 * +bit 969 O 1 * +bit 968 O 1 * +bit 967 I 1 IO_U6 +bit 966 O 1 IO_U6 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_U5 +bit 963 O 1 IO_U5 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_U4 +bit 960 O 1 IO_U4 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_U3 +bit 957 O 1 IO_U3 956 1 Z +bit 956 C 1 * +bit 955 O 1 * +bit 954 O 1 * +bit 953 O 1 * +bit 952 O 1 * +bit 951 O 1 * +bit 950 O 1 * +bit 949 I 1 IO_U2 +bit 948 O 1 IO_U2 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_U1 +bit 945 O 1 IO_U1 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_T8 +bit 942 O 1 IO_T8 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_T7 +bit 939 O 1 IO_T7 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 I 1 IO_T6 +bit 930 O 1 IO_T6 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_T5 +bit 927 O 1 IO_T5 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_T2 +bit 924 O 1 IO_T2 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_T1 +bit 921 O 1 IO_T1 920 1 Z +bit 920 C 1 * +bit 919 O 1 * +bit 918 O 1 * +bit 917 O 1 * +bit 916 O 1 * +bit 915 O 1 * +bit 914 O 1 * +bit 913 I 1 IO_R8 +bit 912 O 1 IO_R8 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_R7 +bit 909 O 1 IO_R7 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_R6 +bit 906 O 1 IO_R6 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_R5 +bit 903 O 1 IO_R5 902 1 Z +bit 902 C 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 I 1 IO_T4 +bit 894 O 1 IO_T4 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_R3 +bit 891 O 1 IO_R3 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_R2 +bit 888 O 1 IO_R2 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_R1 +bit 885 O 1 IO_R1 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_P8 +bit 882 O 1 IO_P8 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_P7 +bit 879 O 1 IO_P7 878 1 Z +bit 878 C 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 O 1 * +bit 870 O 1 * +bit 869 O 1 * +bit 868 O 1 * +bit 867 O 1 * +bit 866 O 1 * +bit 865 O 1 * +bit 864 O 1 * +bit 863 O 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 O 1 * +bit 852 O 1 * +bit 851 O 1 * +bit 850 O 1 * +bit 849 O 1 * +bit 848 O 1 * +bit 847 I 1 IO_P6 +bit 846 O 1 IO_P6 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_P5 +bit 843 O 1 IO_P5 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_P4 +bit 840 O 1 IO_P4 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_P3 +bit 837 O 1 IO_P3 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_P2 +bit 834 O 1 IO_P2 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_P1 +bit 831 O 1 IO_P1 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_N1 +bit 828 O 1 IO_N1 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_N2 +bit 825 O 1 IO_N2 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_N3 +bit 822 O 1 IO_N3 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_N4 +bit 819 O 1 IO_N4 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_N5 +bit 816 O 1 IO_N5 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_N6 +bit 813 O 1 IO_N6 812 1 Z +bit 812 C 1 * +bit 811 O 1 * +bit 810 O 1 * +bit 809 O 1 * +bit 808 O 1 * +bit 807 O 1 * +bit 806 O 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 I 1 IO_N7 +bit 792 O 1 IO_N7 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_N8 +bit 789 O 1 IO_N8 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_M1 +bit 786 O 1 IO_M1 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_M2 +bit 783 O 1 IO_M2 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_M3 +bit 780 O 1 IO_M3 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_L4 +bit 777 O 1 IO_L4 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_M6 +bit 774 O 1 IO_M6 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_M5 +bit 771 O 1 IO_M5 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_M7 +bit 768 O 1 IO_M7 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_M8 +bit 765 O 1 IO_M8 764 1 Z +bit 764 C 1 * +bit 763 O 1 * +bit 762 O 1 * +bit 761 O 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 O 1 * +bit 756 O 1 * +bit 755 O 1 * +bit 754 O 1 * +bit 753 O 1 * +bit 752 O 1 * +bit 751 O 1 * +bit 750 O 1 * +bit 749 O 1 * +bit 748 O 1 * +bit 747 O 1 * +bit 746 O 1 * +bit 745 I 1 IO_L1 +bit 744 O 1 IO_L1 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_L2 +bit 741 O 1 IO_L2 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_L5 +bit 738 O 1 IO_L5 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_L6 +bit 735 O 1 IO_L6 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_L7 +bit 732 O 1 IO_L7 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_L8 +bit 729 O 1 IO_L8 728 1 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_K1 +bit 720 O 1 IO_K1 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_K2 +bit 717 O 1 IO_K2 716 1 Z +bit 716 C 1 * +bit 715 O 1 * +bit 714 O 1 * +bit 713 O 1 * +bit 712 O 1 * +bit 711 O 1 * +bit 710 O 1 * +bit 709 O 1 * +bit 708 O 1 * +bit 707 O 1 * +bit 706 O 1 * +bit 705 O 1 * +bit 704 O 1 * +bit 703 I 1 IO_K3 +bit 702 O 1 IO_K3 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_K4 +bit 699 O 1 IO_K4 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_K5 +bit 690 O 1 IO_K5 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_K6 +bit 687 O 1 IO_K6 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_J2 +bit 684 O 1 IO_J2 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_J3 +bit 681 O 1 IO_J3 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_J4 +bit 678 O 1 IO_J4 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_J5 +bit 675 O 1 IO_J5 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_K7 +bit 672 O 1 IO_K7 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_J7 +bit 669 O 1 IO_J7 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_H1 +bit 666 O 1 IO_H1 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_H2 +bit 663 O 1 IO_H2 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_H3 +bit 654 O 1 IO_H3 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_H4 +bit 651 O 1 IO_H4 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_J6 +bit 648 O 1 IO_J6 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_H5 +bit 645 O 1 IO_H5 644 1 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_G1 +bit 636 O 1 IO_G1 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_G2 +bit 633 O 1 IO_G2 632 1 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 O 1 * +bit 629 O 1 * +bit 628 O 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 O 1 * +bit 624 O 1 * +bit 623 O 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 O 1 * +bit 619 O 1 * +bit 618 O 1 * +bit 617 O 1 * +bit 616 O 1 * +bit 615 O 1 * +bit 614 O 1 * +bit 613 I 1 IO_H6 +bit 612 O 1 IO_H6 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_H7 +bit 609 O 1 IO_H7 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_F1 +bit 606 O 1 IO_F1 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_F2 +bit 603 O 1 IO_F2 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_G4 +bit 600 O 1 IO_G4 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_G5 +bit 597 O 1 IO_G5 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_F3 +bit 594 O 1 IO_F3 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_F4 +bit 591 O 1 IO_F4 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 I 1 IO_E1 +bit 576 O 1 IO_E1 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_E2 +bit 573 O 1 IO_E2 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_G6 +bit 570 O 1 IO_G6 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_G7 +bit 567 O 1 IO_G7 566 1 Z +bit 566 C 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 I 1 IO_D1 +bit 558 O 1 IO_D1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_D2 +bit 555 O 1 IO_D2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_E3 +bit 552 O 1 IO_E3 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_E4 +bit 549 O 1 IO_E4 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_F5 +bit 546 O 1 IO_F5 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_F6 +bit 543 O 1 IO_F6 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 I 1 HSWAP_EN_C2 +bit 539 I 1 IO_B3 +bit 538 O 1 IO_B3 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_E5 +bit 535 O 1 IO_E5 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_D5 +bit 532 O 1 IO_D5 531 1 Z +bit 531 C 1 * +bit 530 O 1 * +bit 529 O 1 * +bit 528 O 1 * +bit 527 O 1 * +bit 526 O 1 * +bit 525 O 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 O 1 * +bit 520 O 1 * +bit 519 O 1 * +bit 518 O 1 * +bit 517 O 1 * +bit 516 O 1 * +bit 515 O 1 * +bit 514 O 1 * +bit 513 O 1 * +bit 512 I 1 IO_A3 +bit 511 O 1 IO_A3 510 1 Z +bit 510 C 1 * +bit 509 I 1 IO_C4 +bit 508 O 1 IO_C4 507 1 Z +bit 507 C 1 * +bit 506 I 1 IO_B4 +bit 505 O 1 IO_B4 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_A4 +bit 502 O 1 IO_A4 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_C5 +bit 499 O 1 IO_C5 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_B5 +bit 496 O 1 IO_B5 495 1 Z +bit 495 C 1 * +bit 494 O 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 O 1 * +bit 490 O 1 * +bit 489 O 1 * +bit 488 O 1 * +bit 487 O 1 * +bit 486 O 1 * +bit 485 O 1 * +bit 484 O 1 * +bit 483 O 1 * +bit 482 I 1 IO_A5 +bit 481 O 1 IO_A5 480 1 Z +bit 480 C 1 * +bit 479 O 1 * +bit 478 O 1 * +bit 477 O 1 * +bit 476 I 1 IO_E6 +bit 475 O 1 IO_E6 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_D6 +bit 472 O 1 IO_D6 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_C6 +bit 469 O 1 IO_C6 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_B6 +bit 466 O 1 IO_B6 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_A6 +bit 463 O 1 IO_A6 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_E7 +bit 460 O 1 IO_E7 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_D7 +bit 457 O 1 IO_D7 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_B7 +bit 454 O 1 IO_B7 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_A7 +bit 451 O 1 IO_A7 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_F7 +bit 448 O 1 IO_F7 447 1 Z +bit 447 C 1 * +bit 446 O 1 * +bit 445 O 1 * +bit 444 O 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 I 1 IO_G8 +bit 430 O 1 IO_G8 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_F8 +bit 427 O 1 IO_F8 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_E8 +bit 424 O 1 IO_E8 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_D8 +bit 421 O 1 IO_D8 420 1 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 O 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 O 1 * +bit 409 O 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 I 1 IO_C8 +bit 403 O 1 IO_C8 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_B8 +bit 400 O 1 IO_B8 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_A8 +bit 397 O 1 IO_A8 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_G9 +bit 394 O 1 IO_G9 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_F9 +bit 391 O 1 IO_F9 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 I 1 IO_E9 +bit 385 O 1 IO_E9 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_D9 +bit 382 O 1 IO_D9 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_C9 +bit 379 O 1 IO_C9 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_B9 +bit 376 O 1 IO_B9 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_G10 +bit 373 O 1 IO_G10 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_F10 +bit 370 O 1 IO_F10 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_E10 +bit 367 O 1 IO_E10 366 1 Z +bit 366 C 1 * +bit 365 O 1 * +bit 364 O 1 * +bit 363 O 1 * +bit 362 O 1 * +bit 361 O 1 * +bit 360 O 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 I 1 IO_D10 +bit 349 O 1 IO_D10 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_C10 +bit 346 O 1 IO_C10 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_H11 +bit 343 O 1 IO_H11 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_B10 +bit 340 O 1 IO_B10 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_A10 +bit 337 O 1 IO_A10 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_G11 +bit 334 O 1 IO_G11 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_F11 +bit 331 O 1 IO_F11 330 1 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 I 1 IO_E11 +bit 325 O 1 IO_E11 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_D11 +bit 322 O 1 IO_D11 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_B11 +bit 319 O 1 IO_B11 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_A11 +bit 316 O 1 IO_A11 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_H12 +bit 313 O 1 IO_H12 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_G12 +bit 310 O 1 IO_G12 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_H13 +bit 307 O 1 IO_H13 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_F12 +bit 304 O 1 IO_F12 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_E12 +bit 301 O 1 IO_E12 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_C12 +bit 298 O 1 IO_C12 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_B12 +bit 295 O 1 IO_B12 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_A12 +bit 292 O 1 IO_A12 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_G13 +bit 289 O 1 IO_G13 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_F13 +bit 286 O 1 IO_F13 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_E13 +bit 283 O 1 IO_E13 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_D13 +bit 280 O 1 IO_D13 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_C13 +bit 277 O 1 IO_C13 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_B13 +bit 274 O 1 IO_B13 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_A13 +bit 271 O 1 IO_A13 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_A14 +bit 268 O 1 IO_A14 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B14 +bit 265 O 1 IO_B14 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_C14 +bit 262 O 1 IO_C14 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_D14 +bit 259 O 1 IO_D14 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_E14 +bit 256 O 1 IO_E14 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_F14 +bit 253 O 1 IO_F14 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_G14 +bit 250 O 1 IO_G14 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_H14 +bit 247 O 1 IO_H14 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_A15 +bit 244 O 1 IO_A15 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_B15 +bit 241 O 1 IO_B15 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_C15 +bit 238 O 1 IO_C15 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_E15 +bit 235 O 1 IO_E15 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_F15 +bit 232 O 1 IO_F15 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_G15 +bit 229 O 1 IO_G15 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_H15 +bit 226 O 1 IO_H15 225 1 Z +bit 225 C 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 O 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 O 1 * +bit 216 O 1 * +bit 215 O 1 * +bit 214 O 1 * +bit 213 O 1 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 O 1 * +bit 201 O 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 I 1 IO_D16 +bit 193 O 1 IO_D16 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A16 +bit 190 O 1 IO_A16 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B16 +bit 187 O 1 IO_B16 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_E16 +bit 184 O 1 IO_E16 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_F16 +bit 181 O 1 IO_F16 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_G16 +bit 175 O 1 IO_G16 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_H16 +bit 172 O 1 IO_H16 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_A17 +bit 169 O 1 IO_A17 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_B17 +bit 166 O 1 IO_B17 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C17 +bit 163 O 1 IO_C17 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_D17 +bit 160 O 1 IO_D17 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_E17 +bit 157 O 1 IO_E17 156 1 Z +bit 156 C 1 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 O 1 * +bit 145 O 1 * +bit 144 O 1 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 I 1 IO_F17 +bit 139 O 1 IO_F17 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_G17 +bit 136 O 1 IO_G17 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_B18 +bit 130 O 1 IO_B18 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_C18 +bit 127 O 1 IO_C18 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_D18 +bit 124 O 1 IO_D18 123 1 Z +bit 123 C 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 I 1 IO_E18 +bit 118 O 1 IO_E18 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_F18 +bit 115 O 1 IO_F18 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_G18 +bit 112 O 1 IO_G18 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A19 +bit 109 O 1 IO_A19 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B19 +bit 106 O 1 IO_B19 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_C19 +bit 85 O 1 IO_C19 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_D19 +bit 82 O 1 IO_D19 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_E19 +bit 79 O 1 IO_E19 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_F19 +bit 76 O 1 IO_F19 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_G19 +bit 73 O 1 IO_G19 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_A20 +bit 70 O 1 IO_A20 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B20 +bit 67 O 1 IO_B20 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_D20 +bit 64 O 1 IO_D20 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_E20 +bit 61 O 1 IO_E20 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_F20 +bit 58 O 1 IO_F20 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A21 +bit 55 O 1 IO_A21 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B21 +bit 52 O 1 IO_B21 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_C21 +bit 49 O 1 IO_C21 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D21 +bit 46 O 1 IO_D21 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_A22 +bit 43 O 1 IO_A22 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_B22 +bit 40 O 1 IO_B22 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_C22 +bit 37 O 1 IO_C22 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_E21 +bit 34 O 1 IO_E21 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F21 +bit 31 O 1 IO_F21 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_A23 +bit 28 O 1 IO_A23 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B23 +bit 25 O 1 IO_B23 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C23 +bit 22 O 1 IO_C23 21 1 Z +bit 21 C 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_D22 +bit 4 O 1 IO_D22 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_E22 +bit 1 O 1 IO_E22 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg900 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg900 new file mode 100644 index 0000000..7a2f19c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000_fg900 @@ -0,0 +1,3154 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal CCLK_AH28 +signal DONE_AJ28 +signal HSWAP_EN_A3 +signal M0_AJ3 +signal M1_AH3 +signal M2_AK3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A15 +signal IO_A16 +signal IO_A18 +signal IO_A19 +signal IO_A20 +signal IO_A22 +signal IO_A23 +signal IO_A24 +signal IO_A26 +signal IO_A27 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_C1 +signal IO_C2 +signal IO_C4 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C23 +signal IO_C24 +signal IO_C25 +signal IO_C27 +signal IO_C29 +signal IO_C30 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D15 +signal IO_D16 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D22 +signal IO_D23 +signal IO_D24 +signal IO_D26 +signal IO_D27 +signal IO_D28 +signal IO_D29 +signal IO_D30 +signal IO_E1 +signal IO_E2 +signal IO_E4 +signal IO_E6 +signal IO_E8 +signal IO_E9 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E22 +signal IO_E23 +signal IO_E25 +signal IO_E27 +signal IO_E29 +signal IO_E30 +signal IO_F2 +signal IO_F3 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_F28 +signal IO_F29 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G23 +signal IO_G24 +signal IO_G25 +signal IO_G27 +signal IO_G28 +signal IO_G29 +signal IO_G30 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H8 +signal IO_H9 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H15 +signal IO_H16 +signal IO_H18 +signal IO_H19 +signal IO_H20 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_H27 +signal IO_H28 +signal IO_H29 +signal IO_H30 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J8 +signal IO_J9 +signal IO_J10 +signal IO_J12 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_J17 +signal IO_J18 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J25 +signal IO_J26 +signal IO_J27 +signal IO_J29 +signal IO_J30 +signal IO_K2 +signal IO_K3 +signal IO_K6 +signal IO_K7 +signal IO_K9 +signal IO_K10 +signal IO_K11 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K24 +signal IO_K25 +signal IO_K28 +signal IO_K29 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L10 +signal IO_L21 +signal IO_L23 +signal IO_L24 +signal IO_L25 +signal IO_L26 +signal IO_L27 +signal IO_L28 +signal IO_L29 +signal IO_L30 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M9 +signal IO_M10 +signal IO_M21 +signal IO_M22 +signal IO_M23 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_M29 +signal IO_M30 +signal IO_N1 +signal IO_N2 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N25 +signal IO_N26 +signal IO_N27 +signal IO_N29 +signal IO_N30 +signal IO_P2 +signal IO_P3 +signal IO_P6 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P21 +signal IO_P22 +signal IO_P24 +signal IO_P25 +signal IO_P28 +signal IO_P29 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R21 +signal IO_R22 +signal IO_R23 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R27 +signal IO_R28 +signal IO_R29 +signal IO_R30 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T24 +signal IO_T25 +signal IO_T26 +signal IO_T27 +signal IO_T28 +signal IO_T29 +signal IO_T30 +signal IO_U2 +signal IO_U3 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U21 +signal IO_U22 +signal IO_U24 +signal IO_U25 +signal IO_U28 +signal IO_U29 +signal IO_V1 +signal IO_V2 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V25 +signal IO_V26 +signal IO_V27 +signal IO_V29 +signal IO_V30 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W8 +signal IO_W9 +signal IO_W10 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_W27 +signal IO_W28 +signal IO_W29 +signal IO_W30 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y10 +signal IO_Y21 +signal IO_Y23 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y27 +signal IO_Y28 +signal IO_Y29 +signal IO_Y30 +signal IO_AA2 +signal IO_AA3 +signal IO_AA6 +signal IO_AA7 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA24 +signal IO_AA25 +signal IO_AA28 +signal IO_AA29 +signal IO_AB1 +signal IO_AB2 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB25 +signal IO_AB26 +signal IO_AB27 +signal IO_AB29 +signal IO_AB30 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC11 +signal IO_AC12 +signal IO_AC13 +signal IO_AC15 +signal IO_AC16 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC22 +signal IO_AC23 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AD1 +signal IO_AD2 +signal IO_AD3 +signal IO_AD4 +signal IO_AD6 +signal IO_AD7 +signal IO_AD8 +signal IO_AD10 +signal IO_AD11 +signal IO_AD12 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD23 +signal IO_AD24 +signal IO_AD25 +signal IO_AD27 +signal IO_AD28 +signal IO_AD29 +signal IO_AD30 +signal IO_AE2 +signal IO_AE3 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AE25 +signal IO_AE26 +signal IO_AE28 +signal IO_AE29 +signal IO_AF1 +signal IO_AF2 +signal IO_AF4 +signal IO_AF6 +signal IO_AF8 +signal IO_AF9 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF15 +signal IO_AF16 +signal IO_AF18 +signal IO_AF19 +signal IO_AF20 +signal IO_AF22 +signal IO_AF23 +signal IO_AF25 +signal IO_AF27 +signal IO_AF29 +signal IO_AF30 +signal IO_AG1 +signal IO_AG2 +signal IO_AG3 +signal IO_AG4 +signal IO_AG5 +signal IO_AG7 +signal IO_AG8 +signal IO_AG9 +signal IO_AG11 +signal IO_AG12 +signal IO_AG13 +signal IO_AG15 +signal IO_AG16 +signal IO_AG18 +signal IO_AG19 +signal IO_AG20 +signal IO_AG22 +signal IO_AG23 +signal IO_AG24 +signal IO_AG26 +signal IO_AG27 +signal IO_AG28 +signal IO_AG29 +signal IO_AG30 +signal IO_AH1 +signal IO_AH2 +signal IO_AH4 +signal IO_AH6 +signal IO_AH7 +signal IO_AH8 +signal IO_AH10 +signal IO_AH11 +signal IO_AH12 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH23 +signal IO_AH24 +signal IO_AH25 +signal IO_AH27 +signal IO_AH29 +signal IO_AH30 +signal IO_AJ4 +signal IO_AJ5 +signal IO_AJ6 +signal IO_AJ7 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ11 +signal IO_AJ12 +signal IO_AJ13 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ22 +signal IO_AJ23 +signal IO_AJ24 +signal IO_AJ25 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AK4 +signal IO_AK5 +signal IO_AK7 +signal IO_AK8 +signal IO_AK9 +signal IO_AK11 +signal IO_AK12 +signal IO_AK13 +signal IO_AK15 +signal IO_AK16 +signal IO_AK18 +signal IO_AK19 +signal IO_AK20 +signal IO_AK22 +signal IO_AK23 +signal IO_AK24 +signal IO_AK26 +signal IO_AK27 + +register BSR 2243 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2242 I 1 IO_C29 +bit 2241 O 1 IO_C29 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_C30 +bit 2238 O 1 IO_C30 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_D27 +bit 2235 O 1 IO_D27 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_D28 +bit 2232 O 1 IO_D28 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_D29 +bit 2229 O 1 IO_D29 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_D30 +bit 2226 O 1 IO_D30 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_E29 +bit 2223 O 1 IO_E29 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_E30 +bit 2220 O 1 IO_E30 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_E27 +bit 2217 O 1 IO_E27 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_F26 +bit 2214 O 1 IO_F26 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_F28 +bit 2211 O 1 IO_F28 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_F29 +bit 2208 O 1 IO_F29 2207 1 Z +bit 2207 C 1 * +bit 2206 O 1 * +bit 2205 O 1 * +bit 2204 O 1 * +bit 2203 O 1 * +bit 2202 O 1 * +bit 2201 O 1 * +bit 2200 O 1 * +bit 2199 O 1 * +bit 2198 O 1 * +bit 2197 O 1 * +bit 2196 O 1 * +bit 2195 O 1 * +bit 2194 I 1 IO_G27 +bit 2193 O 1 IO_G27 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_G28 +bit 2190 O 1 IO_G28 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_G29 +bit 2187 O 1 IO_G29 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_G30 +bit 2184 O 1 IO_G30 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_G25 +bit 2181 O 1 IO_G25 2180 1 Z +bit 2180 C 1 * +bit 2179 I 1 IO_H24 +bit 2178 O 1 IO_H24 2177 1 Z +bit 2177 C 1 * +bit 2176 I 1 IO_H25 +bit 2175 O 1 IO_H25 2174 1 Z +bit 2174 C 1 * +bit 2173 I 1 IO_H26 +bit 2172 O 1 IO_H26 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_H27 +bit 2169 O 1 IO_H27 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_H28 +bit 2166 O 1 IO_H28 2165 1 Z +bit 2165 C 1 * +bit 2164 O 1 * +bit 2163 O 1 * +bit 2162 O 1 * +bit 2161 I 1 IO_J25 +bit 2160 O 1 IO_J25 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_H29 +bit 2157 O 1 IO_H29 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_H30 +bit 2154 O 1 IO_H30 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_J26 +bit 2151 O 1 IO_J26 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_J27 +bit 2148 O 1 IO_J27 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_J29 +bit 2145 O 1 IO_J29 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_J30 +bit 2142 O 1 IO_J30 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_J23 +bit 2139 O 1 IO_J23 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_K22 +bit 2136 O 1 IO_K22 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_K24 +bit 2133 O 1 IO_K24 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_K25 +bit 2130 O 1 IO_K25 2129 1 Z +bit 2129 C 1 * +bit 2128 O 1 * +bit 2127 O 1 * +bit 2126 O 1 * +bit 2125 O 1 * +bit 2124 O 1 * +bit 2123 O 1 * +bit 2122 I 1 IO_K28 +bit 2121 O 1 IO_K28 2120 1 Z +bit 2120 C 1 * +bit 2119 I 1 IO_K29 +bit 2118 O 1 IO_K29 2117 1 Z +bit 2117 C 1 * +bit 2116 I 1 IO_K21 +bit 2115 O 1 IO_K21 2114 1 Z +bit 2114 C 1 * +bit 2113 I 1 IO_L21 +bit 2112 O 1 IO_L21 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_L23 +bit 2109 O 1 IO_L23 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_L24 +bit 2106 O 1 IO_L24 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_L25 +bit 2103 O 1 IO_L25 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_L26 +bit 2100 O 1 IO_L26 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_L27 +bit 2097 O 1 IO_L27 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_L28 +bit 2094 O 1 IO_L28 2093 1 Z +bit 2093 C 1 * +bit 2092 O 1 * +bit 2091 O 1 * +bit 2090 O 1 * +bit 2089 O 1 * +bit 2088 O 1 * +bit 2087 O 1 * +bit 2086 I 1 IO_L29 +bit 2085 O 1 IO_L29 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_L30 +bit 2082 O 1 IO_L30 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_M22 +bit 2079 O 1 IO_M22 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_M23 +bit 2076 O 1 IO_M23 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_M24 +bit 2073 O 1 IO_M24 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_M25 +bit 2070 O 1 IO_M25 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_M27 +bit 2067 O 1 IO_M27 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_M28 +bit 2064 O 1 IO_M28 2063 1 Z +bit 2063 C 1 * +bit 2062 O 1 * +bit 2061 O 1 * +bit 2060 O 1 * +bit 2059 O 1 * +bit 2058 O 1 * +bit 2057 O 1 * +bit 2056 I 1 IO_M29 +bit 2055 O 1 IO_M29 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_M30 +bit 2052 O 1 IO_M30 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_M21 +bit 2049 O 1 IO_M21 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_N21 +bit 2046 O 1 IO_N21 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_N22 +bit 2043 O 1 IO_N22 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_N23 +bit 2040 O 1 IO_N23 2039 1 Z +bit 2039 C 1 * +bit 2038 I 1 IO_M26 +bit 2037 O 1 IO_M26 2036 1 Z +bit 2036 C 1 * +bit 2035 I 1 IO_N25 +bit 2034 O 1 IO_N25 2033 1 Z +bit 2033 C 1 * +bit 2032 I 1 IO_N26 +bit 2031 O 1 IO_N26 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_N27 +bit 2028 O 1 IO_N27 2027 1 Z +bit 2027 C 1 * +bit 2026 O 1 * +bit 2025 O 1 * +bit 2024 O 1 * +bit 2023 O 1 * +bit 2022 O 1 * +bit 2021 O 1 * +bit 2020 I 1 IO_N29 +bit 2019 O 1 IO_N29 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_N30 +bit 2016 O 1 IO_N30 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_P21 +bit 2013 O 1 IO_P21 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_P22 +bit 2010 O 1 IO_P22 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_P24 +bit 2007 O 1 IO_P24 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_P25 +bit 2004 O 1 IO_P25 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_P28 +bit 2001 O 1 IO_P28 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_P29 +bit 1998 O 1 IO_P29 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_R21 +bit 1995 O 1 IO_R21 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_R22 +bit 1992 O 1 IO_R22 1991 1 Z +bit 1991 C 1 * +bit 1990 O 1 * +bit 1989 O 1 * +bit 1988 O 1 * +bit 1987 O 1 * +bit 1986 O 1 * +bit 1985 O 1 * +bit 1984 O 1 * +bit 1983 O 1 * +bit 1982 O 1 * +bit 1981 O 1 * +bit 1980 O 1 * +bit 1979 O 1 * +bit 1978 I 1 IO_R23 +bit 1977 O 1 IO_R23 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_R24 +bit 1974 O 1 IO_R24 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_R25 +bit 1971 O 1 IO_R25 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_R26 +bit 1968 O 1 IO_R26 1967 1 Z +bit 1967 C 1 * +bit 1966 I 1 IO_R27 +bit 1965 O 1 IO_R27 1964 1 Z +bit 1964 C 1 * +bit 1963 I 1 IO_R28 +bit 1962 O 1 IO_R28 1961 1 Z +bit 1961 C 1 * +bit 1960 I 1 IO_R29 +bit 1959 O 1 IO_R29 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_R30 +bit 1956 O 1 IO_R30 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_T30 +bit 1953 O 1 IO_T30 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_T29 +bit 1950 O 1 IO_T29 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_T28 +bit 1947 O 1 IO_T28 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_T27 +bit 1944 O 1 IO_T27 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_T26 +bit 1941 O 1 IO_T26 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_T25 +bit 1938 O 1 IO_T25 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_T24 +bit 1935 O 1 IO_T24 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_T23 +bit 1932 O 1 IO_T23 1931 1 Z +bit 1931 C 1 * +bit 1930 O 1 * +bit 1929 O 1 * +bit 1928 O 1 * +bit 1927 O 1 * +bit 1926 O 1 * +bit 1925 O 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 O 1 * +bit 1920 O 1 * +bit 1919 O 1 * +bit 1918 I 1 IO_T22 +bit 1917 O 1 IO_T22 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_T21 +bit 1914 O 1 IO_T21 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_U29 +bit 1911 O 1 IO_U29 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_U28 +bit 1908 O 1 IO_U28 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_U25 +bit 1905 O 1 IO_U25 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_U24 +bit 1902 O 1 IO_U24 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_U22 +bit 1899 O 1 IO_U22 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_U21 +bit 1896 O 1 IO_U21 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_V30 +bit 1893 O 1 IO_V30 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_V29 +bit 1890 O 1 IO_V29 1889 1 Z +bit 1889 C 1 * +bit 1888 O 1 * +bit 1887 O 1 * +bit 1886 O 1 * +bit 1885 O 1 * +bit 1884 O 1 * +bit 1883 O 1 * +bit 1882 I 1 IO_V27 +bit 1881 O 1 IO_V27 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_V26 +bit 1878 O 1 IO_V26 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_V25 +bit 1875 O 1 IO_V25 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_W26 +bit 1872 O 1 IO_W26 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_V23 +bit 1869 O 1 IO_V23 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_V22 +bit 1866 O 1 IO_V22 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_V21 +bit 1863 O 1 IO_V21 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_W21 +bit 1860 O 1 IO_W21 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_W30 +bit 1857 O 1 IO_W30 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_W29 +bit 1854 O 1 IO_W29 1853 1 Z +bit 1853 C 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 O 1 * +bit 1848 O 1 * +bit 1847 O 1 * +bit 1846 I 1 IO_W28 +bit 1845 O 1 IO_W28 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_W27 +bit 1842 O 1 IO_W27 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_W25 +bit 1839 O 1 IO_W25 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_W24 +bit 1836 O 1 IO_W24 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_W23 +bit 1833 O 1 IO_W23 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_W22 +bit 1830 O 1 IO_W22 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_Y30 +bit 1827 O 1 IO_Y30 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_Y29 +bit 1824 O 1 IO_Y29 1823 1 Z +bit 1823 C 1 * +bit 1822 O 1 * +bit 1821 O 1 * +bit 1820 O 1 * +bit 1819 O 1 * +bit 1818 O 1 * +bit 1817 O 1 * +bit 1816 I 1 IO_Y28 +bit 1815 O 1 IO_Y28 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_Y27 +bit 1812 O 1 IO_Y27 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_Y26 +bit 1809 O 1 IO_Y26 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_Y25 +bit 1806 O 1 IO_Y25 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_Y24 +bit 1803 O 1 IO_Y24 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_Y23 +bit 1800 O 1 IO_Y23 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_Y21 +bit 1797 O 1 IO_Y21 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_AA21 +bit 1794 O 1 IO_AA21 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_AA29 +bit 1791 O 1 IO_AA29 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_AA28 +bit 1788 O 1 IO_AA28 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_AA25 +bit 1779 O 1 IO_AA25 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_AA24 +bit 1776 O 1 IO_AA24 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_AA22 +bit 1773 O 1 IO_AA22 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_AB23 +bit 1770 O 1 IO_AB23 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_AB30 +bit 1767 O 1 IO_AB30 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_AB29 +bit 1764 O 1 IO_AB29 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_AB27 +bit 1761 O 1 IO_AB27 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_AB26 +bit 1758 O 1 IO_AB26 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_AC30 +bit 1755 O 1 IO_AC30 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_AC29 +bit 1752 O 1 IO_AC29 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_AB25 +bit 1749 O 1 IO_AB25 1748 1 Z +bit 1748 C 1 * +bit 1747 O 1 * +bit 1746 O 1 * +bit 1745 O 1 * +bit 1744 I 1 IO_AC28 +bit 1743 O 1 IO_AC28 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_AC27 +bit 1740 O 1 IO_AC27 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_AC26 +bit 1737 O 1 IO_AC26 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_AC25 +bit 1734 O 1 IO_AC25 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_AC24 +bit 1731 O 1 IO_AC24 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_AD25 +bit 1728 O 1 IO_AD25 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_AD30 +bit 1725 O 1 IO_AD30 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_AD29 +bit 1722 O 1 IO_AD29 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_AD28 +bit 1719 O 1 IO_AD28 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_AD27 +bit 1716 O 1 IO_AD27 1715 1 Z +bit 1715 C 1 * +bit 1714 O 1 * +bit 1713 O 1 * +bit 1712 O 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 O 1 * +bit 1704 O 1 * +bit 1703 O 1 * +bit 1702 I 1 IO_AE29 +bit 1701 O 1 IO_AE29 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_AE28 +bit 1698 O 1 IO_AE28 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_AE26 +bit 1695 O 1 IO_AE26 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_AF27 +bit 1692 O 1 IO_AF27 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_AF30 +bit 1689 O 1 IO_AF30 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_AF29 +bit 1686 O 1 IO_AF29 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_AG30 +bit 1683 O 1 IO_AG30 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_AG29 +bit 1680 O 1 IO_AG29 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_AG28 +bit 1677 O 1 IO_AG28 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_AG27 +bit 1674 O 1 IO_AG27 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_AH30 +bit 1671 O 1 IO_AH30 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_AH29 +bit 1668 O 1 IO_AH29 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 CCLK_AH28 +bit 1665 O 1 CCLK_AH28 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 DONE_AJ28 +bit 1662 O 1 DONE_AJ28 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_AK28 +bit 1659 O 1 IO_AK28 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_AJ27 +bit 1656 O 1 IO_AJ27 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_AK27 +bit 1653 O 1 IO_AK27 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_AJ26 +bit 1650 O 1 IO_AJ26 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_AK26 +bit 1647 O 1 IO_AK26 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_AH27 +bit 1644 O 1 IO_AH27 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_AG26 +bit 1641 O 1 IO_AG26 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_AF25 +bit 1638 O 1 IO_AF25 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_AH25 +bit 1635 O 1 IO_AH25 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_AJ25 +bit 1632 O 1 IO_AJ25 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 I 1 IO_AE25 +bit 1626 O 1 IO_AE25 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_AE24 +bit 1623 O 1 IO_AE24 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_AG24 +bit 1620 O 1 IO_AG24 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_AH24 +bit 1617 O 1 IO_AH24 1616 1 Z +bit 1616 C 1 * +bit 1615 O 1 * +bit 1614 O 1 * +bit 1613 O 1 * +bit 1612 I 1 IO_AJ24 +bit 1611 O 1 IO_AJ24 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_AK24 +bit 1608 O 1 IO_AK24 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_AD24 +bit 1605 O 1 IO_AD24 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_AC23 +bit 1602 O 1 IO_AC23 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_AD23 +bit 1599 O 1 IO_AD23 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_AE23 +bit 1596 O 1 IO_AE23 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_AF23 +bit 1593 O 1 IO_AF23 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_AG23 +bit 1590 O 1 IO_AG23 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_AH23 +bit 1587 O 1 IO_AH23 1586 1 Z +bit 1586 C 1 * +bit 1585 O 1 * +bit 1584 O 1 * +bit 1583 O 1 * +bit 1582 I 1 IO_AJ23 +bit 1581 O 1 IO_AJ23 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_AK23 +bit 1578 O 1 IO_AK23 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_AB22 +bit 1575 O 1 IO_AB22 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_AC22 +bit 1572 O 1 IO_AC22 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_AE22 +bit 1569 O 1 IO_AE22 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AF22 +bit 1566 O 1 IO_AF22 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AG22 +bit 1563 O 1 IO_AG22 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AJ22 +bit 1560 O 1 IO_AJ22 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_AK22 +bit 1557 O 1 IO_AK22 1556 1 Z +bit 1556 C 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_AD21 +bit 1551 O 1 IO_AD21 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AE21 +bit 1548 O 1 IO_AE21 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AH21 +bit 1545 O 1 IO_AH21 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AJ21 +bit 1542 O 1 IO_AJ21 1541 1 Z +bit 1541 C 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 I 1 IO_AB21 +bit 1536 O 1 IO_AB21 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AA20 +bit 1533 O 1 IO_AA20 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AC20 +bit 1530 O 1 IO_AC20 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AD20 +bit 1527 O 1 IO_AD20 1526 1 Z +bit 1526 C 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 I 1 IO_AE20 +bit 1521 O 1 IO_AE20 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_AF20 +bit 1518 O 1 IO_AF20 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_AG20 +bit 1515 O 1 IO_AG20 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AH20 +bit 1512 O 1 IO_AH20 1511 1 Z +bit 1511 C 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 I 1 IO_AJ20 +bit 1506 O 1 IO_AJ20 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AK20 +bit 1503 O 1 IO_AK20 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AA19 +bit 1500 O 1 IO_AA19 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AB19 +bit 1497 O 1 IO_AB19 1496 1 Z +bit 1496 C 1 * +bit 1495 O 1 * +bit 1494 O 1 * +bit 1493 O 1 * +bit 1492 I 1 IO_AC19 +bit 1491 O 1 IO_AC19 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AD19 +bit 1488 O 1 IO_AD19 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AE19 +bit 1485 O 1 IO_AE19 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AF19 +bit 1482 O 1 IO_AF19 1481 1 Z +bit 1481 C 1 * +bit 1480 O 1 * +bit 1479 O 1 * +bit 1478 O 1 * +bit 1477 I 1 IO_AG19 +bit 1476 O 1 IO_AG19 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AH19 +bit 1473 O 1 IO_AH19 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AJ19 +bit 1470 O 1 IO_AJ19 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AK19 +bit 1467 O 1 IO_AK19 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AA18 +bit 1464 O 1 IO_AA18 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AB18 +bit 1461 O 1 IO_AB18 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AC18 +bit 1458 O 1 IO_AC18 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AE18 +bit 1455 O 1 IO_AE18 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AF18 +bit 1452 O 1 IO_AF18 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_AG18 +bit 1449 O 1 IO_AG18 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_AJ18 +bit 1446 O 1 IO_AJ18 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AK18 +bit 1443 O 1 IO_AK18 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AA17 +bit 1440 O 1 IO_AA17 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AB17 +bit 1437 O 1 IO_AB17 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_AA16 +bit 1434 O 1 IO_AA16 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AD17 +bit 1431 O 1 IO_AD17 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AE17 +bit 1428 O 1 IO_AE17 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AH17 +bit 1425 O 1 IO_AH17 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AJ17 +bit 1422 O 1 IO_AJ17 1421 1 Z +bit 1421 C 1 * +bit 1420 O 1 * +bit 1419 O 1 * +bit 1418 O 1 * +bit 1417 I 1 IO_AB16 +bit 1416 O 1 IO_AB16 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AC16 +bit 1413 O 1 IO_AC16 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AD16 +bit 1410 O 1 IO_AD16 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AE16 +bit 1407 O 1 IO_AE16 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AF16 +bit 1404 O 1 IO_AF16 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AG16 +bit 1401 O 1 IO_AG16 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AH16 +bit 1398 O 1 IO_AH16 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AJ16 +bit 1395 O 1 IO_AJ16 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AK16 +bit 1392 O 1 IO_AK16 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AK15 +bit 1389 O 1 IO_AK15 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AJ15 +bit 1386 O 1 IO_AJ15 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AH15 +bit 1383 O 1 IO_AH15 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AG15 +bit 1380 O 1 IO_AG15 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AF15 +bit 1377 O 1 IO_AF15 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AE15 +bit 1374 O 1 IO_AE15 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AD15 +bit 1371 O 1 IO_AD15 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AD14 +bit 1368 O 1 IO_AD14 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_AC15 +bit 1365 O 1 IO_AC15 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AB15 +bit 1362 O 1 IO_AB15 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AA15 +bit 1359 O 1 IO_AA15 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AJ14 +bit 1356 O 1 IO_AJ14 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_AH14 +bit 1353 O 1 IO_AH14 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_AE14 +bit 1350 O 1 IO_AE14 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_AE13 +bit 1347 O 1 IO_AE13 1346 1 Z +bit 1346 C 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_AB14 +bit 1341 O 1 IO_AB14 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_AA14 +bit 1338 O 1 IO_AA14 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AK13 +bit 1335 O 1 IO_AK13 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AJ13 +bit 1332 O 1 IO_AJ13 1331 1 Z +bit 1331 C 1 * +bit 1330 O 1 * +bit 1329 O 1 * +bit 1328 O 1 * +bit 1327 I 1 IO_AG13 +bit 1326 O 1 IO_AG13 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_AF13 +bit 1323 O 1 IO_AF13 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_AC13 +bit 1320 O 1 IO_AC13 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AB13 +bit 1317 O 1 IO_AB13 1316 1 Z +bit 1316 C 1 * +bit 1315 O 1 * +bit 1314 O 1 * +bit 1313 O 1 * +bit 1312 I 1 IO_AA13 +bit 1311 O 1 IO_AA13 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_AA12 +bit 1308 O 1 IO_AA12 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AK12 +bit 1305 O 1 IO_AK12 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AJ12 +bit 1302 O 1 IO_AJ12 1301 1 Z +bit 1301 C 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 I 1 IO_AH12 +bit 1296 O 1 IO_AH12 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_AG12 +bit 1293 O 1 IO_AG12 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_AF12 +bit 1290 O 1 IO_AF12 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_AE12 +bit 1287 O 1 IO_AE12 1286 1 Z +bit 1286 C 1 * +bit 1285 O 1 * +bit 1284 O 1 * +bit 1283 O 1 * +bit 1282 I 1 IO_AD12 +bit 1281 O 1 IO_AD12 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AC12 +bit 1278 O 1 IO_AC12 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AB12 +bit 1275 O 1 IO_AB12 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AC11 +bit 1272 O 1 IO_AC11 1271 1 Z +bit 1271 C 1 * +bit 1270 O 1 * +bit 1269 O 1 * +bit 1268 O 1 * +bit 1267 I 1 IO_AK11 +bit 1266 O 1 IO_AK11 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AJ11 +bit 1263 O 1 IO_AJ11 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AH11 +bit 1260 O 1 IO_AH11 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AG11 +bit 1257 O 1 IO_AG11 1256 1 Z +bit 1256 C 1 * +bit 1255 O 1 * +bit 1254 O 1 * +bit 1253 O 1 * +bit 1252 I 1 IO_AF11 +bit 1251 O 1 IO_AF11 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AE11 +bit 1248 O 1 IO_AE11 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AD11 +bit 1245 O 1 IO_AD11 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AD10 +bit 1242 O 1 IO_AD10 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AA11 +bit 1239 O 1 IO_AA11 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AJ10 +bit 1236 O 1 IO_AJ10 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AH10 +bit 1233 O 1 IO_AH10 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AE10 +bit 1230 O 1 IO_AE10 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AE9 +bit 1227 O 1 IO_AE9 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_AB10 +bit 1224 O 1 IO_AB10 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AK9 +bit 1221 O 1 IO_AK9 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AJ9 +bit 1218 O 1 IO_AJ9 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AG9 +bit 1215 O 1 IO_AG9 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AF9 +bit 1212 O 1 IO_AF9 1211 1 Z +bit 1211 C 1 * +bit 1210 O 1 * +bit 1209 O 1 * +bit 1208 O 1 * +bit 1207 I 1 IO_AC9 +bit 1206 O 1 IO_AC9 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AB9 +bit 1203 O 1 IO_AB9 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AK8 +bit 1200 O 1 IO_AK8 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AJ8 +bit 1197 O 1 IO_AJ8 1196 1 Z +bit 1196 C 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 I 1 IO_AH8 +bit 1191 O 1 IO_AH8 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AG8 +bit 1188 O 1 IO_AG8 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AF8 +bit 1185 O 1 IO_AF8 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AE8 +bit 1182 O 1 IO_AE8 1181 1 Z +bit 1181 C 1 * +bit 1180 O 1 * +bit 1179 O 1 * +bit 1178 O 1 * +bit 1177 I 1 IO_AD8 +bit 1176 O 1 IO_AD8 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AC8 +bit 1173 O 1 IO_AC8 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AK7 +bit 1170 O 1 IO_AK7 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AJ7 +bit 1167 O 1 IO_AJ7 1166 1 Z +bit 1166 C 1 * +bit 1165 O 1 * +bit 1164 O 1 * +bit 1163 O 1 * +bit 1162 I 1 IO_AH7 +bit 1161 O 1 IO_AH7 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AG7 +bit 1158 O 1 IO_AG7 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AE7 +bit 1155 O 1 IO_AE7 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AD7 +bit 1152 O 1 IO_AD7 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AE6 +bit 1149 O 1 IO_AE6 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AJ6 +bit 1146 O 1 IO_AJ6 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AH6 +bit 1143 O 1 IO_AH6 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_AF6 +bit 1140 O 1 IO_AF6 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AG5 +bit 1137 O 1 IO_AG5 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AH4 +bit 1134 O 1 IO_AH4 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AK5 +bit 1131 O 1 IO_AK5 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AJ5 +bit 1128 O 1 IO_AJ5 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AK4 +bit 1125 O 1 IO_AK4 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AJ4 +bit 1122 O 1 IO_AJ4 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 M2_AK3 +bit 1119 I 1 M0_AJ3 +bit 1118 I 1 M1_AH3 +bit 1117 I 1 IO_AH2 +bit 1116 O 1 IO_AH2 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AH1 +bit 1113 O 1 IO_AH1 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AG4 +bit 1110 O 1 IO_AG4 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AG3 +bit 1107 O 1 IO_AG3 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AG2 +bit 1104 O 1 IO_AG2 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AG1 +bit 1101 O 1 IO_AG1 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_AF2 +bit 1098 O 1 IO_AF2 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_AF1 +bit 1095 O 1 IO_AF1 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AF4 +bit 1092 O 1 IO_AF4 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AE5 +bit 1089 O 1 IO_AE5 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AE3 +bit 1086 O 1 IO_AE3 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_AE2 +bit 1083 O 1 IO_AE2 1082 1 Z +bit 1082 C 1 * +bit 1081 O 1 * +bit 1080 O 1 * +bit 1079 O 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 I 1 IO_AD4 +bit 1068 O 1 IO_AD4 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AD3 +bit 1065 O 1 IO_AD3 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_AD2 +bit 1062 O 1 IO_AD2 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AD1 +bit 1059 O 1 IO_AD1 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AD6 +bit 1056 O 1 IO_AD6 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AC7 +bit 1053 O 1 IO_AC7 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AC6 +bit 1050 O 1 IO_AC6 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AC5 +bit 1047 O 1 IO_AC5 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AC4 +bit 1044 O 1 IO_AC4 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AC3 +bit 1041 O 1 IO_AC3 1040 1 Z +bit 1040 C 1 * +bit 1039 O 1 * +bit 1038 O 1 * +bit 1037 O 1 * +bit 1036 I 1 IO_AB6 +bit 1035 O 1 IO_AB6 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AC2 +bit 1032 O 1 IO_AC2 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AC1 +bit 1029 O 1 IO_AC1 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AB5 +bit 1026 O 1 IO_AB5 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AB4 +bit 1023 O 1 IO_AB4 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AB2 +bit 1020 O 1 IO_AB2 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AB1 +bit 1017 O 1 IO_AB1 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AB8 +bit 1014 O 1 IO_AB8 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AA9 +bit 1011 O 1 IO_AA9 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AA7 +bit 1008 O 1 IO_AA7 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AA6 +bit 1005 O 1 IO_AA6 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 I 1 IO_AA3 +bit 996 O 1 IO_AA3 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AA2 +bit 993 O 1 IO_AA2 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AA10 +bit 990 O 1 IO_AA10 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_Y10 +bit 987 O 1 IO_Y10 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_Y8 +bit 984 O 1 IO_Y8 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_Y7 +bit 981 O 1 IO_Y7 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_Y6 +bit 978 O 1 IO_Y6 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_Y5 +bit 975 O 1 IO_Y5 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_Y4 +bit 972 O 1 IO_Y4 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_Y3 +bit 969 O 1 IO_Y3 968 1 Z +bit 968 C 1 * +bit 967 O 1 * +bit 966 O 1 * +bit 965 O 1 * +bit 964 O 1 * +bit 963 O 1 * +bit 962 O 1 * +bit 961 I 1 IO_Y2 +bit 960 O 1 IO_Y2 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_Y1 +bit 957 O 1 IO_Y1 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_W9 +bit 954 O 1 IO_W9 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_W8 +bit 951 O 1 IO_W8 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_W7 +bit 948 O 1 IO_W7 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_W6 +bit 945 O 1 IO_W6 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_W4 +bit 942 O 1 IO_W4 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_W3 +bit 939 O 1 IO_W3 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 I 1 IO_W2 +bit 930 O 1 IO_W2 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_W1 +bit 927 O 1 IO_W1 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_W10 +bit 924 O 1 IO_W10 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_V10 +bit 921 O 1 IO_V10 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_V9 +bit 918 O 1 IO_V9 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_V8 +bit 915 O 1 IO_V8 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_W5 +bit 912 O 1 IO_W5 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_V6 +bit 909 O 1 IO_V6 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_V5 +bit 906 O 1 IO_V5 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_V4 +bit 903 O 1 IO_V4 902 1 Z +bit 902 C 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 I 1 IO_V2 +bit 894 O 1 IO_V2 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_V1 +bit 891 O 1 IO_V1 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_U10 +bit 888 O 1 IO_U10 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_U9 +bit 885 O 1 IO_U9 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_U7 +bit 882 O 1 IO_U7 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_U6 +bit 879 O 1 IO_U6 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_U3 +bit 876 O 1 IO_U3 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_U2 +bit 873 O 1 IO_U2 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_T10 +bit 870 O 1 IO_T10 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_T9 +bit 867 O 1 IO_T9 866 1 Z +bit 866 C 1 * +bit 865 O 1 * +bit 864 O 1 * +bit 863 O 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_T8 +bit 852 O 1 IO_T8 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_T7 +bit 849 O 1 IO_T7 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_T6 +bit 846 O 1 IO_T6 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_T5 +bit 843 O 1 IO_T5 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_T4 +bit 840 O 1 IO_T4 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_T3 +bit 837 O 1 IO_T3 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_T2 +bit 834 O 1 IO_T2 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_T1 +bit 831 O 1 IO_T1 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_R1 +bit 828 O 1 IO_R1 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_R2 +bit 825 O 1 IO_R2 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_R3 +bit 822 O 1 IO_R3 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_R4 +bit 819 O 1 IO_R4 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_R5 +bit 816 O 1 IO_R5 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_R6 +bit 813 O 1 IO_R6 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_R7 +bit 810 O 1 IO_R7 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_R8 +bit 807 O 1 IO_R8 806 1 Z +bit 806 C 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 I 1 IO_R9 +bit 792 O 1 IO_R9 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_R10 +bit 789 O 1 IO_R10 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_P2 +bit 786 O 1 IO_P2 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_P3 +bit 783 O 1 IO_P3 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_P6 +bit 780 O 1 IO_P6 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_P7 +bit 777 O 1 IO_P7 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_P9 +bit 774 O 1 IO_P9 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_P10 +bit 771 O 1 IO_P10 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_N1 +bit 768 O 1 IO_N1 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_N2 +bit 765 O 1 IO_N2 764 1 Z +bit 764 C 1 * +bit 763 O 1 * +bit 762 O 1 * +bit 761 O 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 I 1 IO_N4 +bit 756 O 1 IO_N4 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_N5 +bit 753 O 1 IO_N5 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_N6 +bit 750 O 1 IO_N6 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_M5 +bit 747 O 1 IO_M5 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_N8 +bit 744 O 1 IO_N8 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_N9 +bit 741 O 1 IO_N9 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_N10 +bit 738 O 1 IO_N10 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_M10 +bit 735 O 1 IO_M10 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_M1 +bit 732 O 1 IO_M1 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_M2 +bit 729 O 1 IO_M2 728 1 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_M3 +bit 720 O 1 IO_M3 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_M4 +bit 717 O 1 IO_M4 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_M6 +bit 714 O 1 IO_M6 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_M7 +bit 711 O 1 IO_M7 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_M8 +bit 708 O 1 IO_M8 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_M9 +bit 705 O 1 IO_M9 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_L1 +bit 702 O 1 IO_L1 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_L2 +bit 699 O 1 IO_L2 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_L3 +bit 690 O 1 IO_L3 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_L4 +bit 687 O 1 IO_L4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_L5 +bit 684 O 1 IO_L5 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_L6 +bit 681 O 1 IO_L6 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_L7 +bit 678 O 1 IO_L7 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_L8 +bit 675 O 1 IO_L8 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_L10 +bit 672 O 1 IO_L10 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_K10 +bit 669 O 1 IO_K10 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_K2 +bit 666 O 1 IO_K2 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_K3 +bit 663 O 1 IO_K3 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_K6 +bit 654 O 1 IO_K6 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_K7 +bit 651 O 1 IO_K7 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_K9 +bit 648 O 1 IO_K9 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_J8 +bit 645 O 1 IO_J8 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_J1 +bit 642 O 1 IO_J1 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_J2 +bit 639 O 1 IO_J2 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_J4 +bit 636 O 1 IO_J4 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_J5 +bit 633 O 1 IO_J5 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_H1 +bit 630 O 1 IO_H1 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_H2 +bit 627 O 1 IO_H2 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_J6 +bit 624 O 1 IO_J6 623 1 Z +bit 623 C 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 O 1 * +bit 619 I 1 IO_H3 +bit 618 O 1 IO_H3 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_H4 +bit 615 O 1 IO_H4 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_H5 +bit 612 O 1 IO_H5 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_H6 +bit 609 O 1 IO_H6 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_H7 +bit 606 O 1 IO_H7 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_G6 +bit 603 O 1 IO_G6 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_G1 +bit 600 O 1 IO_G1 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_G2 +bit 597 O 1 IO_G2 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_G3 +bit 594 O 1 IO_G3 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_G4 +bit 591 O 1 IO_G4 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 I 1 IO_F2 +bit 576 O 1 IO_F2 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_F3 +bit 573 O 1 IO_F3 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_F5 +bit 570 O 1 IO_F5 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_E4 +bit 567 O 1 IO_E4 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_E1 +bit 564 O 1 IO_E1 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_E2 +bit 561 O 1 IO_E2 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_D1 +bit 558 O 1 IO_D1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_D2 +bit 555 O 1 IO_D2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_D3 +bit 552 O 1 IO_D3 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_D4 +bit 549 O 1 IO_D4 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_C1 +bit 546 O 1 IO_C1 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_C2 +bit 543 O 1 IO_C2 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 I 1 HSWAP_EN_A3 +bit 539 I 1 IO_C4 +bit 538 O 1 IO_C4 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_B4 +bit 535 O 1 IO_B4 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_A4 +bit 532 O 1 IO_A4 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_B5 +bit 529 O 1 IO_B5 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_A5 +bit 526 O 1 IO_A5 525 1 Z +bit 525 C 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 I 1 IO_D5 +bit 520 O 1 IO_D5 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_E6 +bit 517 O 1 IO_E6 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_C6 +bit 514 O 1 IO_C6 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_B6 +bit 511 O 1 IO_B6 510 1 Z +bit 510 C 1 * +bit 509 O 1 * +bit 508 O 1 * +bit 507 O 1 * +bit 506 I 1 IO_F6 +bit 505 O 1 IO_F6 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_F7 +bit 502 O 1 IO_F7 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_D7 +bit 499 O 1 IO_D7 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_C7 +bit 496 O 1 IO_C7 495 1 Z +bit 495 C 1 * +bit 494 O 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 I 1 IO_B7 +bit 490 O 1 IO_B7 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_A7 +bit 487 O 1 IO_A7 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_G7 +bit 484 O 1 IO_G7 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_H8 +bit 481 O 1 IO_H8 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_G8 +bit 478 O 1 IO_G8 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_F8 +bit 475 O 1 IO_F8 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_E8 +bit 472 O 1 IO_E8 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_D8 +bit 469 O 1 IO_D8 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_C8 +bit 466 O 1 IO_C8 465 1 Z +bit 465 C 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 I 1 IO_B8 +bit 460 O 1 IO_B8 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_A8 +bit 457 O 1 IO_A8 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_J9 +bit 454 O 1 IO_J9 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_H9 +bit 451 O 1 IO_H9 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_F9 +bit 448 O 1 IO_F9 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_E9 +bit 445 O 1 IO_E9 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_D9 +bit 442 O 1 IO_D9 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_B9 +bit 439 O 1 IO_B9 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_A9 +bit 436 O 1 IO_A9 435 1 Z +bit 435 C 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 I 1 IO_G10 +bit 430 O 1 IO_G10 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_F10 +bit 427 O 1 IO_F10 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_C10 +bit 424 O 1 IO_C10 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_B10 +bit 421 O 1 IO_B10 420 1 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 I 1 IO_J10 +bit 415 O 1 IO_J10 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_K11 +bit 412 O 1 IO_K11 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_H11 +bit 409 O 1 IO_H11 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_G11 +bit 406 O 1 IO_G11 405 1 Z +bit 405 C 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 I 1 IO_F11 +bit 400 O 1 IO_F11 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_E11 +bit 397 O 1 IO_E11 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_D11 +bit 394 O 1 IO_D11 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_C11 +bit 391 O 1 IO_C11 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 I 1 IO_B11 +bit 385 O 1 IO_B11 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_A11 +bit 382 O 1 IO_A11 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_K12 +bit 379 O 1 IO_K12 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_J12 +bit 376 O 1 IO_J12 375 1 Z +bit 375 C 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 IO_H12 +bit 370 O 1 IO_H12 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_G12 +bit 367 O 1 IO_G12 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_F12 +bit 364 O 1 IO_F12 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_E12 +bit 361 O 1 IO_E12 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 I 1 IO_D12 +bit 355 O 1 IO_D12 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_C12 +bit 352 O 1 IO_C12 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_B12 +bit 349 O 1 IO_B12 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_A12 +bit 346 O 1 IO_A12 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_K13 +bit 343 O 1 IO_K13 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_J13 +bit 340 O 1 IO_J13 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_H13 +bit 337 O 1 IO_H13 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_F13 +bit 334 O 1 IO_F13 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_E13 +bit 331 O 1 IO_E13 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_D13 +bit 328 O 1 IO_D13 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_B13 +bit 325 O 1 IO_B13 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_A13 +bit 322 O 1 IO_A13 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_K14 +bit 319 O 1 IO_K14 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_J14 +bit 316 O 1 IO_J14 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_K15 +bit 313 O 1 IO_K15 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_G14 +bit 310 O 1 IO_G14 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_F14 +bit 307 O 1 IO_F14 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_C14 +bit 304 O 1 IO_C14 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_B14 +bit 301 O 1 IO_B14 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 IO_J15 +bit 295 O 1 IO_J15 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_H15 +bit 292 O 1 IO_H15 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_G15 +bit 289 O 1 IO_G15 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_F15 +bit 286 O 1 IO_F15 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_E15 +bit 283 O 1 IO_E15 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_D15 +bit 280 O 1 IO_D15 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_C15 +bit 277 O 1 IO_C15 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_B15 +bit 274 O 1 IO_B15 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_A15 +bit 271 O 1 IO_A15 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_A16 +bit 268 O 1 IO_A16 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B16 +bit 265 O 1 IO_B16 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_C16 +bit 262 O 1 IO_C16 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_D16 +bit 259 O 1 IO_D16 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_E16 +bit 256 O 1 IO_E16 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_F16 +bit 253 O 1 IO_F16 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_G16 +bit 250 O 1 IO_G16 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_H16 +bit 247 O 1 IO_H16 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_J16 +bit 244 O 1 IO_J16 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_K16 +bit 241 O 1 IO_K16 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_J17 +bit 238 O 1 IO_J17 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B17 +bit 235 O 1 IO_B17 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C17 +bit 232 O 1 IO_C17 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F17 +bit 229 O 1 IO_F17 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_G17 +bit 226 O 1 IO_G17 225 1 Z +bit 225 C 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 I 1 IO_K17 +bit 220 O 1 IO_K17 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_K18 +bit 217 O 1 IO_K18 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_A18 +bit 214 O 1 IO_A18 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_B18 +bit 211 O 1 IO_B18 210 1 Z +bit 210 C 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 I 1 IO_D18 +bit 205 O 1 IO_D18 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_E18 +bit 202 O 1 IO_E18 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_H18 +bit 199 O 1 IO_H18 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_J18 +bit 196 O 1 IO_J18 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_F18 +bit 193 O 1 IO_F18 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A19 +bit 190 O 1 IO_A19 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B19 +bit 187 O 1 IO_B19 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_C19 +bit 184 O 1 IO_C19 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D19 +bit 181 O 1 IO_D19 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_E19 +bit 175 O 1 IO_E19 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_F19 +bit 172 O 1 IO_F19 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_G19 +bit 169 O 1 IO_G19 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_H19 +bit 166 O 1 IO_H19 165 1 Z +bit 165 C 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 I 1 IO_J19 +bit 160 O 1 IO_J19 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_K19 +bit 157 O 1 IO_K19 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_A20 +bit 154 O 1 IO_A20 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_B20 +bit 151 O 1 IO_B20 150 1 Z +bit 150 C 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 I 1 IO_C20 +bit 145 O 1 IO_C20 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_D20 +bit 142 O 1 IO_D20 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_E20 +bit 139 O 1 IO_E20 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_F20 +bit 136 O 1 IO_F20 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_G20 +bit 130 O 1 IO_G20 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_H20 +bit 127 O 1 IO_H20 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_B21 +bit 124 O 1 IO_B21 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_C21 +bit 121 O 1 IO_C21 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_K20 +bit 118 O 1 IO_K20 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_F21 +bit 115 O 1 IO_F21 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_G21 +bit 112 O 1 IO_G21 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A22 +bit 109 O 1 IO_A22 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B22 +bit 106 O 1 IO_B22 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_J21 +bit 103 O 1 IO_J21 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_D22 +bit 100 O 1 IO_D22 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_E22 +bit 97 O 1 IO_E22 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_F22 +bit 94 O 1 IO_F22 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_E23 +bit 91 O 1 IO_E23 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_H22 +bit 85 O 1 IO_H22 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_J22 +bit 82 O 1 IO_J22 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_A23 +bit 79 O 1 IO_A23 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_B23 +bit 76 O 1 IO_B23 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_C23 +bit 70 O 1 IO_C23 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D23 +bit 67 O 1 IO_D23 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_F23 +bit 64 O 1 IO_F23 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_G23 +bit 61 O 1 IO_G23 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_H23 +bit 55 O 1 IO_H23 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_G24 +bit 52 O 1 IO_G24 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_A24 +bit 49 O 1 IO_A24 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_B24 +bit 46 O 1 IO_B24 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_C24 +bit 40 O 1 IO_C24 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_D24 +bit 37 O 1 IO_D24 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_F24 +bit 34 O 1 IO_F24 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F25 +bit 31 O 1 IO_F25 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_E25 +bit 28 O 1 IO_E25 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B25 +bit 25 O 1 IO_B25 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C25 +bit 22 O 1 IO_C25 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_A26 +bit 19 O 1 IO_A26 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_B26 +bit 16 O 1 IO_B26 15 1 Z +bit 15 C 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_D26 +bit 10 O 1 IO_D26 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_C27 +bit 7 O 1 IO_C27 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A27 +bit 4 O 1 IO_A27 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B27 +bit 1 O 1 IO_B27 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l new file mode 100644 index 0000000..210ad17 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l @@ -0,0 +1,3283 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal GND121 +signal GND122 +signal GND123 +signal GND124 +signal GND125 +signal GND126 +signal GND127 +signal GND128 +signal GND129 +signal GND130 +signal GND131 +signal GND132 +signal GND133 +signal GND134 +signal GND135 +signal GND136 +signal GND137 +signal GND138 +signal GND139 +signal GND140 +signal GND141 +signal GND142 +signal GND143 +signal GND144 +signal GND145 +signal GND146 +signal GND147 +signal GND148 +signal GND149 +signal NC1 +signal NC2 +signal NC3 +signal NC4 +signal NC5 +signal CCLK_PAD654 +signal DONE_PAD653 +signal HSWAP_EN_PAD137 +signal M0_PAD382 +signal M1_PAD381 +signal M2_PAD383 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCAUX25 +signal VCCAUX26 +signal VCCAUX27 +signal VCCAUX28 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCINT33 +signal VCCINT34 +signal VCCINT35 +signal VCCINT36 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO011 +signal VCCO012 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO111 +signal VCCO112 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO411 +signal VCCO412 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO511 +signal VCCO512 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD5 +signal IO_PAD7 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD11 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD15 +signal IO_PAD17 +signal IO_PAD19 +signal IO_PAD21 +signal IO_PAD23 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD27 +signal IO_PAD28 +signal IO_PAD29 +signal IO_PAD31 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD37 +signal IO_PAD38 +signal IO_PAD39 +signal IO_PAD41 +signal IO_PAD43 +signal IO_PAD45 +signal IO_PAD46 +signal IO_PAD47 +signal IO_PAD49 +signal IO_PAD50 +signal IO_PAD51 +signal IO_PAD53 +signal IO_PAD55 +signal IO_PAD57 +signal IO_PAD58 +signal IO_PAD59 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD63 +signal IO_PAD65 +signal IO_PAD67 +signal IO_PAD69 +signal IO_PAD70 +signal IO_PAD71 +signal IO_PAD73 +signal IO_PAD74 +signal IO_PAD75 +signal IO_PAD77 +signal IO_PAD79 +signal IO_PAD80 +signal IO_PAD81 +signal IO_PAD83 +signal IO_PAD85 +signal IO_PAD87 +signal IO_PAD89 +signal IO_PAD91 +signal IO_PAD92 +signal IO_PAD93 +signal IO_PAD95 +signal IO_PAD97 +signal IO_PAD98 +signal IO_PAD99 +signal IO_PAD101 +signal IO_PAD102 +signal IO_PAD103 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD107 +signal IO_PAD109 +signal IO_PAD111 +signal IO_PAD113 +signal IO_PAD115 +signal IO_PAD117 +signal IO_PAD119 +signal IO_PAD120 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD123 +signal IO_PAD125 +signal IO_PAD127 +signal IO_PAD129 +signal IO_PAD130 +signal IO_PAD131 +signal IO_PAD133 +signal IO_PAD135 +signal IO_PAD136 +signal IO_PAD141 +signal IO_PAD142 +signal IO_PAD143 +signal IO_PAD145 +signal IO_PAD146 +signal IO_PAD147 +signal IO_PAD149 +signal IO_PAD150 +signal IO_PAD151 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD157 +signal IO_PAD159 +signal IO_PAD161 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD165 +signal IO_PAD166 +signal IO_PAD167 +signal IO_PAD169 +signal IO_PAD171 +signal IO_PAD172 +signal IO_PAD173 +signal IO_PAD174 +signal IO_PAD175 +signal IO_PAD176 +signal IO_PAD177 +signal IO_PAD179 +signal IO_PAD181 +signal IO_PAD182 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD185 +signal IO_PAD187 +signal IO_PAD188 +signal IO_PAD189 +signal IO_PAD191 +signal IO_PAD192 +signal IO_PAD193 +signal IO_PAD195 +signal IO_PAD196 +signal IO_PAD197 +signal IO_PAD198 +signal IO_PAD199 +signal IO_PAD201 +signal IO_PAD203 +signal IO_PAD204 +signal IO_PAD205 +signal IO_PAD206 +signal IO_PAD207 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD213 +signal IO_PAD215 +signal IO_PAD217 +signal IO_PAD218 +signal IO_PAD219 +signal IO_PAD220 +signal IO_PAD221 +signal IO_PAD223 +signal IO_PAD225 +signal IO_PAD226 +signal IO_PAD227 +signal IO_PAD228 +signal IO_PAD229 +signal IO_PAD231 +signal IO_PAD233 +signal IO_PAD234 +signal IO_PAD235 +signal IO_PAD236 +signal IO_PAD237 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD241 +signal IO_PAD243 +signal IO_PAD245 +signal IO_PAD247 +signal IO_PAD249 +signal IO_PAD250 +signal IO_PAD251 +signal IO_PAD252 +signal IO_PAD253 +signal IO_PAD255 +signal IO_PAD257 +signal IO_PAD258 +signal IO_PAD260 +signal IO_PAD261 +signal IO_PAD263 +signal IO_PAD265 +signal IO_PAD266 +signal IO_PAD267 +signal IO_PAD268 +signal IO_PAD269 +signal IO_PAD271 +signal IO_PAD273 +signal IO_PAD275 +signal IO_PAD277 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD281 +signal IO_PAD282 +signal IO_PAD283 +signal IO_PAD284 +signal IO_PAD285 +signal IO_PAD287 +signal IO_PAD289 +signal IO_PAD290 +signal IO_PAD291 +signal IO_PAD292 +signal IO_PAD293 +signal IO_PAD295 +signal IO_PAD297 +signal IO_PAD298 +signal IO_PAD299 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD303 +signal IO_PAD305 +signal IO_PAD307 +signal IO_PAD308 +signal IO_PAD309 +signal IO_PAD310 +signal IO_PAD311 +signal IO_PAD312 +signal IO_PAD313 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD317 +signal IO_PAD319 +signal IO_PAD320 +signal IO_PAD321 +signal IO_PAD322 +signal IO_PAD323 +signal IO_PAD325 +signal IO_PAD326 +signal IO_PAD327 +signal IO_PAD329 +signal IO_PAD330 +signal IO_PAD331 +signal IO_PAD333 +signal IO_PAD334 +signal IO_PAD335 +signal IO_PAD336 +signal IO_PAD337 +signal IO_PAD339 +signal IO_PAD341 +signal IO_PAD342 +signal IO_PAD343 +signal IO_PAD344 +signal IO_PAD345 +signal IO_PAD346 +signal IO_PAD347 +signal IO_PAD349 +signal IO_PAD351 +signal IO_PAD352 +signal IO_PAD353 +signal IO_PAD354 +signal IO_PAD355 +signal IO_PAD357 +signal IO_PAD359 +signal IO_PAD361 +signal IO_PAD363 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD367 +signal IO_PAD368 +signal IO_PAD369 +signal IO_PAD371 +signal IO_PAD372 +signal IO_PAD373 +signal IO_PAD375 +signal IO_PAD376 +signal IO_PAD377 +signal IO_PAD384 +signal IO_PAD385 +signal IO_PAD387 +signal IO_PAD389 +signal IO_PAD390 +signal IO_PAD391 +signal IO_PAD393 +signal IO_PAD395 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD399 +signal IO_PAD400 +signal IO_PAD401 +signal IO_PAD403 +signal IO_PAD405 +signal IO_PAD406 +signal IO_PAD407 +signal IO_PAD409 +signal IO_PAD411 +signal IO_PAD413 +signal IO_PAD414 +signal IO_PAD415 +signal IO_PAD416 +signal IO_PAD417 +signal IO_PAD419 +signal IO_PAD420 +signal IO_PAD421 +signal IO_PAD423 +signal IO_PAD425 +signal IO_PAD426 +signal IO_PAD427 +signal IO_PAD429 +signal IO_PAD431 +signal IO_PAD433 +signal IO_PAD435 +signal IO_PAD437 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD441 +signal IO_PAD443 +signal IO_PAD444 +signal IO_PAD445 +signal IO_PAD447 +signal IO_PAD448 +signal IO_PAD449 +signal IO_PAD451 +signal IO_PAD453 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD457 +signal IO_PAD459 +signal IO_PAD460 +signal IO_PAD461 +signal IO_PAD463 +signal IO_PAD465 +signal IO_PAD467 +signal IO_PAD468 +signal IO_PAD469 +signal IO_PAD471 +signal IO_PAD472 +signal IO_PAD473 +signal IO_PAD475 +signal IO_PAD477 +signal IO_PAD479 +signal IO_PAD480 +signal IO_PAD481 +signal IO_PAD483 +signal IO_PAD484 +signal IO_PAD485 +signal IO_PAD487 +signal IO_PAD489 +signal IO_PAD490 +signal IO_PAD491 +signal IO_PAD492 +signal IO_PAD493 +signal IO_PAD495 +signal IO_PAD497 +signal IO_PAD499 +signal IO_PAD501 +signal IO_PAD503 +signal IO_PAD504 +signal IO_PAD505 +signal IO_PAD507 +signal IO_PAD508 +signal IO_PAD509 +signal IO_PAD511 +signal IO_PAD513 +signal IO_PAD514 +signal IO_PAD515 +signal IO_PAD516 +signal IO_PAD518 +signal IO_PAD519 +signal IO_PAD520 +signal IO_PAD521 +signal IO_PAD523 +signal IO_PAD525 +signal IO_PAD526 +signal IO_PAD527 +signal IO_PAD529 +signal IO_PAD530 +signal IO_PAD531 +signal IO_PAD533 +signal IO_PAD535 +signal IO_PAD537 +signal IO_PAD539 +signal IO_PAD541 +signal IO_PAD542 +signal IO_PAD543 +signal IO_PAD544 +signal IO_PAD545 +signal IO_PAD547 +signal IO_PAD549 +signal IO_PAD550 +signal IO_PAD551 +signal IO_PAD553 +signal IO_PAD554 +signal IO_PAD555 +signal IO_PAD557 +signal IO_PAD559 +signal IO_PAD561 +signal IO_PAD562 +signal IO_PAD563 +signal IO_PAD565 +signal IO_PAD566 +signal IO_PAD567 +signal IO_PAD569 +signal IO_PAD571 +signal IO_PAD573 +signal IO_PAD574 +signal IO_PAD575 +signal IO_PAD577 +signal IO_PAD578 +signal IO_PAD579 +signal IO_PAD581 +signal IO_PAD583 +signal IO_PAD585 +signal IO_PAD586 +signal IO_PAD587 +signal IO_PAD589 +signal IO_PAD590 +signal IO_PAD591 +signal IO_PAD593 +signal IO_PAD595 +signal IO_PAD596 +signal IO_PAD597 +signal IO_PAD599 +signal IO_PAD601 +signal IO_PAD603 +signal IO_PAD605 +signal IO_PAD607 +signal IO_PAD608 +signal IO_PAD609 +signal IO_PAD611 +signal IO_PAD613 +signal IO_PAD614 +signal IO_PAD615 +signal IO_PAD617 +signal IO_PAD618 +signal IO_PAD619 +signal IO_PAD620 +signal IO_PAD621 +signal IO_PAD623 +signal IO_PAD625 +signal IO_PAD627 +signal IO_PAD629 +signal IO_PAD631 +signal IO_PAD633 +signal IO_PAD635 +signal IO_PAD636 +signal IO_PAD637 +signal IO_PAD638 +signal IO_PAD639 +signal IO_PAD641 +signal IO_PAD643 +signal IO_PAD645 +signal IO_PAD646 +signal IO_PAD647 +signal IO_PAD649 +signal IO_PAD651 +signal IO_PAD652 +signal IO_PAD657 +signal IO_PAD658 +signal IO_PAD659 +signal IO_PAD661 +signal IO_PAD662 +signal IO_PAD663 +signal IO_PAD665 +signal IO_PAD666 +signal IO_PAD667 +signal IO_PAD669 +signal IO_PAD670 +signal IO_PAD671 +signal IO_PAD673 +signal IO_PAD675 +signal IO_PAD677 +signal IO_PAD679 +signal IO_PAD680 +signal IO_PAD681 +signal IO_PAD682 +signal IO_PAD683 +signal IO_PAD685 +signal IO_PAD687 +signal IO_PAD688 +signal IO_PAD689 +signal IO_PAD690 +signal IO_PAD691 +signal IO_PAD692 +signal IO_PAD693 +signal IO_PAD695 +signal IO_PAD697 +signal IO_PAD698 +signal IO_PAD699 +signal IO_PAD700 +signal IO_PAD701 +signal IO_PAD703 +signal IO_PAD704 +signal IO_PAD705 +signal IO_PAD707 +signal IO_PAD708 +signal IO_PAD709 +signal IO_PAD711 +signal IO_PAD712 +signal IO_PAD713 +signal IO_PAD714 +signal IO_PAD715 +signal IO_PAD717 +signal IO_PAD719 +signal IO_PAD720 +signal IO_PAD721 +signal IO_PAD722 +signal IO_PAD723 +signal IO_PAD724 +signal IO_PAD725 +signal IO_PAD726 +signal IO_PAD727 +signal IO_PAD729 +signal IO_PAD731 +signal IO_PAD733 +signal IO_PAD734 +signal IO_PAD735 +signal IO_PAD736 +signal IO_PAD737 +signal IO_PAD739 +signal IO_PAD741 +signal IO_PAD742 +signal IO_PAD743 +signal IO_PAD744 +signal IO_PAD745 +signal IO_PAD747 +signal IO_PAD749 +signal IO_PAD750 +signal IO_PAD751 +signal IO_PAD752 +signal IO_PAD753 +signal IO_PAD755 +signal IO_PAD756 +signal IO_PAD757 +signal IO_PAD759 +signal IO_PAD761 +signal IO_PAD763 +signal IO_PAD765 +signal IO_PAD766 +signal IO_PAD767 +signal IO_PAD768 +signal IO_PAD769 +signal IO_PAD771 +signal IO_PAD773 +signal IO_PAD774 +signal IO_PAD776 +signal IO_PAD777 +signal IO_PAD779 +signal IO_PAD781 +signal IO_PAD782 +signal IO_PAD783 +signal IO_PAD784 +signal IO_PAD785 +signal IO_PAD787 +signal IO_PAD789 +signal IO_PAD791 +signal IO_PAD793 +signal IO_PAD794 +signal IO_PAD795 +signal IO_PAD797 +signal IO_PAD798 +signal IO_PAD799 +signal IO_PAD800 +signal IO_PAD801 +signal IO_PAD803 +signal IO_PAD805 +signal IO_PAD806 +signal IO_PAD807 +signal IO_PAD808 +signal IO_PAD809 +signal IO_PAD811 +signal IO_PAD813 +signal IO_PAD814 +signal IO_PAD815 +signal IO_PAD816 +signal IO_PAD817 +signal IO_PAD819 +signal IO_PAD821 +signal IO_PAD823 +signal IO_PAD824 +signal IO_PAD825 +signal IO_PAD826 +signal IO_PAD827 +signal IO_PAD828 +signal IO_PAD829 +signal IO_PAD830 +signal IO_PAD831 +signal IO_PAD833 +signal IO_PAD835 +signal IO_PAD836 +signal IO_PAD837 +signal IO_PAD838 +signal IO_PAD839 +signal IO_PAD841 +signal IO_PAD842 +signal IO_PAD843 +signal IO_PAD845 +signal IO_PAD846 +signal IO_PAD847 +signal IO_PAD849 +signal IO_PAD850 +signal IO_PAD851 +signal IO_PAD852 +signal IO_PAD853 +signal IO_PAD855 +signal IO_PAD857 +signal IO_PAD858 +signal IO_PAD859 +signal IO_PAD860 +signal IO_PAD861 +signal IO_PAD862 +signal IO_PAD863 +signal IO_PAD865 +signal IO_PAD867 +signal IO_PAD868 +signal IO_PAD869 +signal IO_PAD870 +signal IO_PAD871 +signal IO_PAD873 +signal IO_PAD875 +signal IO_PAD877 +signal IO_PAD879 +signal IO_PAD880 +signal IO_PAD881 +signal IO_PAD883 +signal IO_PAD884 +signal IO_PAD885 +signal IO_PAD887 +signal IO_PAD888 +signal IO_PAD889 +signal IO_PAD891 +signal IO_PAD892 +signal IO_PAD893 +signal IO_PAD898 +signal IO_PAD899 +signal IO_PAD901 +signal IO_PAD903 +signal IO_PAD904 +signal IO_PAD905 +signal IO_PAD907 +signal IO_PAD909 +signal IO_PAD911 +signal IO_PAD912 +signal IO_PAD913 +signal IO_PAD914 +signal IO_PAD915 +signal IO_PAD917 +signal IO_PAD919 +signal IO_PAD920 +signal IO_PAD921 +signal IO_PAD923 +signal IO_PAD925 +signal IO_PAD927 +signal IO_PAD928 +signal IO_PAD929 +signal IO_PAD930 +signal IO_PAD931 +signal IO_PAD933 +signal IO_PAD934 +signal IO_PAD935 +signal IO_PAD937 +signal IO_PAD939 +signal IO_PAD940 +signal IO_PAD941 +signal IO_PAD943 +signal IO_PAD945 +signal IO_PAD947 +signal IO_PAD949 +signal IO_PAD951 +signal IO_PAD952 +signal IO_PAD953 +signal IO_PAD955 +signal IO_PAD957 +signal IO_PAD958 +signal IO_PAD959 +signal IO_PAD961 +signal IO_PAD962 +signal IO_PAD963 +signal IO_PAD965 +signal IO_PAD967 +signal IO_PAD969 +signal IO_PAD970 +signal IO_PAD971 +signal IO_PAD973 +signal IO_PAD974 +signal IO_PAD975 +signal IO_PAD977 +signal IO_PAD979 +signal IO_PAD981 +signal IO_PAD982 +signal IO_PAD983 +signal IO_PAD985 +signal IO_PAD986 +signal IO_PAD987 +signal IO_PAD989 +signal IO_PAD991 +signal IO_PAD993 +signal IO_PAD994 +signal IO_PAD995 +signal IO_PAD997 +signal IO_PAD998 +signal IO_PAD999 +signal IO_PAD1001 +signal IO_PAD1003 +signal IO_PAD1004 +signal IO_PAD1005 +signal IO_PAD1006 +signal IO_PAD1007 +signal IO_PAD1009 +signal IO_PAD1011 +signal IO_PAD1013 +signal IO_PAD1015 +signal IO_PAD1017 +signal IO_PAD1018 +signal IO_PAD1019 +signal IO_PAD1021 +signal IO_PAD1022 +signal IO_PAD1023 +signal IO_PAD1025 +signal IO_PAD1027 +signal IO_PAD1028 +signal IO_PAD1029 + +register BSR 2243 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2242 I 1 IO_PAD893 +bit 2241 O 1 IO_PAD893 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_PAD892 +bit 2238 O 1 IO_PAD892 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_PAD891 +bit 2235 O 1 IO_PAD891 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_PAD889 +bit 2232 O 1 IO_PAD889 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_PAD888 +bit 2229 O 1 IO_PAD888 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_PAD887 +bit 2226 O 1 IO_PAD887 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_PAD885 +bit 2223 O 1 IO_PAD885 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_PAD884 +bit 2220 O 1 IO_PAD884 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_PAD883 +bit 2217 O 1 IO_PAD883 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_PAD881 +bit 2214 O 1 IO_PAD881 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_PAD880 +bit 2211 O 1 IO_PAD880 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_PAD879 +bit 2208 O 1 IO_PAD879 2207 1 Z +bit 2207 C 1 * +bit 2206 I 1 IO_PAD877 +bit 2205 O 1 IO_PAD877 2204 1 Z +bit 2204 C 1 * +bit 2203 O 1 * +bit 2202 O 1 * +bit 2201 O 1 * +bit 2200 O 1 * +bit 2199 O 1 * +bit 2198 O 1 * +bit 2197 I 1 IO_PAD875 +bit 2196 O 1 IO_PAD875 2195 1 Z +bit 2195 C 1 * +bit 2194 I 1 IO_PAD873 +bit 2193 O 1 IO_PAD873 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_PAD871 +bit 2190 O 1 IO_PAD871 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_PAD870 +bit 2187 O 1 IO_PAD870 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_PAD869 +bit 2184 O 1 IO_PAD869 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_PAD868 +bit 2181 O 1 IO_PAD868 2180 1 Z +bit 2180 C 1 * +bit 2179 I 1 IO_PAD867 +bit 2178 O 1 IO_PAD867 2177 1 Z +bit 2177 C 1 * +bit 2176 I 1 IO_PAD865 +bit 2175 O 1 IO_PAD865 2174 1 Z +bit 2174 C 1 * +bit 2173 I 1 IO_PAD863 +bit 2172 O 1 IO_PAD863 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_PAD862 +bit 2169 O 1 IO_PAD862 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_PAD861 +bit 2166 O 1 IO_PAD861 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_PAD860 +bit 2163 O 1 IO_PAD860 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_PAD859 +bit 2160 O 1 IO_PAD859 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_PAD858 +bit 2157 O 1 IO_PAD858 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_PAD857 +bit 2154 O 1 IO_PAD857 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_PAD855 +bit 2151 O 1 IO_PAD855 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_PAD853 +bit 2148 O 1 IO_PAD853 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_PAD852 +bit 2145 O 1 IO_PAD852 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_PAD851 +bit 2142 O 1 IO_PAD851 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_PAD850 +bit 2139 O 1 IO_PAD850 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_PAD849 +bit 2136 O 1 IO_PAD849 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_PAD847 +bit 2133 O 1 IO_PAD847 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_PAD846 +bit 2130 O 1 IO_PAD846 2129 1 Z +bit 2129 C 1 * +bit 2128 O 1 * +bit 2127 O 1 * +bit 2126 O 1 * +bit 2125 O 1 * +bit 2124 O 1 * +bit 2123 O 1 * +bit 2122 I 1 IO_PAD845 +bit 2121 O 1 IO_PAD845 2120 1 Z +bit 2120 C 1 * +bit 2119 I 1 IO_PAD843 +bit 2118 O 1 IO_PAD843 2117 1 Z +bit 2117 C 1 * +bit 2116 I 1 IO_PAD842 +bit 2115 O 1 IO_PAD842 2114 1 Z +bit 2114 C 1 * +bit 2113 I 1 IO_PAD841 +bit 2112 O 1 IO_PAD841 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_PAD839 +bit 2109 O 1 IO_PAD839 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_PAD838 +bit 2106 O 1 IO_PAD838 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_PAD837 +bit 2103 O 1 IO_PAD837 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_PAD836 +bit 2100 O 1 IO_PAD836 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_PAD835 +bit 2097 O 1 IO_PAD835 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_PAD833 +bit 2094 O 1 IO_PAD833 2093 1 Z +bit 2093 C 1 * +bit 2092 I 1 IO_PAD831 +bit 2091 O 1 IO_PAD831 2090 1 Z +bit 2090 C 1 * +bit 2089 I 1 IO_PAD830 +bit 2088 O 1 IO_PAD830 2087 1 Z +bit 2087 C 1 * +bit 2086 I 1 IO_PAD829 +bit 2085 O 1 IO_PAD829 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_PAD828 +bit 2082 O 1 IO_PAD828 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_PAD827 +bit 2079 O 1 IO_PAD827 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_PAD826 +bit 2076 O 1 IO_PAD826 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_PAD825 +bit 2073 O 1 IO_PAD825 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_PAD824 +bit 2070 O 1 IO_PAD824 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_PAD823 +bit 2067 O 1 IO_PAD823 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_PAD821 +bit 2064 O 1 IO_PAD821 2063 1 Z +bit 2063 C 1 * +bit 2062 O 1 * +bit 2061 O 1 * +bit 2060 O 1 * +bit 2059 O 1 * +bit 2058 O 1 * +bit 2057 O 1 * +bit 2056 I 1 IO_PAD819 +bit 2055 O 1 IO_PAD819 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_PAD817 +bit 2052 O 1 IO_PAD817 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_PAD816 +bit 2049 O 1 IO_PAD816 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_PAD815 +bit 2046 O 1 IO_PAD815 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_PAD814 +bit 2043 O 1 IO_PAD814 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_PAD813 +bit 2040 O 1 IO_PAD813 2039 1 Z +bit 2039 C 1 * +bit 2038 I 1 IO_PAD811 +bit 2037 O 1 IO_PAD811 2036 1 Z +bit 2036 C 1 * +bit 2035 I 1 IO_PAD809 +bit 2034 O 1 IO_PAD809 2033 1 Z +bit 2033 C 1 * +bit 2032 I 1 IO_PAD808 +bit 2031 O 1 IO_PAD808 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_PAD807 +bit 2028 O 1 IO_PAD807 2027 1 Z +bit 2027 C 1 * +bit 2026 I 1 IO_PAD806 +bit 2025 O 1 IO_PAD806 2024 1 Z +bit 2024 C 1 * +bit 2023 I 1 IO_PAD805 +bit 2022 O 1 IO_PAD805 2021 1 Z +bit 2021 C 1 * +bit 2020 I 1 IO_PAD803 +bit 2019 O 1 IO_PAD803 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_PAD801 +bit 2016 O 1 IO_PAD801 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_PAD800 +bit 2013 O 1 IO_PAD800 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_PAD799 +bit 2010 O 1 IO_PAD799 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_PAD798 +bit 2007 O 1 IO_PAD798 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_PAD797 +bit 2004 O 1 IO_PAD797 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_PAD795 +bit 2001 O 1 IO_PAD795 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_PAD794 +bit 1998 O 1 IO_PAD794 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_PAD793 +bit 1995 O 1 IO_PAD793 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_PAD791 +bit 1992 O 1 IO_PAD791 1991 1 Z +bit 1991 C 1 * +bit 1990 I 1 IO_PAD789 +bit 1989 O 1 IO_PAD789 1988 1 Z +bit 1988 C 1 * +bit 1987 O 1 * +bit 1986 O 1 * +bit 1985 O 1 * +bit 1984 O 1 * +bit 1983 O 1 * +bit 1982 O 1 * +bit 1981 I 1 IO_PAD787 +bit 1980 O 1 IO_PAD787 1979 1 Z +bit 1979 C 1 * +bit 1978 I 1 IO_PAD785 +bit 1977 O 1 IO_PAD785 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_PAD784 +bit 1974 O 1 IO_PAD784 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_PAD783 +bit 1971 O 1 IO_PAD783 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_PAD782 +bit 1968 O 1 IO_PAD782 1967 1 Z +bit 1967 C 1 * +bit 1966 I 1 IO_PAD781 +bit 1965 O 1 IO_PAD781 1964 1 Z +bit 1964 C 1 * +bit 1963 I 1 IO_PAD779 +bit 1962 O 1 IO_PAD779 1961 1 Z +bit 1961 C 1 * +bit 1960 I 1 IO_PAD777 +bit 1959 O 1 IO_PAD777 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_PAD776 +bit 1956 O 1 IO_PAD776 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_PAD774 +bit 1953 O 1 IO_PAD774 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_PAD773 +bit 1950 O 1 IO_PAD773 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_PAD771 +bit 1947 O 1 IO_PAD771 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_PAD769 +bit 1944 O 1 IO_PAD769 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_PAD768 +bit 1941 O 1 IO_PAD768 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_PAD767 +bit 1938 O 1 IO_PAD767 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_PAD766 +bit 1935 O 1 IO_PAD766 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_PAD765 +bit 1932 O 1 IO_PAD765 1931 1 Z +bit 1931 C 1 * +bit 1930 I 1 IO_PAD763 +bit 1929 O 1 IO_PAD763 1928 1 Z +bit 1928 C 1 * +bit 1927 O 1 * +bit 1926 O 1 * +bit 1925 O 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 I 1 IO_PAD761 +bit 1920 O 1 IO_PAD761 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_PAD759 +bit 1917 O 1 IO_PAD759 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_PAD757 +bit 1914 O 1 IO_PAD757 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_PAD756 +bit 1911 O 1 IO_PAD756 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_PAD755 +bit 1908 O 1 IO_PAD755 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_PAD753 +bit 1905 O 1 IO_PAD753 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_PAD752 +bit 1902 O 1 IO_PAD752 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_PAD751 +bit 1899 O 1 IO_PAD751 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_PAD750 +bit 1896 O 1 IO_PAD750 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_PAD749 +bit 1893 O 1 IO_PAD749 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_PAD747 +bit 1890 O 1 IO_PAD747 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_PAD745 +bit 1887 O 1 IO_PAD745 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_PAD744 +bit 1884 O 1 IO_PAD744 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_PAD743 +bit 1881 O 1 IO_PAD743 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_PAD742 +bit 1878 O 1 IO_PAD742 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_PAD741 +bit 1875 O 1 IO_PAD741 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_PAD739 +bit 1872 O 1 IO_PAD739 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_PAD737 +bit 1869 O 1 IO_PAD737 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_PAD736 +bit 1866 O 1 IO_PAD736 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_PAD735 +bit 1863 O 1 IO_PAD735 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_PAD734 +bit 1860 O 1 IO_PAD734 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_PAD733 +bit 1857 O 1 IO_PAD733 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_PAD731 +bit 1854 O 1 IO_PAD731 1853 1 Z +bit 1853 C 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 O 1 * +bit 1848 O 1 * +bit 1847 O 1 * +bit 1846 I 1 IO_PAD729 +bit 1845 O 1 IO_PAD729 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_PAD727 +bit 1842 O 1 IO_PAD727 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_PAD726 +bit 1839 O 1 IO_PAD726 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_PAD725 +bit 1836 O 1 IO_PAD725 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_PAD724 +bit 1833 O 1 IO_PAD724 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_PAD723 +bit 1830 O 1 IO_PAD723 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_PAD722 +bit 1827 O 1 IO_PAD722 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_PAD721 +bit 1824 O 1 IO_PAD721 1823 1 Z +bit 1823 C 1 * +bit 1822 I 1 IO_PAD720 +bit 1821 O 1 IO_PAD720 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_PAD719 +bit 1818 O 1 IO_PAD719 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_PAD717 +bit 1815 O 1 IO_PAD717 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_PAD715 +bit 1812 O 1 IO_PAD715 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_PAD714 +bit 1809 O 1 IO_PAD714 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_PAD713 +bit 1806 O 1 IO_PAD713 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_PAD712 +bit 1803 O 1 IO_PAD712 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_PAD711 +bit 1800 O 1 IO_PAD711 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_PAD709 +bit 1797 O 1 IO_PAD709 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_PAD708 +bit 1794 O 1 IO_PAD708 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_PAD707 +bit 1791 O 1 IO_PAD707 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_PAD705 +bit 1788 O 1 IO_PAD705 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_PAD704 +bit 1779 O 1 IO_PAD704 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_PAD703 +bit 1776 O 1 IO_PAD703 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_PAD701 +bit 1773 O 1 IO_PAD701 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_PAD700 +bit 1770 O 1 IO_PAD700 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_PAD699 +bit 1767 O 1 IO_PAD699 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_PAD698 +bit 1764 O 1 IO_PAD698 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_PAD697 +bit 1761 O 1 IO_PAD697 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_PAD695 +bit 1758 O 1 IO_PAD695 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_PAD693 +bit 1755 O 1 IO_PAD693 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_PAD692 +bit 1752 O 1 IO_PAD692 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_PAD691 +bit 1749 O 1 IO_PAD691 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_PAD690 +bit 1746 O 1 IO_PAD690 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_PAD689 +bit 1743 O 1 IO_PAD689 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_PAD688 +bit 1740 O 1 IO_PAD688 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_PAD687 +bit 1737 O 1 IO_PAD687 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_PAD685 +bit 1734 O 1 IO_PAD685 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_PAD683 +bit 1731 O 1 IO_PAD683 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_PAD682 +bit 1728 O 1 IO_PAD682 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_PAD681 +bit 1725 O 1 IO_PAD681 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_PAD680 +bit 1722 O 1 IO_PAD680 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_PAD679 +bit 1719 O 1 IO_PAD679 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_PAD677 +bit 1716 O 1 IO_PAD677 1715 1 Z +bit 1715 C 1 * +bit 1714 I 1 IO_PAD675 +bit 1713 O 1 IO_PAD675 1712 1 Z +bit 1712 C 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 I 1 IO_PAD673 +bit 1704 O 1 IO_PAD673 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_PAD671 +bit 1701 O 1 IO_PAD671 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_PAD670 +bit 1698 O 1 IO_PAD670 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_PAD669 +bit 1695 O 1 IO_PAD669 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_PAD667 +bit 1692 O 1 IO_PAD667 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_PAD666 +bit 1689 O 1 IO_PAD666 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_PAD665 +bit 1686 O 1 IO_PAD665 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_PAD663 +bit 1683 O 1 IO_PAD663 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_PAD662 +bit 1680 O 1 IO_PAD662 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_PAD661 +bit 1677 O 1 IO_PAD661 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_PAD659 +bit 1674 O 1 IO_PAD659 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_PAD658 +bit 1671 O 1 IO_PAD658 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_PAD657 +bit 1668 O 1 IO_PAD657 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 CCLK_PAD654 +bit 1665 O 1 CCLK_PAD654 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 DONE_PAD653 +bit 1662 O 1 DONE_PAD653 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_PAD652 +bit 1659 O 1 IO_PAD652 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_PAD651 +bit 1656 O 1 IO_PAD651 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_PAD649 +bit 1653 O 1 IO_PAD649 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_PAD647 +bit 1650 O 1 IO_PAD647 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_PAD646 +bit 1647 O 1 IO_PAD646 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_PAD645 +bit 1644 O 1 IO_PAD645 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_PAD643 +bit 1641 O 1 IO_PAD643 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_PAD641 +bit 1638 O 1 IO_PAD641 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_PAD639 +bit 1635 O 1 IO_PAD639 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_PAD638 +bit 1632 O 1 IO_PAD638 1631 1 Z +bit 1631 C 1 * +bit 1630 I 1 IO_PAD637 +bit 1629 O 1 IO_PAD637 1628 1 Z +bit 1628 C 1 * +bit 1627 I 1 IO_PAD636 +bit 1626 O 1 IO_PAD636 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_PAD635 +bit 1623 O 1 IO_PAD635 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_PAD633 +bit 1620 O 1 IO_PAD633 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_PAD631 +bit 1617 O 1 IO_PAD631 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_PAD629 +bit 1614 O 1 IO_PAD629 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_PAD627 +bit 1611 O 1 IO_PAD627 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_PAD625 +bit 1608 O 1 IO_PAD625 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_PAD623 +bit 1605 O 1 IO_PAD623 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_PAD621 +bit 1602 O 1 IO_PAD621 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_PAD620 +bit 1599 O 1 IO_PAD620 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_PAD619 +bit 1596 O 1 IO_PAD619 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_PAD618 +bit 1593 O 1 IO_PAD618 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_PAD617 +bit 1590 O 1 IO_PAD617 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_PAD615 +bit 1587 O 1 IO_PAD615 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_PAD614 +bit 1584 O 1 IO_PAD614 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_PAD613 +bit 1581 O 1 IO_PAD613 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_PAD611 +bit 1578 O 1 IO_PAD611 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_PAD609 +bit 1575 O 1 IO_PAD609 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_PAD608 +bit 1572 O 1 IO_PAD608 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_PAD607 +bit 1569 O 1 IO_PAD607 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_PAD605 +bit 1566 O 1 IO_PAD605 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_PAD603 +bit 1563 O 1 IO_PAD603 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_PAD601 +bit 1560 O 1 IO_PAD601 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_PAD599 +bit 1557 O 1 IO_PAD599 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_PAD597 +bit 1554 O 1 IO_PAD597 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_PAD596 +bit 1551 O 1 IO_PAD596 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_PAD595 +bit 1548 O 1 IO_PAD595 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_PAD593 +bit 1545 O 1 IO_PAD593 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_PAD591 +bit 1542 O 1 IO_PAD591 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_PAD590 +bit 1539 O 1 IO_PAD590 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_PAD589 +bit 1536 O 1 IO_PAD589 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_PAD587 +bit 1533 O 1 IO_PAD587 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_PAD586 +bit 1530 O 1 IO_PAD586 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_PAD585 +bit 1527 O 1 IO_PAD585 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_PAD583 +bit 1524 O 1 IO_PAD583 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_PAD581 +bit 1521 O 1 IO_PAD581 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_PAD579 +bit 1518 O 1 IO_PAD579 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_PAD578 +bit 1515 O 1 IO_PAD578 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_PAD577 +bit 1512 O 1 IO_PAD577 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_PAD575 +bit 1509 O 1 IO_PAD575 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_PAD574 +bit 1506 O 1 IO_PAD574 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_PAD573 +bit 1503 O 1 IO_PAD573 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_PAD571 +bit 1500 O 1 IO_PAD571 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_PAD569 +bit 1497 O 1 IO_PAD569 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_PAD567 +bit 1494 O 1 IO_PAD567 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_PAD566 +bit 1491 O 1 IO_PAD566 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_PAD565 +bit 1488 O 1 IO_PAD565 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_PAD563 +bit 1485 O 1 IO_PAD563 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_PAD562 +bit 1482 O 1 IO_PAD562 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_PAD561 +bit 1479 O 1 IO_PAD561 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_PAD559 +bit 1476 O 1 IO_PAD559 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_PAD557 +bit 1473 O 1 IO_PAD557 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_PAD555 +bit 1470 O 1 IO_PAD555 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_PAD554 +bit 1467 O 1 IO_PAD554 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_PAD553 +bit 1464 O 1 IO_PAD553 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_PAD551 +bit 1461 O 1 IO_PAD551 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_PAD550 +bit 1458 O 1 IO_PAD550 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_PAD549 +bit 1455 O 1 IO_PAD549 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_PAD547 +bit 1452 O 1 IO_PAD547 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_PAD545 +bit 1449 O 1 IO_PAD545 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_PAD544 +bit 1446 O 1 IO_PAD544 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_PAD543 +bit 1443 O 1 IO_PAD543 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_PAD542 +bit 1440 O 1 IO_PAD542 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_PAD541 +bit 1437 O 1 IO_PAD541 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_PAD539 +bit 1434 O 1 IO_PAD539 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_PAD537 +bit 1431 O 1 IO_PAD537 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_PAD535 +bit 1428 O 1 IO_PAD535 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_PAD533 +bit 1425 O 1 IO_PAD533 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_PAD531 +bit 1422 O 1 IO_PAD531 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_PAD530 +bit 1419 O 1 IO_PAD530 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_PAD529 +bit 1416 O 1 IO_PAD529 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_PAD527 +bit 1413 O 1 IO_PAD527 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_PAD526 +bit 1410 O 1 IO_PAD526 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_PAD525 +bit 1407 O 1 IO_PAD525 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_PAD523 +bit 1404 O 1 IO_PAD523 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_PAD521 +bit 1401 O 1 IO_PAD521 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_PAD520 +bit 1398 O 1 IO_PAD520 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_PAD519 +bit 1395 O 1 IO_PAD519 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_PAD518 +bit 1392 O 1 IO_PAD518 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_PAD516 +bit 1389 O 1 IO_PAD516 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_PAD515 +bit 1386 O 1 IO_PAD515 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_PAD514 +bit 1383 O 1 IO_PAD514 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_PAD513 +bit 1380 O 1 IO_PAD513 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_PAD511 +bit 1377 O 1 IO_PAD511 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_PAD509 +bit 1374 O 1 IO_PAD509 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_PAD508 +bit 1371 O 1 IO_PAD508 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_PAD507 +bit 1368 O 1 IO_PAD507 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_PAD505 +bit 1365 O 1 IO_PAD505 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_PAD504 +bit 1362 O 1 IO_PAD504 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_PAD503 +bit 1359 O 1 IO_PAD503 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_PAD501 +bit 1356 O 1 IO_PAD501 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_PAD499 +bit 1353 O 1 IO_PAD499 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_PAD497 +bit 1350 O 1 IO_PAD497 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_PAD495 +bit 1347 O 1 IO_PAD495 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_PAD493 +bit 1344 O 1 IO_PAD493 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_PAD492 +bit 1341 O 1 IO_PAD492 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_PAD491 +bit 1338 O 1 IO_PAD491 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_PAD490 +bit 1335 O 1 IO_PAD490 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_PAD489 +bit 1332 O 1 IO_PAD489 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_PAD487 +bit 1329 O 1 IO_PAD487 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_PAD485 +bit 1326 O 1 IO_PAD485 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_PAD484 +bit 1323 O 1 IO_PAD484 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_PAD483 +bit 1320 O 1 IO_PAD483 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_PAD481 +bit 1317 O 1 IO_PAD481 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_PAD480 +bit 1314 O 1 IO_PAD480 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_PAD479 +bit 1311 O 1 IO_PAD479 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_PAD477 +bit 1308 O 1 IO_PAD477 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_PAD475 +bit 1305 O 1 IO_PAD475 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_PAD473 +bit 1302 O 1 IO_PAD473 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_PAD472 +bit 1299 O 1 IO_PAD472 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_PAD471 +bit 1296 O 1 IO_PAD471 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_PAD469 +bit 1293 O 1 IO_PAD469 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_PAD468 +bit 1290 O 1 IO_PAD468 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_PAD467 +bit 1287 O 1 IO_PAD467 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_PAD465 +bit 1284 O 1 IO_PAD465 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_PAD463 +bit 1281 O 1 IO_PAD463 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_PAD461 +bit 1278 O 1 IO_PAD461 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_PAD460 +bit 1275 O 1 IO_PAD460 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_PAD459 +bit 1272 O 1 IO_PAD459 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_PAD457 +bit 1269 O 1 IO_PAD457 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_PAD456 +bit 1266 O 1 IO_PAD456 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_PAD455 +bit 1263 O 1 IO_PAD455 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_PAD453 +bit 1260 O 1 IO_PAD453 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_PAD451 +bit 1257 O 1 IO_PAD451 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_PAD449 +bit 1254 O 1 IO_PAD449 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_PAD448 +bit 1251 O 1 IO_PAD448 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_PAD447 +bit 1248 O 1 IO_PAD447 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_PAD445 +bit 1245 O 1 IO_PAD445 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_PAD444 +bit 1242 O 1 IO_PAD444 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_PAD443 +bit 1239 O 1 IO_PAD443 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_PAD441 +bit 1236 O 1 IO_PAD441 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_PAD439 +bit 1233 O 1 IO_PAD439 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_PAD438 +bit 1230 O 1 IO_PAD438 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_PAD437 +bit 1227 O 1 IO_PAD437 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_PAD435 +bit 1224 O 1 IO_PAD435 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_PAD433 +bit 1221 O 1 IO_PAD433 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_PAD431 +bit 1218 O 1 IO_PAD431 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_PAD429 +bit 1215 O 1 IO_PAD429 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_PAD427 +bit 1212 O 1 IO_PAD427 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_PAD426 +bit 1209 O 1 IO_PAD426 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_PAD425 +bit 1206 O 1 IO_PAD425 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_PAD423 +bit 1203 O 1 IO_PAD423 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_PAD421 +bit 1200 O 1 IO_PAD421 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_PAD420 +bit 1197 O 1 IO_PAD420 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_PAD419 +bit 1194 O 1 IO_PAD419 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_PAD417 +bit 1191 O 1 IO_PAD417 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_PAD416 +bit 1188 O 1 IO_PAD416 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_PAD415 +bit 1185 O 1 IO_PAD415 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_PAD414 +bit 1182 O 1 IO_PAD414 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_PAD413 +bit 1179 O 1 IO_PAD413 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_PAD411 +bit 1176 O 1 IO_PAD411 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_PAD409 +bit 1173 O 1 IO_PAD409 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_PAD407 +bit 1170 O 1 IO_PAD407 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_PAD406 +bit 1167 O 1 IO_PAD406 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_PAD405 +bit 1164 O 1 IO_PAD405 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD403 +bit 1161 O 1 IO_PAD403 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD401 +bit 1158 O 1 IO_PAD401 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD400 +bit 1155 O 1 IO_PAD400 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD399 +bit 1152 O 1 IO_PAD399 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD398 +bit 1149 O 1 IO_PAD398 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD397 +bit 1146 O 1 IO_PAD397 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD395 +bit 1143 O 1 IO_PAD395 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD393 +bit 1140 O 1 IO_PAD393 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD391 +bit 1137 O 1 IO_PAD391 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD390 +bit 1134 O 1 IO_PAD390 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD389 +bit 1131 O 1 IO_PAD389 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD387 +bit 1128 O 1 IO_PAD387 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD385 +bit 1125 O 1 IO_PAD385 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD384 +bit 1122 O 1 IO_PAD384 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 M2_PAD383 +bit 1119 I 1 M0_PAD382 +bit 1118 I 1 M1_PAD381 +bit 1117 I 1 IO_PAD377 +bit 1116 O 1 IO_PAD377 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD376 +bit 1113 O 1 IO_PAD376 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD375 +bit 1110 O 1 IO_PAD375 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD373 +bit 1107 O 1 IO_PAD373 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD372 +bit 1104 O 1 IO_PAD372 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_PAD371 +bit 1101 O 1 IO_PAD371 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_PAD369 +bit 1098 O 1 IO_PAD369 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_PAD368 +bit 1095 O 1 IO_PAD368 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_PAD367 +bit 1092 O 1 IO_PAD367 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD365 +bit 1089 O 1 IO_PAD365 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD364 +bit 1086 O 1 IO_PAD364 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_PAD363 +bit 1083 O 1 IO_PAD363 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_PAD361 +bit 1080 O 1 IO_PAD361 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 I 1 IO_PAD359 +bit 1071 O 1 IO_PAD359 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD357 +bit 1068 O 1 IO_PAD357 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_PAD355 +bit 1065 O 1 IO_PAD355 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_PAD354 +bit 1062 O 1 IO_PAD354 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD353 +bit 1059 O 1 IO_PAD353 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD352 +bit 1056 O 1 IO_PAD352 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD351 +bit 1053 O 1 IO_PAD351 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD349 +bit 1050 O 1 IO_PAD349 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_PAD347 +bit 1047 O 1 IO_PAD347 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_PAD346 +bit 1044 O 1 IO_PAD346 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD345 +bit 1041 O 1 IO_PAD345 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD344 +bit 1038 O 1 IO_PAD344 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD343 +bit 1035 O 1 IO_PAD343 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD342 +bit 1032 O 1 IO_PAD342 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_PAD341 +bit 1029 O 1 IO_PAD341 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_PAD339 +bit 1026 O 1 IO_PAD339 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_PAD337 +bit 1023 O 1 IO_PAD337 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_PAD336 +bit 1020 O 1 IO_PAD336 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD335 +bit 1017 O 1 IO_PAD335 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_PAD334 +bit 1014 O 1 IO_PAD334 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_PAD333 +bit 1011 O 1 IO_PAD333 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_PAD331 +bit 1008 O 1 IO_PAD331 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_PAD330 +bit 1005 O 1 IO_PAD330 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 I 1 IO_PAD329 +bit 996 O 1 IO_PAD329 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD327 +bit 993 O 1 IO_PAD327 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_PAD326 +bit 990 O 1 IO_PAD326 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_PAD325 +bit 987 O 1 IO_PAD325 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD323 +bit 984 O 1 IO_PAD323 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD322 +bit 981 O 1 IO_PAD322 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD321 +bit 978 O 1 IO_PAD321 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD320 +bit 975 O 1 IO_PAD320 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_PAD319 +bit 972 O 1 IO_PAD319 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_PAD317 +bit 969 O 1 IO_PAD317 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD315 +bit 966 O 1 IO_PAD315 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_PAD314 +bit 963 O 1 IO_PAD314 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_PAD313 +bit 960 O 1 IO_PAD313 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD312 +bit 957 O 1 IO_PAD312 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_PAD311 +bit 954 O 1 IO_PAD311 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_PAD310 +bit 951 O 1 IO_PAD310 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD309 +bit 948 O 1 IO_PAD309 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_PAD308 +bit 945 O 1 IO_PAD308 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_PAD307 +bit 942 O 1 IO_PAD307 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_PAD305 +bit 939 O 1 IO_PAD305 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 I 1 IO_PAD303 +bit 930 O 1 IO_PAD303 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD301 +bit 927 O 1 IO_PAD301 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD300 +bit 924 O 1 IO_PAD300 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD299 +bit 921 O 1 IO_PAD299 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_PAD298 +bit 918 O 1 IO_PAD298 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_PAD297 +bit 915 O 1 IO_PAD297 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD295 +bit 912 O 1 IO_PAD295 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD293 +bit 909 O 1 IO_PAD293 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD292 +bit 906 O 1 IO_PAD292 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD291 +bit 903 O 1 IO_PAD291 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD290 +bit 900 O 1 IO_PAD290 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD289 +bit 897 O 1 IO_PAD289 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD287 +bit 894 O 1 IO_PAD287 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD285 +bit 891 O 1 IO_PAD285 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD284 +bit 888 O 1 IO_PAD284 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_PAD283 +bit 885 O 1 IO_PAD283 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_PAD282 +bit 882 O 1 IO_PAD282 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_PAD281 +bit 879 O 1 IO_PAD281 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_PAD279 +bit 876 O 1 IO_PAD279 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_PAD278 +bit 873 O 1 IO_PAD278 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_PAD277 +bit 870 O 1 IO_PAD277 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_PAD275 +bit 867 O 1 IO_PAD275 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_PAD273 +bit 864 O 1 IO_PAD273 863 1 Z +bit 863 C 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 I 1 IO_PAD271 +bit 855 O 1 IO_PAD271 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_PAD269 +bit 852 O 1 IO_PAD269 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_PAD268 +bit 849 O 1 IO_PAD268 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_PAD267 +bit 846 O 1 IO_PAD267 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_PAD266 +bit 843 O 1 IO_PAD266 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_PAD265 +bit 840 O 1 IO_PAD265 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_PAD263 +bit 837 O 1 IO_PAD263 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_PAD261 +bit 834 O 1 IO_PAD261 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_PAD260 +bit 831 O 1 IO_PAD260 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_PAD258 +bit 828 O 1 IO_PAD258 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_PAD257 +bit 825 O 1 IO_PAD257 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_PAD255 +bit 822 O 1 IO_PAD255 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_PAD253 +bit 819 O 1 IO_PAD253 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_PAD252 +bit 816 O 1 IO_PAD252 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_PAD251 +bit 813 O 1 IO_PAD251 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_PAD250 +bit 810 O 1 IO_PAD250 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD249 +bit 807 O 1 IO_PAD249 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_PAD247 +bit 804 O 1 IO_PAD247 803 1 Z +bit 803 C 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 I 1 IO_PAD245 +bit 795 O 1 IO_PAD245 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD243 +bit 792 O 1 IO_PAD243 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD241 +bit 789 O 1 IO_PAD241 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_PAD240 +bit 786 O 1 IO_PAD240 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_PAD239 +bit 783 O 1 IO_PAD239 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_PAD237 +bit 780 O 1 IO_PAD237 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_PAD236 +bit 777 O 1 IO_PAD236 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_PAD235 +bit 774 O 1 IO_PAD235 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD234 +bit 771 O 1 IO_PAD234 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD233 +bit 768 O 1 IO_PAD233 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD231 +bit 765 O 1 IO_PAD231 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD229 +bit 762 O 1 IO_PAD229 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD228 +bit 759 O 1 IO_PAD228 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD227 +bit 756 O 1 IO_PAD227 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD226 +bit 753 O 1 IO_PAD226 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_PAD225 +bit 750 O 1 IO_PAD225 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_PAD223 +bit 747 O 1 IO_PAD223 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_PAD221 +bit 744 O 1 IO_PAD221 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD220 +bit 741 O 1 IO_PAD220 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD219 +bit 738 O 1 IO_PAD219 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD218 +bit 735 O 1 IO_PAD218 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_PAD217 +bit 732 O 1 IO_PAD217 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_PAD215 +bit 729 O 1 IO_PAD215 728 1 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_PAD213 +bit 720 O 1 IO_PAD213 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD211 +bit 717 O 1 IO_PAD211 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD210 +bit 714 O 1 IO_PAD210 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD209 +bit 711 O 1 IO_PAD209 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD208 +bit 708 O 1 IO_PAD208 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD207 +bit 705 O 1 IO_PAD207 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD206 +bit 702 O 1 IO_PAD206 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD205 +bit 699 O 1 IO_PAD205 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_PAD204 +bit 696 O 1 IO_PAD204 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_PAD203 +bit 693 O 1 IO_PAD203 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_PAD201 +bit 690 O 1 IO_PAD201 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD199 +bit 687 O 1 IO_PAD199 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD198 +bit 684 O 1 IO_PAD198 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD197 +bit 681 O 1 IO_PAD197 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD196 +bit 678 O 1 IO_PAD196 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD195 +bit 675 O 1 IO_PAD195 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD193 +bit 672 O 1 IO_PAD193 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD192 +bit 669 O 1 IO_PAD192 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD191 +bit 666 O 1 IO_PAD191 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD189 +bit 663 O 1 IO_PAD189 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_PAD188 +bit 654 O 1 IO_PAD188 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_PAD187 +bit 651 O 1 IO_PAD187 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_PAD185 +bit 648 O 1 IO_PAD185 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_PAD184 +bit 645 O 1 IO_PAD184 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_PAD183 +bit 642 O 1 IO_PAD183 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_PAD182 +bit 639 O 1 IO_PAD182 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_PAD181 +bit 636 O 1 IO_PAD181 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD179 +bit 633 O 1 IO_PAD179 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_PAD177 +bit 630 O 1 IO_PAD177 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_PAD176 +bit 627 O 1 IO_PAD176 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_PAD175 +bit 624 O 1 IO_PAD175 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_PAD174 +bit 621 O 1 IO_PAD174 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD173 +bit 618 O 1 IO_PAD173 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD172 +bit 615 O 1 IO_PAD172 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD171 +bit 612 O 1 IO_PAD171 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD169 +bit 609 O 1 IO_PAD169 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_PAD167 +bit 606 O 1 IO_PAD167 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_PAD166 +bit 603 O 1 IO_PAD166 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_PAD165 +bit 600 O 1 IO_PAD165 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_PAD164 +bit 597 O 1 IO_PAD164 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_PAD163 +bit 594 O 1 IO_PAD163 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_PAD161 +bit 591 O 1 IO_PAD161 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_PAD159 +bit 588 O 1 IO_PAD159 587 1 Z +bit 587 C 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 I 1 IO_PAD157 +bit 579 O 1 IO_PAD157 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_PAD155 +bit 576 O 1 IO_PAD155 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_PAD154 +bit 573 O 1 IO_PAD154 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_PAD153 +bit 570 O 1 IO_PAD153 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_PAD151 +bit 567 O 1 IO_PAD151 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_PAD150 +bit 564 O 1 IO_PAD150 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_PAD149 +bit 561 O 1 IO_PAD149 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_PAD147 +bit 558 O 1 IO_PAD147 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_PAD146 +bit 555 O 1 IO_PAD146 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_PAD145 +bit 552 O 1 IO_PAD145 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_PAD143 +bit 549 O 1 IO_PAD143 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_PAD142 +bit 546 O 1 IO_PAD142 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_PAD141 +bit 543 O 1 IO_PAD141 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 I 1 HSWAP_EN_PAD137 +bit 539 I 1 IO_PAD136 +bit 538 O 1 IO_PAD136 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_PAD135 +bit 535 O 1 IO_PAD135 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_PAD133 +bit 532 O 1 IO_PAD133 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_PAD131 +bit 529 O 1 IO_PAD131 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_PAD130 +bit 526 O 1 IO_PAD130 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_PAD129 +bit 523 O 1 IO_PAD129 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_PAD127 +bit 520 O 1 IO_PAD127 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_PAD125 +bit 517 O 1 IO_PAD125 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_PAD123 +bit 514 O 1 IO_PAD123 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_PAD122 +bit 511 O 1 IO_PAD122 510 1 Z +bit 510 C 1 * +bit 509 I 1 IO_PAD121 +bit 508 O 1 IO_PAD121 507 1 Z +bit 507 C 1 * +bit 506 I 1 IO_PAD120 +bit 505 O 1 IO_PAD120 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_PAD119 +bit 502 O 1 IO_PAD119 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_PAD117 +bit 499 O 1 IO_PAD117 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_PAD115 +bit 496 O 1 IO_PAD115 495 1 Z +bit 495 C 1 * +bit 494 I 1 IO_PAD113 +bit 493 O 1 IO_PAD113 492 1 Z +bit 492 C 1 * +bit 491 I 1 IO_PAD111 +bit 490 O 1 IO_PAD111 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_PAD109 +bit 487 O 1 IO_PAD109 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_PAD107 +bit 484 O 1 IO_PAD107 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_PAD105 +bit 481 O 1 IO_PAD105 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_PAD104 +bit 478 O 1 IO_PAD104 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_PAD103 +bit 475 O 1 IO_PAD103 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_PAD102 +bit 472 O 1 IO_PAD102 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_PAD101 +bit 469 O 1 IO_PAD101 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_PAD99 +bit 466 O 1 IO_PAD99 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_PAD98 +bit 463 O 1 IO_PAD98 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_PAD97 +bit 460 O 1 IO_PAD97 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_PAD95 +bit 457 O 1 IO_PAD95 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_PAD93 +bit 454 O 1 IO_PAD93 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_PAD92 +bit 451 O 1 IO_PAD92 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_PAD91 +bit 448 O 1 IO_PAD91 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_PAD89 +bit 445 O 1 IO_PAD89 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_PAD87 +bit 442 O 1 IO_PAD87 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_PAD85 +bit 439 O 1 IO_PAD85 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_PAD83 +bit 436 O 1 IO_PAD83 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_PAD81 +bit 433 O 1 IO_PAD81 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_PAD80 +bit 430 O 1 IO_PAD80 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_PAD79 +bit 427 O 1 IO_PAD79 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_PAD77 +bit 424 O 1 IO_PAD77 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_PAD75 +bit 421 O 1 IO_PAD75 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_PAD74 +bit 418 O 1 IO_PAD74 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_PAD73 +bit 415 O 1 IO_PAD73 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_PAD71 +bit 412 O 1 IO_PAD71 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_PAD70 +bit 409 O 1 IO_PAD70 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_PAD69 +bit 406 O 1 IO_PAD69 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_PAD67 +bit 403 O 1 IO_PAD67 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_PAD65 +bit 400 O 1 IO_PAD65 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_PAD63 +bit 397 O 1 IO_PAD63 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_PAD62 +bit 394 O 1 IO_PAD62 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_PAD61 +bit 391 O 1 IO_PAD61 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_PAD59 +bit 388 O 1 IO_PAD59 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_PAD58 +bit 385 O 1 IO_PAD58 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_PAD57 +bit 382 O 1 IO_PAD57 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_PAD55 +bit 379 O 1 IO_PAD55 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_PAD53 +bit 376 O 1 IO_PAD53 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_PAD51 +bit 373 O 1 IO_PAD51 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_PAD50 +bit 370 O 1 IO_PAD50 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_PAD49 +bit 367 O 1 IO_PAD49 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_PAD47 +bit 364 O 1 IO_PAD47 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_PAD46 +bit 361 O 1 IO_PAD46 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_PAD45 +bit 358 O 1 IO_PAD45 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_PAD43 +bit 355 O 1 IO_PAD43 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_PAD41 +bit 352 O 1 IO_PAD41 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_PAD39 +bit 349 O 1 IO_PAD39 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_PAD38 +bit 346 O 1 IO_PAD38 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_PAD37 +bit 343 O 1 IO_PAD37 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_PAD35 +bit 340 O 1 IO_PAD35 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_PAD34 +bit 337 O 1 IO_PAD34 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_PAD33 +bit 334 O 1 IO_PAD33 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_PAD31 +bit 331 O 1 IO_PAD31 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_PAD29 +bit 328 O 1 IO_PAD29 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_PAD28 +bit 325 O 1 IO_PAD28 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_PAD27 +bit 322 O 1 IO_PAD27 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_PAD26 +bit 319 O 1 IO_PAD26 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_PAD25 +bit 316 O 1 IO_PAD25 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_PAD23 +bit 313 O 1 IO_PAD23 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_PAD21 +bit 310 O 1 IO_PAD21 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_PAD19 +bit 307 O 1 IO_PAD19 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_PAD17 +bit 304 O 1 IO_PAD17 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_PAD15 +bit 301 O 1 IO_PAD15 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_PAD14 +bit 298 O 1 IO_PAD14 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_PAD13 +bit 295 O 1 IO_PAD13 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_PAD11 +bit 292 O 1 IO_PAD11 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_PAD10 +bit 289 O 1 IO_PAD10 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_PAD9 +bit 286 O 1 IO_PAD9 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_PAD7 +bit 283 O 1 IO_PAD7 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_PAD5 +bit 280 O 1 IO_PAD5 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_PAD4 +bit 277 O 1 IO_PAD4 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_PAD3 +bit 274 O 1 IO_PAD3 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_PAD2 +bit 271 O 1 IO_PAD2 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_PAD1030 +bit 268 O 1 IO_PAD1030 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_PAD1029 +bit 265 O 1 IO_PAD1029 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_PAD1028 +bit 262 O 1 IO_PAD1028 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_PAD1027 +bit 259 O 1 IO_PAD1027 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_PAD1025 +bit 256 O 1 IO_PAD1025 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_PAD1023 +bit 253 O 1 IO_PAD1023 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_PAD1022 +bit 250 O 1 IO_PAD1022 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_PAD1021 +bit 247 O 1 IO_PAD1021 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_PAD1019 +bit 244 O 1 IO_PAD1019 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_PAD1018 +bit 241 O 1 IO_PAD1018 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_PAD1017 +bit 238 O 1 IO_PAD1017 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_PAD1015 +bit 235 O 1 IO_PAD1015 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_PAD1013 +bit 232 O 1 IO_PAD1013 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_PAD1011 +bit 229 O 1 IO_PAD1011 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_PAD1009 +bit 226 O 1 IO_PAD1009 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_PAD1007 +bit 223 O 1 IO_PAD1007 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_PAD1006 +bit 220 O 1 IO_PAD1006 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_PAD1005 +bit 217 O 1 IO_PAD1005 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_PAD1004 +bit 214 O 1 IO_PAD1004 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_PAD1003 +bit 211 O 1 IO_PAD1003 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_PAD1001 +bit 208 O 1 IO_PAD1001 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD999 +bit 205 O 1 IO_PAD999 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD998 +bit 202 O 1 IO_PAD998 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD997 +bit 199 O 1 IO_PAD997 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD995 +bit 196 O 1 IO_PAD995 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD994 +bit 193 O 1 IO_PAD994 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD993 +bit 190 O 1 IO_PAD993 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD991 +bit 187 O 1 IO_PAD991 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD989 +bit 184 O 1 IO_PAD989 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD987 +bit 181 O 1 IO_PAD987 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD986 +bit 178 O 1 IO_PAD986 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD985 +bit 175 O 1 IO_PAD985 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD983 +bit 172 O 1 IO_PAD983 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD982 +bit 169 O 1 IO_PAD982 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD981 +bit 166 O 1 IO_PAD981 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD979 +bit 163 O 1 IO_PAD979 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD977 +bit 160 O 1 IO_PAD977 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD975 +bit 157 O 1 IO_PAD975 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD974 +bit 154 O 1 IO_PAD974 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD973 +bit 151 O 1 IO_PAD973 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD971 +bit 148 O 1 IO_PAD971 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD970 +bit 145 O 1 IO_PAD970 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD969 +bit 142 O 1 IO_PAD969 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD967 +bit 139 O 1 IO_PAD967 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD965 +bit 136 O 1 IO_PAD965 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD963 +bit 133 O 1 IO_PAD963 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD962 +bit 130 O 1 IO_PAD962 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD961 +bit 127 O 1 IO_PAD961 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD959 +bit 124 O 1 IO_PAD959 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD958 +bit 121 O 1 IO_PAD958 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD957 +bit 118 O 1 IO_PAD957 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD955 +bit 115 O 1 IO_PAD955 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD953 +bit 112 O 1 IO_PAD953 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD952 +bit 109 O 1 IO_PAD952 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD951 +bit 106 O 1 IO_PAD951 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD949 +bit 103 O 1 IO_PAD949 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD947 +bit 100 O 1 IO_PAD947 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD945 +bit 97 O 1 IO_PAD945 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD943 +bit 94 O 1 IO_PAD943 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD941 +bit 91 O 1 IO_PAD941 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD940 +bit 88 O 1 IO_PAD940 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD939 +bit 85 O 1 IO_PAD939 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD937 +bit 82 O 1 IO_PAD937 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD935 +bit 79 O 1 IO_PAD935 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD934 +bit 76 O 1 IO_PAD934 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD933 +bit 73 O 1 IO_PAD933 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD931 +bit 70 O 1 IO_PAD931 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD930 +bit 67 O 1 IO_PAD930 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD929 +bit 64 O 1 IO_PAD929 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD928 +bit 61 O 1 IO_PAD928 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD927 +bit 58 O 1 IO_PAD927 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD925 +bit 55 O 1 IO_PAD925 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD923 +bit 52 O 1 IO_PAD923 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD921 +bit 49 O 1 IO_PAD921 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD920 +bit 46 O 1 IO_PAD920 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD919 +bit 43 O 1 IO_PAD919 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD917 +bit 40 O 1 IO_PAD917 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD915 +bit 37 O 1 IO_PAD915 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD914 +bit 34 O 1 IO_PAD914 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD913 +bit 31 O 1 IO_PAD913 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD912 +bit 28 O 1 IO_PAD912 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD911 +bit 25 O 1 IO_PAD911 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD909 +bit 22 O 1 IO_PAD909 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD907 +bit 19 O 1 IO_PAD907 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD905 +bit 16 O 1 IO_PAD905 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD904 +bit 13 O 1 IO_PAD904 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD903 +bit 10 O 1 IO_PAD903 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD901 +bit 7 O 1 IO_PAD901 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD899 +bit 4 O 1 IO_PAD899 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD898 +bit 1 O 1 IO_PAD898 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l_fg1156 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l_fg1156 new file mode 100644 index 0000000..1c3a29a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l_fg1156 @@ -0,0 +1,3337 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal GND121 +signal GND122 +signal GND123 +signal GND124 +signal GND125 +signal GND126 +signal GND127 +signal GND128 +signal GND129 +signal GND130 +signal GND131 +signal GND132 +signal GND133 +signal GND134 +signal GND135 +signal GND136 +signal GND137 +signal GND138 +signal GND139 +signal GND140 +signal GND141 +signal GND142 +signal GND143 +signal GND144 +signal GND145 +signal GND146 +signal GND147 +signal GND148 +signal GND149 +signal GND150 +signal GND151 +signal GND152 +signal GND153 +signal GND154 +signal GND155 +signal GND156 +signal GND157 +signal GND158 +signal GND159 +signal GND160 +signal GND161 +signal GND162 +signal GND163 +signal GND164 +signal GND165 +signal GND166 +signal GND167 +signal GND168 +signal GND169 +signal GND170 +signal GND171 +signal GND172 +signal GND173 +signal GND174 +signal GND175 +signal GND176 +signal GND177 +signal GND178 +signal GND179 +signal GND180 +signal GND181 +signal GND182 +signal GND183 +signal GND184 +signal CCLK_AL31 +signal DONE_AD24 +signal HSWAP_EN_L11 +signal M0_AL4 +signal M1_AK4 +signal M2_AG8 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCAUX25 +signal VCCAUX26 +signal VCCAUX27 +signal VCCAUX28 +signal VCCAUX29 +signal VCCAUX30 +signal VCCAUX31 +signal VCCAUX32 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCINT33 +signal VCCINT34 +signal VCCINT35 +signal VCCINT36 +signal VCCINT37 +signal VCCINT38 +signal VCCINT39 +signal VCCINT40 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO111 +signal VCCO112 +signal VCCO113 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO211 +signal VCCO212 +signal VCCO213 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO311 +signal VCCO312 +signal VCCO313 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO411 +signal VCCO412 +signal VCCO413 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO511 +signal VCCO512 +signal VCCO513 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO611 +signal VCCO612 +signal VCCO613 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal VCCO711 +signal VCCO712 +signal VCCO713 +signal IO_A3 +signal IO_A4 +signal IO_A6 +signal IO_A8 +signal IO_A10 +signal IO_A12 +signal IO_A14 +signal IO_A15 +signal IO_A17 +signal IO_A18 +signal IO_A20 +signal IO_A21 +signal IO_A23 +signal IO_A24 +signal IO_A25 +signal IO_A27 +signal IO_A29 +signal IO_A31 +signal IO_A32 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B12 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_B29 +signal IO_B30 +signal IO_B31 +signal IO_B32 +signal IO_C1 +signal IO_C2 +signal IO_C5 +signal IO_C6 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_C29 +signal IO_C30 +signal IO_C33 +signal IO_C34 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D15 +signal IO_D17 +signal IO_D18 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D23 +signal IO_D25 +signal IO_D26 +signal IO_D27 +signal IO_D29 +signal IO_D30 +signal IO_D33 +signal IO_D34 +signal IO_E2 +signal IO_E3 +signal IO_E7 +signal IO_E8 +signal IO_E10 +signal IO_E12 +signal IO_E14 +signal IO_E17 +signal IO_E18 +signal IO_E21 +signal IO_E23 +signal IO_E25 +signal IO_E27 +signal IO_E28 +signal IO_E32 +signal IO_E33 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F12 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F23 +signal IO_F25 +signal IO_F26 +signal IO_F27 +signal IO_F28 +signal IO_F29 +signal IO_F31 +signal IO_F32 +signal IO_F33 +signal IO_F34 +signal IO_G1 +signal IO_G2 +signal IO_G5 +signal IO_G6 +signal IO_G9 +signal IO_G10 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G25 +signal IO_G26 +signal IO_G29 +signal IO_G30 +signal IO_G33 +signal IO_G34 +signal IO_H1 +signal IO_H2 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H8 +signal IO_H9 +signal IO_H10 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H17 +signal IO_H18 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H25 +signal IO_H26 +signal IO_H29 +signal IO_H30 +signal IO_H31 +signal IO_H33 +signal IO_H34 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J6 +signal IO_J7 +signal IO_J8 +signal IO_J10 +signal IO_J11 +signal IO_J12 +signal IO_J14 +signal IO_J15 +signal IO_J17 +signal IO_J18 +signal IO_J20 +signal IO_J21 +signal IO_J23 +signal IO_J25 +signal IO_J27 +signal IO_J28 +signal IO_J29 +signal IO_J31 +signal IO_J32 +signal IO_J33 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K8 +signal IO_K9 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K26 +signal IO_K27 +signal IO_K28 +signal IO_K29 +signal IO_K30 +signal IO_K31 +signal IO_K32 +signal IO_K33 +signal IO_K34 +signal IO_L1 +signal IO_L2 +signal IO_L9 +signal IO_L10 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_L17 +signal IO_L18 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L24 +signal IO_L25 +signal IO_L26 +signal IO_L33 +signal IO_L34 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M9 +signal IO_M10 +signal IO_M11 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_M29 +signal IO_M30 +signal IO_M31 +signal IO_M32 +signal IO_M33 +signal IO_M34 +signal IO_N3 +signal IO_N4 +signal IO_N7 +signal IO_N8 +signal IO_N10 +signal IO_N11 +signal IO_N24 +signal IO_N25 +signal IO_N27 +signal IO_N28 +signal IO_N31 +signal IO_N32 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P11 +signal IO_P24 +signal IO_P27 +signal IO_P28 +signal IO_P29 +signal IO_P30 +signal IO_P31 +signal IO_P32 +signal IO_P33 +signal IO_P34 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R6 +signal IO_R7 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R28 +signal IO_R29 +signal IO_R31 +signal IO_R32 +signal IO_R33 +signal IO_R34 +signal IO_T2 +signal IO_T3 +signal IO_T6 +signal IO_T7 +signal IO_T10 +signal IO_T25 +signal IO_T28 +signal IO_T29 +signal IO_T32 +signal IO_T33 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U8 +signal IO_U9 +signal IO_U10 +signal IO_U25 +signal IO_U26 +signal IO_U27 +signal IO_U28 +signal IO_U29 +signal IO_U30 +signal IO_U31 +signal IO_U32 +signal IO_U33 +signal IO_U34 +signal IO_V1 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V25 +signal IO_V26 +signal IO_V27 +signal IO_V28 +signal IO_V29 +signal IO_V30 +signal IO_V31 +signal IO_V32 +signal IO_V33 +signal IO_V34 +signal IO_W2 +signal IO_W3 +signal IO_W6 +signal IO_W7 +signal IO_W10 +signal IO_W25 +signal IO_W28 +signal IO_W29 +signal IO_W32 +signal IO_W33 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y6 +signal IO_Y7 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y28 +signal IO_Y29 +signal IO_Y31 +signal IO_Y32 +signal IO_Y33 +signal IO_Y34 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA11 +signal IO_AA24 +signal IO_AA27 +signal IO_AA28 +signal IO_AA29 +signal IO_AA30 +signal IO_AA31 +signal IO_AA32 +signal IO_AA33 +signal IO_AA34 +signal IO_AB3 +signal IO_AB4 +signal IO_AB7 +signal IO_AB8 +signal IO_AB10 +signal IO_AB11 +signal IO_AB24 +signal IO_AB25 +signal IO_AB27 +signal IO_AB28 +signal IO_AB31 +signal IO_AB32 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AC31 +signal IO_AC32 +signal IO_AC33 +signal IO_AC34 +signal IO_AD1 +signal IO_AD2 +signal IO_AD9 +signal IO_AD10 +signal IO_AD11 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AD26 +signal IO_AD33 +signal IO_AD34 +signal IO_AE1 +signal IO_AE2 +signal IO_AE3 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE26 +signal IO_AE27 +signal IO_AE28 +signal IO_AE29 +signal IO_AE30 +signal IO_AE31 +signal IO_AE32 +signal IO_AE33 +signal IO_AE34 +signal IO_AF2 +signal IO_AF3 +signal IO_AF4 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF10 +signal IO_AF12 +signal IO_AF14 +signal IO_AF15 +signal IO_AF17 +signal IO_AF18 +signal IO_AF20 +signal IO_AF21 +signal IO_AF23 +signal IO_AF24 +signal IO_AF25 +signal IO_AF27 +signal IO_AF28 +signal IO_AF29 +signal IO_AF31 +signal IO_AF32 +signal IO_AF33 +signal IO_AG1 +signal IO_AG2 +signal IO_AG4 +signal IO_AG5 +signal IO_AG6 +signal IO_AG9 +signal IO_AG10 +signal IO_AG12 +signal IO_AG13 +signal IO_AG14 +signal IO_AG17 +signal IO_AG18 +signal IO_AG21 +signal IO_AG22 +signal IO_AG23 +signal IO_AG25 +signal IO_AG26 +signal IO_AG27 +signal IO_AG29 +signal IO_AG30 +signal IO_AG31 +signal IO_AG33 +signal IO_AG34 +signal IO_AH1 +signal IO_AH2 +signal IO_AH5 +signal IO_AH6 +signal IO_AH9 +signal IO_AH10 +signal IO_AH12 +signal IO_AH13 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH18 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH22 +signal IO_AH23 +signal IO_AH25 +signal IO_AH26 +signal IO_AH29 +signal IO_AH30 +signal IO_AH33 +signal IO_AH34 +signal IO_AJ1 +signal IO_AJ2 +signal IO_AJ3 +signal IO_AJ4 +signal IO_AJ6 +signal IO_AJ7 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ12 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ23 +signal IO_AJ25 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AJ28 +signal IO_AJ29 +signal IO_AJ31 +signal IO_AJ32 +signal IO_AJ33 +signal IO_AJ34 +signal IO_AK2 +signal IO_AK3 +signal IO_AK7 +signal IO_AK8 +signal IO_AK10 +signal IO_AK12 +signal IO_AK14 +signal IO_AK17 +signal IO_AK18 +signal IO_AK21 +signal IO_AK23 +signal IO_AK25 +signal IO_AK27 +signal IO_AK28 +signal IO_AK32 +signal IO_AK33 +signal IO_AL1 +signal IO_AL2 +signal IO_AL5 +signal IO_AL6 +signal IO_AL8 +signal IO_AL9 +signal IO_AL10 +signal IO_AL12 +signal IO_AL13 +signal IO_AL14 +signal IO_AL15 +signal IO_AL17 +signal IO_AL18 +signal IO_AL20 +signal IO_AL21 +signal IO_AL22 +signal IO_AL23 +signal IO_AL25 +signal IO_AL26 +signal IO_AL27 +signal IO_AL29 +signal IO_AL30 +signal IO_AL33 +signal IO_AL34 +signal IO_AM1 +signal IO_AM2 +signal IO_AM5 +signal IO_AM6 +signal IO_AM9 +signal IO_AM10 +signal IO_AM12 +signal IO_AM13 +signal IO_AM14 +signal IO_AM15 +signal IO_AM16 +signal IO_AM17 +signal IO_AM18 +signal IO_AM19 +signal IO_AM20 +signal IO_AM21 +signal IO_AM22 +signal IO_AM23 +signal IO_AM25 +signal IO_AM26 +signal IO_AM29 +signal IO_AM30 +signal IO_AM33 +signal IO_AM34 +signal IO_AN3 +signal IO_AN4 +signal IO_AN5 +signal IO_AN6 +signal IO_AN8 +signal IO_AN9 +signal IO_AN10 +signal IO_AN11 +signal IO_AN12 +signal IO_AN14 +signal IO_AN15 +signal IO_AN16 +signal IO_AN17 +signal IO_AN18 +signal IO_AN19 +signal IO_AN20 +signal IO_AN21 +signal IO_AN23 +signal IO_AN25 +signal IO_AN26 +signal IO_AN27 +signal IO_AN29 +signal IO_AN30 +signal IO_AN31 +signal IO_AN32 +signal IO_AP3 +signal IO_AP4 +signal IO_AP6 +signal IO_AP8 +signal IO_AP10 +signal IO_AP11 +signal IO_AP12 +signal IO_AP14 +signal IO_AP15 +signal IO_AP17 +signal IO_AP18 +signal IO_AP20 +signal IO_AP21 +signal IO_AP23 +signal IO_AP25 +signal IO_AP27 +signal IO_AP29 +signal IO_AP31 + +register BSR 2243 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2242 I 1 IO_C33 +bit 2241 O 1 IO_C33 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_C34 +bit 2238 O 1 IO_C34 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_D33 +bit 2235 O 1 IO_D33 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_D34 +bit 2232 O 1 IO_D34 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_E32 +bit 2229 O 1 IO_E32 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_E33 +bit 2226 O 1 IO_E33 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_F31 +bit 2223 O 1 IO_F31 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_F32 +bit 2220 O 1 IO_F32 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_F33 +bit 2217 O 1 IO_F33 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_F34 +bit 2214 O 1 IO_F34 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_G29 +bit 2211 O 1 IO_G29 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_G30 +bit 2208 O 1 IO_G30 2207 1 Z +bit 2207 C 1 * +bit 2206 I 1 IO_G33 +bit 2205 O 1 IO_G33 2204 1 Z +bit 2204 C 1 * +bit 2203 O 1 * +bit 2202 O 1 * +bit 2201 O 1 * +bit 2200 O 1 * +bit 2199 O 1 * +bit 2198 O 1 * +bit 2197 I 1 IO_G34 +bit 2196 O 1 IO_G34 2195 1 Z +bit 2195 C 1 * +bit 2194 I 1 IO_H29 +bit 2193 O 1 IO_H29 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_H30 +bit 2190 O 1 IO_H30 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_H33 +bit 2187 O 1 IO_H33 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_H34 +bit 2184 O 1 IO_H34 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_J28 +bit 2181 O 1 IO_J28 2180 1 Z +bit 2180 C 1 * +bit 2179 I 1 IO_J29 +bit 2178 O 1 IO_J29 2177 1 Z +bit 2177 C 1 * +bit 2176 I 1 IO_H31 +bit 2175 O 1 IO_H31 2174 1 Z +bit 2174 C 1 * +bit 2173 I 1 IO_J31 +bit 2172 O 1 IO_J31 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_J32 +bit 2169 O 1 IO_J32 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_J33 +bit 2166 O 1 IO_J33 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_J27 +bit 2163 O 1 IO_J27 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_K26 +bit 2160 O 1 IO_K26 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_K27 +bit 2157 O 1 IO_K27 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_K28 +bit 2154 O 1 IO_K28 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_K29 +bit 2151 O 1 IO_K29 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_K30 +bit 2148 O 1 IO_K30 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_K31 +bit 2145 O 1 IO_K31 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_K32 +bit 2142 O 1 IO_K32 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_K33 +bit 2139 O 1 IO_K33 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_K34 +bit 2136 O 1 IO_K34 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_L25 +bit 2133 O 1 IO_L25 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_L26 +bit 2130 O 1 IO_L26 2129 1 Z +bit 2129 C 1 * +bit 2128 O 1 * +bit 2127 O 1 * +bit 2126 O 1 * +bit 2125 O 1 * +bit 2124 O 1 * +bit 2123 O 1 * +bit 2122 I 1 IO_L33 +bit 2121 O 1 IO_L33 2120 1 Z +bit 2120 C 1 * +bit 2119 I 1 IO_L34 +bit 2118 O 1 IO_L34 2117 1 Z +bit 2117 C 1 * +bit 2116 I 1 IO_M24 +bit 2115 O 1 IO_M24 2114 1 Z +bit 2114 C 1 * +bit 2113 I 1 IO_M25 +bit 2112 O 1 IO_M25 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_M27 +bit 2109 O 1 IO_M27 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_M28 +bit 2106 O 1 IO_M28 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_M29 +bit 2103 O 1 IO_M29 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_M30 +bit 2100 O 1 IO_M30 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_M31 +bit 2097 O 1 IO_M31 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_M32 +bit 2094 O 1 IO_M32 2093 1 Z +bit 2093 C 1 * +bit 2092 I 1 IO_M33 +bit 2091 O 1 IO_M33 2090 1 Z +bit 2090 C 1 * +bit 2089 I 1 IO_M34 +bit 2088 O 1 IO_M34 2087 1 Z +bit 2087 C 1 * +bit 2086 I 1 IO_M26 +bit 2085 O 1 IO_M26 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_N25 +bit 2082 O 1 IO_N25 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_N27 +bit 2079 O 1 IO_N27 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_N28 +bit 2076 O 1 IO_N28 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_N31 +bit 2073 O 1 IO_N31 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_N32 +bit 2070 O 1 IO_N32 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_N24 +bit 2067 O 1 IO_N24 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_P24 +bit 2064 O 1 IO_P24 2063 1 Z +bit 2063 C 1 * +bit 2062 O 1 * +bit 2061 O 1 * +bit 2060 O 1 * +bit 2059 O 1 * +bit 2058 O 1 * +bit 2057 O 1 * +bit 2056 I 1 IO_P27 +bit 2055 O 1 IO_P27 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_P28 +bit 2052 O 1 IO_P28 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_P29 +bit 2049 O 1 IO_P29 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_P30 +bit 2046 O 1 IO_P30 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_P31 +bit 2043 O 1 IO_P31 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_P32 +bit 2040 O 1 IO_P32 2039 1 Z +bit 2039 C 1 * +bit 2038 I 1 IO_P33 +bit 2037 O 1 IO_P33 2036 1 Z +bit 2036 C 1 * +bit 2035 I 1 IO_P34 +bit 2034 O 1 IO_P34 2033 1 Z +bit 2033 C 1 * +bit 2032 I 1 IO_R24 +bit 2031 O 1 IO_R24 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_R25 +bit 2028 O 1 IO_R25 2027 1 Z +bit 2027 C 1 * +bit 2026 I 1 IO_R28 +bit 2025 O 1 IO_R28 2024 1 Z +bit 2024 C 1 * +bit 2023 I 1 IO_R29 +bit 2022 O 1 IO_R29 2021 1 Z +bit 2021 C 1 * +bit 2020 I 1 IO_R31 +bit 2019 O 1 IO_R31 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_R32 +bit 2016 O 1 IO_R32 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_R33 +bit 2013 O 1 IO_R33 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_R34 +bit 2010 O 1 IO_R34 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_R26 +bit 2007 O 1 IO_R26 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_T25 +bit 2004 O 1 IO_T25 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_T28 +bit 2001 O 1 IO_T28 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_T29 +bit 1998 O 1 IO_T29 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_T32 +bit 1995 O 1 IO_T32 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_T33 +bit 1992 O 1 IO_T33 1991 1 Z +bit 1991 C 1 * +bit 1990 I 1 IO_U25 +bit 1989 O 1 IO_U25 1988 1 Z +bit 1988 C 1 * +bit 1987 O 1 * +bit 1986 O 1 * +bit 1985 O 1 * +bit 1984 O 1 * +bit 1983 O 1 * +bit 1982 O 1 * +bit 1981 I 1 IO_U26 +bit 1980 O 1 IO_U26 1979 1 Z +bit 1979 C 1 * +bit 1978 I 1 IO_U27 +bit 1977 O 1 IO_U27 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_U28 +bit 1974 O 1 IO_U28 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_U29 +bit 1971 O 1 IO_U29 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_U30 +bit 1968 O 1 IO_U30 1967 1 Z +bit 1967 C 1 * +bit 1966 I 1 IO_U31 +bit 1965 O 1 IO_U31 1964 1 Z +bit 1964 C 1 * +bit 1963 I 1 IO_U32 +bit 1962 O 1 IO_U32 1961 1 Z +bit 1961 C 1 * +bit 1960 I 1 IO_U33 +bit 1959 O 1 IO_U33 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_U34 +bit 1956 O 1 IO_U34 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_V34 +bit 1953 O 1 IO_V34 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_V33 +bit 1950 O 1 IO_V33 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_V32 +bit 1947 O 1 IO_V32 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_V31 +bit 1944 O 1 IO_V31 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_V30 +bit 1941 O 1 IO_V30 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_V29 +bit 1938 O 1 IO_V29 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_V28 +bit 1935 O 1 IO_V28 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_V27 +bit 1932 O 1 IO_V27 1931 1 Z +bit 1931 C 1 * +bit 1930 I 1 IO_V26 +bit 1929 O 1 IO_V26 1928 1 Z +bit 1928 C 1 * +bit 1927 O 1 * +bit 1926 O 1 * +bit 1925 O 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 I 1 IO_V25 +bit 1920 O 1 IO_V25 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_W33 +bit 1917 O 1 IO_W33 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_W32 +bit 1914 O 1 IO_W32 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_W29 +bit 1911 O 1 IO_W29 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_W28 +bit 1908 O 1 IO_W28 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_W25 +bit 1905 O 1 IO_W25 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_Y26 +bit 1902 O 1 IO_Y26 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_Y34 +bit 1899 O 1 IO_Y34 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_Y33 +bit 1896 O 1 IO_Y33 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_Y32 +bit 1893 O 1 IO_Y32 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_Y31 +bit 1890 O 1 IO_Y31 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_Y29 +bit 1887 O 1 IO_Y29 1886 1 Z +bit 1886 C 1 * +bit 1885 I 1 IO_Y28 +bit 1884 O 1 IO_Y28 1883 1 Z +bit 1883 C 1 * +bit 1882 I 1 IO_Y25 +bit 1881 O 1 IO_Y25 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_Y24 +bit 1878 O 1 IO_Y24 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_AA34 +bit 1875 O 1 IO_AA34 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_AA33 +bit 1872 O 1 IO_AA33 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_AA32 +bit 1869 O 1 IO_AA32 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_AA31 +bit 1866 O 1 IO_AA31 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_AA30 +bit 1863 O 1 IO_AA30 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_AA29 +bit 1860 O 1 IO_AA29 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_AA28 +bit 1857 O 1 IO_AA28 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_AA27 +bit 1854 O 1 IO_AA27 1853 1 Z +bit 1853 C 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 O 1 * +bit 1848 O 1 * +bit 1847 O 1 * +bit 1846 I 1 IO_AA24 +bit 1845 O 1 IO_AA24 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_AB24 +bit 1842 O 1 IO_AB24 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_AB32 +bit 1839 O 1 IO_AB32 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_AB31 +bit 1836 O 1 IO_AB31 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_AB28 +bit 1833 O 1 IO_AB28 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_AB27 +bit 1830 O 1 IO_AB27 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_AB25 +bit 1827 O 1 IO_AB25 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_AC26 +bit 1824 O 1 IO_AC26 1823 1 Z +bit 1823 C 1 * +bit 1822 I 1 IO_AC34 +bit 1821 O 1 IO_AC34 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_AC33 +bit 1818 O 1 IO_AC33 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_AC32 +bit 1815 O 1 IO_AC32 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_AC31 +bit 1812 O 1 IO_AC31 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_AC30 +bit 1809 O 1 IO_AC30 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_AC29 +bit 1806 O 1 IO_AC29 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_AC28 +bit 1803 O 1 IO_AC28 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_AC27 +bit 1800 O 1 IO_AC27 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_AC25 +bit 1797 O 1 IO_AC25 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_AC24 +bit 1794 O 1 IO_AC24 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_AD34 +bit 1791 O 1 IO_AD34 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_AD33 +bit 1788 O 1 IO_AD33 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_AD26 +bit 1779 O 1 IO_AD26 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_AD25 +bit 1776 O 1 IO_AD25 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_AE34 +bit 1773 O 1 IO_AE34 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_AE33 +bit 1770 O 1 IO_AE33 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_AE32 +bit 1767 O 1 IO_AE32 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_AE31 +bit 1764 O 1 IO_AE31 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_AE30 +bit 1761 O 1 IO_AE30 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_AE29 +bit 1758 O 1 IO_AE29 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_AE28 +bit 1755 O 1 IO_AE28 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_AE27 +bit 1752 O 1 IO_AE27 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_AE26 +bit 1749 O 1 IO_AE26 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_AF27 +bit 1746 O 1 IO_AF27 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_AF33 +bit 1743 O 1 IO_AF33 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_AF32 +bit 1740 O 1 IO_AF32 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_AF31 +bit 1737 O 1 IO_AF31 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_AG31 +bit 1734 O 1 IO_AG31 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_AF29 +bit 1731 O 1 IO_AF29 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_AF28 +bit 1728 O 1 IO_AF28 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_AG34 +bit 1725 O 1 IO_AG34 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_AG33 +bit 1722 O 1 IO_AG33 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_AG30 +bit 1719 O 1 IO_AG30 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_AG29 +bit 1716 O 1 IO_AG29 1715 1 Z +bit 1715 C 1 * +bit 1714 I 1 IO_AH34 +bit 1713 O 1 IO_AH34 1712 1 Z +bit 1712 C 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 I 1 IO_AH33 +bit 1704 O 1 IO_AH33 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_AH30 +bit 1701 O 1 IO_AH30 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_AH29 +bit 1698 O 1 IO_AH29 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_AJ34 +bit 1695 O 1 IO_AJ34 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_AJ33 +bit 1692 O 1 IO_AJ33 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_AJ32 +bit 1689 O 1 IO_AJ32 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_AJ31 +bit 1686 O 1 IO_AJ31 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_AK33 +bit 1683 O 1 IO_AK33 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_AK32 +bit 1680 O 1 IO_AK32 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_AL34 +bit 1677 O 1 IO_AL34 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_AL33 +bit 1674 O 1 IO_AL33 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_AM34 +bit 1671 O 1 IO_AM34 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_AM33 +bit 1668 O 1 IO_AM33 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 CCLK_AL31 +bit 1665 O 1 CCLK_AL31 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 DONE_AD24 +bit 1662 O 1 DONE_AD24 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_AL30 +bit 1659 O 1 IO_AL30 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_AN32 +bit 1656 O 1 IO_AN32 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_AP32 +bit 1653 O 1 IO_AP32 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_AN31 +bit 1650 O 1 IO_AN31 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_AP31 +bit 1647 O 1 IO_AP31 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_AJ29 +bit 1644 O 1 IO_AJ29 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_AM30 +bit 1641 O 1 IO_AM30 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_AN30 +bit 1638 O 1 IO_AN30 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_AL29 +bit 1635 O 1 IO_AL29 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_AM29 +bit 1632 O 1 IO_AM29 1631 1 Z +bit 1631 C 1 * +bit 1630 I 1 IO_AG27 +bit 1629 O 1 IO_AG27 1628 1 Z +bit 1628 C 1 * +bit 1627 I 1 IO_AN29 +bit 1626 O 1 IO_AN29 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_AP29 +bit 1623 O 1 IO_AP29 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_AJ28 +bit 1620 O 1 IO_AJ28 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_AK28 +bit 1617 O 1 IO_AK28 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_AJ27 +bit 1614 O 1 IO_AJ27 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_AK27 +bit 1611 O 1 IO_AK27 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_AL27 +bit 1608 O 1 IO_AL27 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_AN27 +bit 1605 O 1 IO_AN27 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_AP27 +bit 1602 O 1 IO_AP27 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_AG26 +bit 1599 O 1 IO_AG26 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_AH26 +bit 1596 O 1 IO_AH26 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_AJ26 +bit 1593 O 1 IO_AJ26 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_AL26 +bit 1590 O 1 IO_AL26 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_AM26 +bit 1587 O 1 IO_AM26 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_AN26 +bit 1584 O 1 IO_AN26 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_AF25 +bit 1581 O 1 IO_AF25 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_AG25 +bit 1578 O 1 IO_AG25 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_AH25 +bit 1575 O 1 IO_AH25 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_AJ25 +bit 1572 O 1 IO_AJ25 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_AK25 +bit 1569 O 1 IO_AK25 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AL25 +bit 1566 O 1 IO_AL25 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AM25 +bit 1563 O 1 IO_AM25 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AN25 +bit 1560 O 1 IO_AN25 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_AP25 +bit 1557 O 1 IO_AP25 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_AF24 +bit 1554 O 1 IO_AF24 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_AD23 +bit 1551 O 1 IO_AD23 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AE23 +bit 1548 O 1 IO_AE23 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AF23 +bit 1545 O 1 IO_AF23 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AG23 +bit 1542 O 1 IO_AG23 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_AH23 +bit 1539 O 1 IO_AH23 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_AJ23 +bit 1536 O 1 IO_AJ23 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AK23 +bit 1533 O 1 IO_AK23 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AL23 +bit 1530 O 1 IO_AL23 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AM23 +bit 1527 O 1 IO_AM23 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_AD22 +bit 1524 O 1 IO_AD22 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_AN23 +bit 1521 O 1 IO_AN23 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_AP23 +bit 1518 O 1 IO_AP23 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_AG22 +bit 1515 O 1 IO_AG22 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AH22 +bit 1512 O 1 IO_AH22 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_AE22 +bit 1509 O 1 IO_AE22 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_AL22 +bit 1506 O 1 IO_AL22 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AM22 +bit 1503 O 1 IO_AM22 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AD21 +bit 1500 O 1 IO_AD21 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AE21 +bit 1497 O 1 IO_AE21 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_AF21 +bit 1494 O 1 IO_AF21 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AG21 +bit 1491 O 1 IO_AG21 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AH21 +bit 1488 O 1 IO_AH21 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AJ21 +bit 1485 O 1 IO_AJ21 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AK21 +bit 1482 O 1 IO_AK21 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_AD20 +bit 1479 O 1 IO_AD20 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_AL21 +bit 1476 O 1 IO_AL21 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AM21 +bit 1473 O 1 IO_AM21 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AN21 +bit 1470 O 1 IO_AN21 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AP21 +bit 1467 O 1 IO_AP21 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AD19 +bit 1464 O 1 IO_AD19 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AE20 +bit 1461 O 1 IO_AE20 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AF20 +bit 1458 O 1 IO_AF20 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AH20 +bit 1455 O 1 IO_AH20 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AJ20 +bit 1452 O 1 IO_AJ20 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_AE19 +bit 1449 O 1 IO_AE19 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_AL20 +bit 1446 O 1 IO_AL20 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AM20 +bit 1443 O 1 IO_AM20 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AN20 +bit 1440 O 1 IO_AN20 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AP20 +bit 1437 O 1 IO_AP20 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_AD18 +bit 1434 O 1 IO_AD18 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AH19 +bit 1431 O 1 IO_AH19 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AJ19 +bit 1428 O 1 IO_AJ19 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AM19 +bit 1425 O 1 IO_AM19 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AN19 +bit 1422 O 1 IO_AN19 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_AE18 +bit 1419 O 1 IO_AE18 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AF18 +bit 1416 O 1 IO_AF18 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AG18 +bit 1413 O 1 IO_AG18 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AH18 +bit 1410 O 1 IO_AH18 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AJ18 +bit 1407 O 1 IO_AJ18 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AK18 +bit 1404 O 1 IO_AK18 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AL18 +bit 1401 O 1 IO_AL18 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AM18 +bit 1398 O 1 IO_AM18 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AN18 +bit 1395 O 1 IO_AN18 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AP18 +bit 1392 O 1 IO_AP18 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AP17 +bit 1389 O 1 IO_AP17 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AN17 +bit 1386 O 1 IO_AN17 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AM17 +bit 1383 O 1 IO_AM17 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AL17 +bit 1380 O 1 IO_AL17 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AK17 +bit 1377 O 1 IO_AK17 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AJ17 +bit 1374 O 1 IO_AJ17 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AH17 +bit 1371 O 1 IO_AH17 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AG17 +bit 1368 O 1 IO_AG17 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_AF17 +bit 1365 O 1 IO_AF17 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AE17 +bit 1362 O 1 IO_AE17 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AD17 +bit 1359 O 1 IO_AD17 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AN16 +bit 1356 O 1 IO_AN16 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_AM16 +bit 1353 O 1 IO_AM16 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_AJ16 +bit 1350 O 1 IO_AJ16 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_AH16 +bit 1347 O 1 IO_AH16 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_AE16 +bit 1344 O 1 IO_AE16 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_AP15 +bit 1341 O 1 IO_AP15 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_AN15 +bit 1338 O 1 IO_AN15 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AM15 +bit 1335 O 1 IO_AM15 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AL15 +bit 1332 O 1 IO_AL15 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_AD16 +bit 1329 O 1 IO_AD16 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AJ15 +bit 1326 O 1 IO_AJ15 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_AH15 +bit 1323 O 1 IO_AH15 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_AF15 +bit 1320 O 1 IO_AF15 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AE15 +bit 1317 O 1 IO_AE15 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AD15 +bit 1314 O 1 IO_AD15 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AP14 +bit 1311 O 1 IO_AP14 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_AN14 +bit 1308 O 1 IO_AN14 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AM14 +bit 1305 O 1 IO_AM14 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AL14 +bit 1302 O 1 IO_AL14 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_AK14 +bit 1299 O 1 IO_AK14 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_AJ14 +bit 1296 O 1 IO_AJ14 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_AH14 +bit 1293 O 1 IO_AH14 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_AG14 +bit 1290 O 1 IO_AG14 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_AF14 +bit 1287 O 1 IO_AF14 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_AE14 +bit 1284 O 1 IO_AE14 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_AM13 +bit 1281 O 1 IO_AM13 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AL13 +bit 1278 O 1 IO_AL13 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AH13 +bit 1275 O 1 IO_AH13 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AG13 +bit 1272 O 1 IO_AG13 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_AD14 +bit 1269 O 1 IO_AD14 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AE13 +bit 1266 O 1 IO_AE13 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AD13 +bit 1263 O 1 IO_AD13 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AP12 +bit 1260 O 1 IO_AP12 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AN12 +bit 1257 O 1 IO_AN12 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AM12 +bit 1254 O 1 IO_AM12 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AL12 +bit 1251 O 1 IO_AL12 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AK12 +bit 1248 O 1 IO_AK12 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AJ12 +bit 1245 O 1 IO_AJ12 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AH12 +bit 1242 O 1 IO_AH12 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AG12 +bit 1239 O 1 IO_AG12 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AF12 +bit 1236 O 1 IO_AF12 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AE12 +bit 1233 O 1 IO_AE12 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AP11 +bit 1230 O 1 IO_AP11 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AN11 +bit 1227 O 1 IO_AN11 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_AD11 +bit 1224 O 1 IO_AD11 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AP10 +bit 1221 O 1 IO_AP10 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AN10 +bit 1218 O 1 IO_AN10 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AM10 +bit 1215 O 1 IO_AM10 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AL10 +bit 1212 O 1 IO_AL10 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AK10 +bit 1209 O 1 IO_AK10 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AJ10 +bit 1206 O 1 IO_AJ10 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AH10 +bit 1203 O 1 IO_AH10 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AG10 +bit 1200 O 1 IO_AG10 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AF10 +bit 1197 O 1 IO_AF10 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AN9 +bit 1194 O 1 IO_AN9 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AM9 +bit 1191 O 1 IO_AM9 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AL9 +bit 1188 O 1 IO_AL9 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AJ9 +bit 1185 O 1 IO_AJ9 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AH9 +bit 1182 O 1 IO_AH9 1181 1 Z +bit 1181 C 1 * +bit 1180 I 1 IO_AG9 +bit 1179 O 1 IO_AG9 1178 1 Z +bit 1178 C 1 * +bit 1177 I 1 IO_AP8 +bit 1176 O 1 IO_AP8 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AN8 +bit 1173 O 1 IO_AN8 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AL8 +bit 1170 O 1 IO_AL8 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AK8 +bit 1167 O 1 IO_AK8 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AJ8 +bit 1164 O 1 IO_AJ8 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AK7 +bit 1161 O 1 IO_AK7 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AJ7 +bit 1158 O 1 IO_AJ7 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AP6 +bit 1155 O 1 IO_AP6 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AN6 +bit 1152 O 1 IO_AN6 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AJ6 +bit 1149 O 1 IO_AJ6 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AM6 +bit 1146 O 1 IO_AM6 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AL6 +bit 1143 O 1 IO_AL6 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_AN5 +bit 1140 O 1 IO_AN5 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AM5 +bit 1137 O 1 IO_AM5 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AL5 +bit 1134 O 1 IO_AL5 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AP4 +bit 1131 O 1 IO_AP4 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AN4 +bit 1128 O 1 IO_AN4 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AP3 +bit 1125 O 1 IO_AP3 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AN3 +bit 1122 O 1 IO_AN3 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 M2_AG8 +bit 1119 I 1 M0_AL4 +bit 1118 I 1 M1_AK4 +bit 1117 I 1 IO_AM2 +bit 1116 O 1 IO_AM2 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AM1 +bit 1113 O 1 IO_AM1 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AL2 +bit 1110 O 1 IO_AL2 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AL1 +bit 1107 O 1 IO_AL1 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AK3 +bit 1104 O 1 IO_AK3 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AK2 +bit 1101 O 1 IO_AK2 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_AJ4 +bit 1098 O 1 IO_AJ4 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_AJ3 +bit 1095 O 1 IO_AJ3 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AJ2 +bit 1092 O 1 IO_AJ2 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AJ1 +bit 1089 O 1 IO_AJ1 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AH6 +bit 1086 O 1 IO_AH6 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_AH5 +bit 1083 O 1 IO_AH5 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AH2 +bit 1080 O 1 IO_AH2 1079 1 Z +bit 1079 C 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 I 1 IO_AH1 +bit 1071 O 1 IO_AH1 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_AG6 +bit 1068 O 1 IO_AG6 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AG5 +bit 1065 O 1 IO_AG5 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_AG2 +bit 1062 O 1 IO_AG2 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AG1 +bit 1059 O 1 IO_AG1 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AF7 +bit 1056 O 1 IO_AF7 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AF6 +bit 1053 O 1 IO_AF6 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AG4 +bit 1050 O 1 IO_AG4 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AF4 +bit 1047 O 1 IO_AF4 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AF3 +bit 1044 O 1 IO_AF3 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AF2 +bit 1041 O 1 IO_AF2 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_AF8 +bit 1038 O 1 IO_AF8 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AE9 +bit 1035 O 1 IO_AE9 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AE8 +bit 1032 O 1 IO_AE8 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AE7 +bit 1029 O 1 IO_AE7 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AE6 +bit 1026 O 1 IO_AE6 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AE5 +bit 1023 O 1 IO_AE5 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AE4 +bit 1020 O 1 IO_AE4 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AE3 +bit 1017 O 1 IO_AE3 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AE2 +bit 1014 O 1 IO_AE2 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AE1 +bit 1011 O 1 IO_AE1 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AD10 +bit 1008 O 1 IO_AD10 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AD9 +bit 1005 O 1 IO_AD9 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 I 1 IO_AD2 +bit 996 O 1 IO_AD2 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AD1 +bit 993 O 1 IO_AD1 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AC11 +bit 990 O 1 IO_AC11 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_AC10 +bit 987 O 1 IO_AC10 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_AC8 +bit 984 O 1 IO_AC8 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_AC7 +bit 981 O 1 IO_AC7 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_AC6 +bit 978 O 1 IO_AC6 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_AC5 +bit 975 O 1 IO_AC5 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_AC4 +bit 972 O 1 IO_AC4 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_AC3 +bit 969 O 1 IO_AC3 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_AC2 +bit 966 O 1 IO_AC2 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_AC1 +bit 963 O 1 IO_AC1 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_AC9 +bit 960 O 1 IO_AC9 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_AB10 +bit 957 O 1 IO_AB10 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_AB8 +bit 954 O 1 IO_AB8 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_AB7 +bit 951 O 1 IO_AB7 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_AB4 +bit 948 O 1 IO_AB4 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_AB3 +bit 945 O 1 IO_AB3 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_AB11 +bit 942 O 1 IO_AB11 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_AA11 +bit 939 O 1 IO_AA11 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 I 1 IO_AA8 +bit 930 O 1 IO_AA8 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_AA7 +bit 927 O 1 IO_AA7 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_AA6 +bit 924 O 1 IO_AA6 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_AA5 +bit 921 O 1 IO_AA5 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_AA4 +bit 918 O 1 IO_AA4 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_AA3 +bit 915 O 1 IO_AA3 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_AA2 +bit 912 O 1 IO_AA2 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_AA1 +bit 909 O 1 IO_AA1 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_Y11 +bit 906 O 1 IO_Y11 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_Y10 +bit 903 O 1 IO_Y10 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_Y7 +bit 900 O 1 IO_Y7 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_Y6 +bit 897 O 1 IO_Y6 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_Y4 +bit 894 O 1 IO_Y4 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_Y3 +bit 891 O 1 IO_Y3 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_Y2 +bit 888 O 1 IO_Y2 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_Y1 +bit 885 O 1 IO_Y1 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_Y9 +bit 882 O 1 IO_Y9 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_W10 +bit 879 O 1 IO_W10 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_W7 +bit 876 O 1 IO_W7 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_W6 +bit 873 O 1 IO_W6 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_W3 +bit 870 O 1 IO_W3 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_W2 +bit 867 O 1 IO_W2 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_V10 +bit 864 O 1 IO_V10 863 1 Z +bit 863 C 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 I 1 IO_V9 +bit 855 O 1 IO_V9 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_V8 +bit 852 O 1 IO_V8 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_V7 +bit 849 O 1 IO_V7 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_V6 +bit 846 O 1 IO_V6 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_V5 +bit 843 O 1 IO_V5 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_V4 +bit 840 O 1 IO_V4 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_V3 +bit 837 O 1 IO_V3 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_V2 +bit 834 O 1 IO_V2 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_V1 +bit 831 O 1 IO_V1 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_U1 +bit 828 O 1 IO_U1 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_U2 +bit 825 O 1 IO_U2 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_U3 +bit 822 O 1 IO_U3 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_U4 +bit 819 O 1 IO_U4 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_U5 +bit 816 O 1 IO_U5 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_U6 +bit 813 O 1 IO_U6 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_U7 +bit 810 O 1 IO_U7 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_U8 +bit 807 O 1 IO_U8 806 1 Z +bit 806 C 1 * +bit 805 I 1 IO_U9 +bit 804 O 1 IO_U9 803 1 Z +bit 803 C 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 I 1 IO_U10 +bit 795 O 1 IO_U10 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_T2 +bit 792 O 1 IO_T2 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_T3 +bit 789 O 1 IO_T3 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_T6 +bit 786 O 1 IO_T6 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_T7 +bit 783 O 1 IO_T7 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_T10 +bit 780 O 1 IO_T10 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_R9 +bit 777 O 1 IO_R9 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_R1 +bit 774 O 1 IO_R1 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_R2 +bit 771 O 1 IO_R2 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_R3 +bit 768 O 1 IO_R3 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_R4 +bit 765 O 1 IO_R4 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_R6 +bit 762 O 1 IO_R6 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_R7 +bit 759 O 1 IO_R7 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_R10 +bit 756 O 1 IO_R10 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_R11 +bit 753 O 1 IO_R11 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_P1 +bit 750 O 1 IO_P1 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_P2 +bit 747 O 1 IO_P2 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_P3 +bit 744 O 1 IO_P3 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_P4 +bit 741 O 1 IO_P4 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_P5 +bit 738 O 1 IO_P5 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_P6 +bit 735 O 1 IO_P6 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_P7 +bit 732 O 1 IO_P7 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_P8 +bit 729 O 1 IO_P8 728 1 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_P11 +bit 720 O 1 IO_P11 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_N11 +bit 717 O 1 IO_N11 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_N3 +bit 714 O 1 IO_N3 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_N4 +bit 711 O 1 IO_N4 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_N7 +bit 708 O 1 IO_N7 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_N8 +bit 705 O 1 IO_N8 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_N10 +bit 702 O 1 IO_N10 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_M9 +bit 699 O 1 IO_M9 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_M1 +bit 696 O 1 IO_M1 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_M2 +bit 693 O 1 IO_M2 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_M3 +bit 690 O 1 IO_M3 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_M4 +bit 687 O 1 IO_M4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_M5 +bit 684 O 1 IO_M5 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_M6 +bit 681 O 1 IO_M6 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_M7 +bit 678 O 1 IO_M7 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_M8 +bit 675 O 1 IO_M8 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_M10 +bit 672 O 1 IO_M10 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_M11 +bit 669 O 1 IO_M11 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_L1 +bit 666 O 1 IO_L1 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_L2 +bit 663 O 1 IO_L2 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_L9 +bit 654 O 1 IO_L9 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_L10 +bit 651 O 1 IO_L10 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_K1 +bit 648 O 1 IO_K1 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_K2 +bit 645 O 1 IO_K2 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_K3 +bit 642 O 1 IO_K3 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_K4 +bit 639 O 1 IO_K4 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_K5 +bit 636 O 1 IO_K5 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_K6 +bit 633 O 1 IO_K6 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_K7 +bit 630 O 1 IO_K7 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_K8 +bit 627 O 1 IO_K8 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_K9 +bit 624 O 1 IO_K9 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_J8 +bit 621 O 1 IO_J8 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_J2 +bit 618 O 1 IO_J2 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_J3 +bit 615 O 1 IO_J3 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_J4 +bit 612 O 1 IO_J4 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_H4 +bit 609 O 1 IO_H4 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_J6 +bit 606 O 1 IO_J6 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_J7 +bit 603 O 1 IO_J7 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_H1 +bit 600 O 1 IO_H1 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_H2 +bit 597 O 1 IO_H2 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_H5 +bit 594 O 1 IO_H5 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_H6 +bit 591 O 1 IO_H6 590 1 Z +bit 590 C 1 * +bit 589 I 1 IO_G1 +bit 588 O 1 IO_G1 587 1 Z +bit 587 C 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 I 1 IO_G2 +bit 579 O 1 IO_G2 578 1 Z +bit 578 C 1 * +bit 577 I 1 IO_G5 +bit 576 O 1 IO_G5 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_G6 +bit 573 O 1 IO_G6 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_F1 +bit 570 O 1 IO_F1 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_F2 +bit 567 O 1 IO_F2 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_F3 +bit 564 O 1 IO_F3 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_F4 +bit 561 O 1 IO_F4 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_E2 +bit 558 O 1 IO_E2 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_E3 +bit 555 O 1 IO_E3 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_D1 +bit 552 O 1 IO_D1 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_D2 +bit 549 O 1 IO_D2 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_C1 +bit 546 O 1 IO_C1 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_C2 +bit 543 O 1 IO_C2 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 I 1 HSWAP_EN_L11 +bit 539 I 1 IO_D5 +bit 538 O 1 IO_D5 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_B3 +bit 535 O 1 IO_B3 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_A3 +bit 532 O 1 IO_A3 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_B4 +bit 529 O 1 IO_B4 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_A4 +bit 526 O 1 IO_A4 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_F6 +bit 523 O 1 IO_F6 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_C5 +bit 520 O 1 IO_C5 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_B5 +bit 517 O 1 IO_B5 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_D6 +bit 514 O 1 IO_D6 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_C6 +bit 511 O 1 IO_C6 510 1 Z +bit 510 C 1 * +bit 509 I 1 IO_H8 +bit 508 O 1 IO_H8 507 1 Z +bit 507 C 1 * +bit 506 I 1 IO_B6 +bit 505 O 1 IO_B6 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_A6 +bit 502 O 1 IO_A6 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_F7 +bit 499 O 1 IO_F7 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_E7 +bit 496 O 1 IO_E7 495 1 Z +bit 495 C 1 * +bit 494 I 1 IO_F8 +bit 493 O 1 IO_F8 492 1 Z +bit 492 C 1 * +bit 491 I 1 IO_E8 +bit 490 O 1 IO_E8 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_D8 +bit 487 O 1 IO_D8 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_B8 +bit 484 O 1 IO_B8 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_A8 +bit 481 O 1 IO_A8 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_H9 +bit 478 O 1 IO_H9 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_G9 +bit 475 O 1 IO_G9 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_F9 +bit 472 O 1 IO_F9 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_D9 +bit 469 O 1 IO_D9 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_C9 +bit 466 O 1 IO_C9 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_B9 +bit 463 O 1 IO_B9 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_J10 +bit 460 O 1 IO_J10 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_H10 +bit 457 O 1 IO_H10 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_G10 +bit 454 O 1 IO_G10 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_F10 +bit 451 O 1 IO_F10 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_E10 +bit 448 O 1 IO_E10 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_D10 +bit 445 O 1 IO_D10 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_C10 +bit 442 O 1 IO_C10 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_B10 +bit 439 O 1 IO_B10 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_A10 +bit 436 O 1 IO_A10 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_J11 +bit 433 O 1 IO_J11 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_L12 +bit 430 O 1 IO_L12 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_K12 +bit 427 O 1 IO_K12 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_J12 +bit 424 O 1 IO_J12 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_H12 +bit 421 O 1 IO_H12 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_G12 +bit 418 O 1 IO_G12 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_F12 +bit 415 O 1 IO_F12 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_E12 +bit 412 O 1 IO_E12 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_D12 +bit 409 O 1 IO_D12 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_C12 +bit 406 O 1 IO_C12 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_L13 +bit 403 O 1 IO_L13 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_B12 +bit 400 O 1 IO_B12 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_A12 +bit 397 O 1 IO_A12 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_H13 +bit 394 O 1 IO_H13 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_G13 +bit 391 O 1 IO_G13 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_K13 +bit 388 O 1 IO_K13 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_D13 +bit 385 O 1 IO_D13 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_C13 +bit 382 O 1 IO_C13 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_L14 +bit 379 O 1 IO_L14 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_K14 +bit 376 O 1 IO_K14 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_J14 +bit 373 O 1 IO_J14 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_H14 +bit 370 O 1 IO_H14 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_G14 +bit 367 O 1 IO_G14 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_F14 +bit 364 O 1 IO_F14 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_E14 +bit 361 O 1 IO_E14 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_L15 +bit 358 O 1 IO_L15 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_D14 +bit 355 O 1 IO_D14 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_C14 +bit 352 O 1 IO_C14 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_B14 +bit 349 O 1 IO_B14 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_A14 +bit 346 O 1 IO_A14 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_L16 +bit 343 O 1 IO_L16 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_K15 +bit 340 O 1 IO_K15 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_J15 +bit 337 O 1 IO_J15 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_G15 +bit 334 O 1 IO_G15 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_F15 +bit 331 O 1 IO_F15 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_K16 +bit 328 O 1 IO_K16 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_D15 +bit 325 O 1 IO_D15 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_C15 +bit 322 O 1 IO_C15 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_B15 +bit 319 O 1 IO_B15 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_A15 +bit 316 O 1 IO_A15 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_L17 +bit 313 O 1 IO_L17 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_G16 +bit 310 O 1 IO_G16 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_F16 +bit 307 O 1 IO_F16 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_C16 +bit 304 O 1 IO_C16 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_B16 +bit 301 O 1 IO_B16 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_K17 +bit 298 O 1 IO_K17 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_J17 +bit 295 O 1 IO_J17 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_H17 +bit 292 O 1 IO_H17 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_G17 +bit 289 O 1 IO_G17 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_F17 +bit 286 O 1 IO_F17 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_E17 +bit 283 O 1 IO_E17 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_D17 +bit 280 O 1 IO_D17 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_C17 +bit 277 O 1 IO_C17 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_B17 +bit 274 O 1 IO_B17 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_A17 +bit 271 O 1 IO_A17 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_A18 +bit 268 O 1 IO_A18 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B18 +bit 265 O 1 IO_B18 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_C18 +bit 262 O 1 IO_C18 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_D18 +bit 259 O 1 IO_D18 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_E18 +bit 256 O 1 IO_E18 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_F18 +bit 253 O 1 IO_F18 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_G18 +bit 250 O 1 IO_G18 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_H18 +bit 247 O 1 IO_H18 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_J18 +bit 244 O 1 IO_J18 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_K18 +bit 241 O 1 IO_K18 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_L18 +bit 238 O 1 IO_L18 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B19 +bit 235 O 1 IO_B19 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C19 +bit 232 O 1 IO_C19 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F19 +bit 229 O 1 IO_F19 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_G19 +bit 226 O 1 IO_G19 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_K19 +bit 223 O 1 IO_K19 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_A20 +bit 220 O 1 IO_A20 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_B20 +bit 217 O 1 IO_B20 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_C20 +bit 214 O 1 IO_C20 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_D20 +bit 211 O 1 IO_D20 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_L19 +bit 208 O 1 IO_L19 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_F20 +bit 205 O 1 IO_F20 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_G20 +bit 202 O 1 IO_G20 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_J20 +bit 199 O 1 IO_J20 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_K20 +bit 196 O 1 IO_K20 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_L20 +bit 193 O 1 IO_L20 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A21 +bit 190 O 1 IO_A21 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B21 +bit 187 O 1 IO_B21 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_C21 +bit 184 O 1 IO_C21 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D21 +bit 181 O 1 IO_D21 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_E21 +bit 178 O 1 IO_E21 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_F21 +bit 175 O 1 IO_F21 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_G21 +bit 172 O 1 IO_G21 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_H21 +bit 169 O 1 IO_H21 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_J21 +bit 166 O 1 IO_J21 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_K21 +bit 163 O 1 IO_K21 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_C22 +bit 160 O 1 IO_C22 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_D22 +bit 157 O 1 IO_D22 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_G22 +bit 154 O 1 IO_G22 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_H22 +bit 151 O 1 IO_H22 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_L21 +bit 148 O 1 IO_L21 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_K22 +bit 145 O 1 IO_K22 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_L22 +bit 142 O 1 IO_L22 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_A23 +bit 139 O 1 IO_A23 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_B23 +bit 136 O 1 IO_B23 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_C23 +bit 133 O 1 IO_C23 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_D23 +bit 130 O 1 IO_D23 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_E23 +bit 127 O 1 IO_E23 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_F23 +bit 124 O 1 IO_F23 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_G23 +bit 121 O 1 IO_G23 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_H23 +bit 118 O 1 IO_H23 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_J23 +bit 115 O 1 IO_J23 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_K23 +bit 112 O 1 IO_K23 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A24 +bit 109 O 1 IO_A24 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B24 +bit 106 O 1 IO_B24 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_L24 +bit 103 O 1 IO_L24 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_A25 +bit 100 O 1 IO_A25 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_B25 +bit 97 O 1 IO_B25 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_C25 +bit 94 O 1 IO_C25 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_D25 +bit 91 O 1 IO_D25 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_E25 +bit 88 O 1 IO_E25 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_F25 +bit 85 O 1 IO_F25 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_G25 +bit 82 O 1 IO_G25 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_H25 +bit 79 O 1 IO_H25 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_J25 +bit 76 O 1 IO_J25 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_B26 +bit 73 O 1 IO_B26 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_C26 +bit 70 O 1 IO_C26 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D26 +bit 67 O 1 IO_D26 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_F26 +bit 64 O 1 IO_F26 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_G26 +bit 61 O 1 IO_G26 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_H26 +bit 58 O 1 IO_H26 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A27 +bit 55 O 1 IO_A27 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B27 +bit 52 O 1 IO_B27 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_D27 +bit 49 O 1 IO_D27 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_E27 +bit 46 O 1 IO_E27 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_F27 +bit 43 O 1 IO_F27 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_E28 +bit 40 O 1 IO_E28 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_F28 +bit 37 O 1 IO_F28 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_A29 +bit 34 O 1 IO_A29 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_B29 +bit 31 O 1 IO_B29 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_F29 +bit 28 O 1 IO_F29 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_C29 +bit 25 O 1 IO_C29 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_D29 +bit 22 O 1 IO_D29 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_B30 +bit 19 O 1 IO_B30 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_C30 +bit 16 O 1 IO_C30 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_D30 +bit 13 O 1 IO_D30 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_A31 +bit 10 O 1 IO_A31 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_B31 +bit 7 O 1 IO_B31 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A32 +bit 4 O 1 IO_A32 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B32 +bit 1 O 1 IO_B32 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l_fg900 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l_fg900 new file mode 100644 index 0000000..7a2f19c --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s4000/xc3s4000l_fg900 @@ -0,0 +1,3154 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal CCLK_AH28 +signal DONE_AJ28 +signal HSWAP_EN_A3 +signal M0_AJ3 +signal M1_AH3 +signal M2_AK3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A15 +signal IO_A16 +signal IO_A18 +signal IO_A19 +signal IO_A20 +signal IO_A22 +signal IO_A23 +signal IO_A24 +signal IO_A26 +signal IO_A27 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_C1 +signal IO_C2 +signal IO_C4 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C23 +signal IO_C24 +signal IO_C25 +signal IO_C27 +signal IO_C29 +signal IO_C30 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D15 +signal IO_D16 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D22 +signal IO_D23 +signal IO_D24 +signal IO_D26 +signal IO_D27 +signal IO_D28 +signal IO_D29 +signal IO_D30 +signal IO_E1 +signal IO_E2 +signal IO_E4 +signal IO_E6 +signal IO_E8 +signal IO_E9 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E22 +signal IO_E23 +signal IO_E25 +signal IO_E27 +signal IO_E29 +signal IO_E30 +signal IO_F2 +signal IO_F3 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_F28 +signal IO_F29 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G23 +signal IO_G24 +signal IO_G25 +signal IO_G27 +signal IO_G28 +signal IO_G29 +signal IO_G30 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H8 +signal IO_H9 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H15 +signal IO_H16 +signal IO_H18 +signal IO_H19 +signal IO_H20 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_H27 +signal IO_H28 +signal IO_H29 +signal IO_H30 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J8 +signal IO_J9 +signal IO_J10 +signal IO_J12 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_J17 +signal IO_J18 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J25 +signal IO_J26 +signal IO_J27 +signal IO_J29 +signal IO_J30 +signal IO_K2 +signal IO_K3 +signal IO_K6 +signal IO_K7 +signal IO_K9 +signal IO_K10 +signal IO_K11 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K24 +signal IO_K25 +signal IO_K28 +signal IO_K29 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L10 +signal IO_L21 +signal IO_L23 +signal IO_L24 +signal IO_L25 +signal IO_L26 +signal IO_L27 +signal IO_L28 +signal IO_L29 +signal IO_L30 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M9 +signal IO_M10 +signal IO_M21 +signal IO_M22 +signal IO_M23 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_M29 +signal IO_M30 +signal IO_N1 +signal IO_N2 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N25 +signal IO_N26 +signal IO_N27 +signal IO_N29 +signal IO_N30 +signal IO_P2 +signal IO_P3 +signal IO_P6 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P21 +signal IO_P22 +signal IO_P24 +signal IO_P25 +signal IO_P28 +signal IO_P29 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R21 +signal IO_R22 +signal IO_R23 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R27 +signal IO_R28 +signal IO_R29 +signal IO_R30 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T24 +signal IO_T25 +signal IO_T26 +signal IO_T27 +signal IO_T28 +signal IO_T29 +signal IO_T30 +signal IO_U2 +signal IO_U3 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U21 +signal IO_U22 +signal IO_U24 +signal IO_U25 +signal IO_U28 +signal IO_U29 +signal IO_V1 +signal IO_V2 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V25 +signal IO_V26 +signal IO_V27 +signal IO_V29 +signal IO_V30 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W8 +signal IO_W9 +signal IO_W10 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_W27 +signal IO_W28 +signal IO_W29 +signal IO_W30 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y10 +signal IO_Y21 +signal IO_Y23 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y27 +signal IO_Y28 +signal IO_Y29 +signal IO_Y30 +signal IO_AA2 +signal IO_AA3 +signal IO_AA6 +signal IO_AA7 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA24 +signal IO_AA25 +signal IO_AA28 +signal IO_AA29 +signal IO_AB1 +signal IO_AB2 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB25 +signal IO_AB26 +signal IO_AB27 +signal IO_AB29 +signal IO_AB30 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC11 +signal IO_AC12 +signal IO_AC13 +signal IO_AC15 +signal IO_AC16 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC22 +signal IO_AC23 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AD1 +signal IO_AD2 +signal IO_AD3 +signal IO_AD4 +signal IO_AD6 +signal IO_AD7 +signal IO_AD8 +signal IO_AD10 +signal IO_AD11 +signal IO_AD12 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD23 +signal IO_AD24 +signal IO_AD25 +signal IO_AD27 +signal IO_AD28 +signal IO_AD29 +signal IO_AD30 +signal IO_AE2 +signal IO_AE3 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AE25 +signal IO_AE26 +signal IO_AE28 +signal IO_AE29 +signal IO_AF1 +signal IO_AF2 +signal IO_AF4 +signal IO_AF6 +signal IO_AF8 +signal IO_AF9 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF15 +signal IO_AF16 +signal IO_AF18 +signal IO_AF19 +signal IO_AF20 +signal IO_AF22 +signal IO_AF23 +signal IO_AF25 +signal IO_AF27 +signal IO_AF29 +signal IO_AF30 +signal IO_AG1 +signal IO_AG2 +signal IO_AG3 +signal IO_AG4 +signal IO_AG5 +signal IO_AG7 +signal IO_AG8 +signal IO_AG9 +signal IO_AG11 +signal IO_AG12 +signal IO_AG13 +signal IO_AG15 +signal IO_AG16 +signal IO_AG18 +signal IO_AG19 +signal IO_AG20 +signal IO_AG22 +signal IO_AG23 +signal IO_AG24 +signal IO_AG26 +signal IO_AG27 +signal IO_AG28 +signal IO_AG29 +signal IO_AG30 +signal IO_AH1 +signal IO_AH2 +signal IO_AH4 +signal IO_AH6 +signal IO_AH7 +signal IO_AH8 +signal IO_AH10 +signal IO_AH11 +signal IO_AH12 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH23 +signal IO_AH24 +signal IO_AH25 +signal IO_AH27 +signal IO_AH29 +signal IO_AH30 +signal IO_AJ4 +signal IO_AJ5 +signal IO_AJ6 +signal IO_AJ7 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ11 +signal IO_AJ12 +signal IO_AJ13 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ22 +signal IO_AJ23 +signal IO_AJ24 +signal IO_AJ25 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AK4 +signal IO_AK5 +signal IO_AK7 +signal IO_AK8 +signal IO_AK9 +signal IO_AK11 +signal IO_AK12 +signal IO_AK13 +signal IO_AK15 +signal IO_AK16 +signal IO_AK18 +signal IO_AK19 +signal IO_AK20 +signal IO_AK22 +signal IO_AK23 +signal IO_AK24 +signal IO_AK26 +signal IO_AK27 + +register BSR 2243 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2242 I 1 IO_C29 +bit 2241 O 1 IO_C29 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_C30 +bit 2238 O 1 IO_C30 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_D27 +bit 2235 O 1 IO_D27 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_D28 +bit 2232 O 1 IO_D28 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_D29 +bit 2229 O 1 IO_D29 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_D30 +bit 2226 O 1 IO_D30 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_E29 +bit 2223 O 1 IO_E29 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_E30 +bit 2220 O 1 IO_E30 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_E27 +bit 2217 O 1 IO_E27 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_F26 +bit 2214 O 1 IO_F26 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_F28 +bit 2211 O 1 IO_F28 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_F29 +bit 2208 O 1 IO_F29 2207 1 Z +bit 2207 C 1 * +bit 2206 O 1 * +bit 2205 O 1 * +bit 2204 O 1 * +bit 2203 O 1 * +bit 2202 O 1 * +bit 2201 O 1 * +bit 2200 O 1 * +bit 2199 O 1 * +bit 2198 O 1 * +bit 2197 O 1 * +bit 2196 O 1 * +bit 2195 O 1 * +bit 2194 I 1 IO_G27 +bit 2193 O 1 IO_G27 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_G28 +bit 2190 O 1 IO_G28 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_G29 +bit 2187 O 1 IO_G29 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_G30 +bit 2184 O 1 IO_G30 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_G25 +bit 2181 O 1 IO_G25 2180 1 Z +bit 2180 C 1 * +bit 2179 I 1 IO_H24 +bit 2178 O 1 IO_H24 2177 1 Z +bit 2177 C 1 * +bit 2176 I 1 IO_H25 +bit 2175 O 1 IO_H25 2174 1 Z +bit 2174 C 1 * +bit 2173 I 1 IO_H26 +bit 2172 O 1 IO_H26 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_H27 +bit 2169 O 1 IO_H27 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_H28 +bit 2166 O 1 IO_H28 2165 1 Z +bit 2165 C 1 * +bit 2164 O 1 * +bit 2163 O 1 * +bit 2162 O 1 * +bit 2161 I 1 IO_J25 +bit 2160 O 1 IO_J25 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_H29 +bit 2157 O 1 IO_H29 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_H30 +bit 2154 O 1 IO_H30 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_J26 +bit 2151 O 1 IO_J26 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_J27 +bit 2148 O 1 IO_J27 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_J29 +bit 2145 O 1 IO_J29 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_J30 +bit 2142 O 1 IO_J30 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_J23 +bit 2139 O 1 IO_J23 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_K22 +bit 2136 O 1 IO_K22 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_K24 +bit 2133 O 1 IO_K24 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_K25 +bit 2130 O 1 IO_K25 2129 1 Z +bit 2129 C 1 * +bit 2128 O 1 * +bit 2127 O 1 * +bit 2126 O 1 * +bit 2125 O 1 * +bit 2124 O 1 * +bit 2123 O 1 * +bit 2122 I 1 IO_K28 +bit 2121 O 1 IO_K28 2120 1 Z +bit 2120 C 1 * +bit 2119 I 1 IO_K29 +bit 2118 O 1 IO_K29 2117 1 Z +bit 2117 C 1 * +bit 2116 I 1 IO_K21 +bit 2115 O 1 IO_K21 2114 1 Z +bit 2114 C 1 * +bit 2113 I 1 IO_L21 +bit 2112 O 1 IO_L21 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_L23 +bit 2109 O 1 IO_L23 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_L24 +bit 2106 O 1 IO_L24 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_L25 +bit 2103 O 1 IO_L25 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_L26 +bit 2100 O 1 IO_L26 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_L27 +bit 2097 O 1 IO_L27 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_L28 +bit 2094 O 1 IO_L28 2093 1 Z +bit 2093 C 1 * +bit 2092 O 1 * +bit 2091 O 1 * +bit 2090 O 1 * +bit 2089 O 1 * +bit 2088 O 1 * +bit 2087 O 1 * +bit 2086 I 1 IO_L29 +bit 2085 O 1 IO_L29 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_L30 +bit 2082 O 1 IO_L30 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_M22 +bit 2079 O 1 IO_M22 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_M23 +bit 2076 O 1 IO_M23 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_M24 +bit 2073 O 1 IO_M24 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_M25 +bit 2070 O 1 IO_M25 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_M27 +bit 2067 O 1 IO_M27 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_M28 +bit 2064 O 1 IO_M28 2063 1 Z +bit 2063 C 1 * +bit 2062 O 1 * +bit 2061 O 1 * +bit 2060 O 1 * +bit 2059 O 1 * +bit 2058 O 1 * +bit 2057 O 1 * +bit 2056 I 1 IO_M29 +bit 2055 O 1 IO_M29 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_M30 +bit 2052 O 1 IO_M30 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_M21 +bit 2049 O 1 IO_M21 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_N21 +bit 2046 O 1 IO_N21 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_N22 +bit 2043 O 1 IO_N22 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_N23 +bit 2040 O 1 IO_N23 2039 1 Z +bit 2039 C 1 * +bit 2038 I 1 IO_M26 +bit 2037 O 1 IO_M26 2036 1 Z +bit 2036 C 1 * +bit 2035 I 1 IO_N25 +bit 2034 O 1 IO_N25 2033 1 Z +bit 2033 C 1 * +bit 2032 I 1 IO_N26 +bit 2031 O 1 IO_N26 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_N27 +bit 2028 O 1 IO_N27 2027 1 Z +bit 2027 C 1 * +bit 2026 O 1 * +bit 2025 O 1 * +bit 2024 O 1 * +bit 2023 O 1 * +bit 2022 O 1 * +bit 2021 O 1 * +bit 2020 I 1 IO_N29 +bit 2019 O 1 IO_N29 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_N30 +bit 2016 O 1 IO_N30 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_P21 +bit 2013 O 1 IO_P21 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_P22 +bit 2010 O 1 IO_P22 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_P24 +bit 2007 O 1 IO_P24 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_P25 +bit 2004 O 1 IO_P25 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_P28 +bit 2001 O 1 IO_P28 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_P29 +bit 1998 O 1 IO_P29 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_R21 +bit 1995 O 1 IO_R21 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_R22 +bit 1992 O 1 IO_R22 1991 1 Z +bit 1991 C 1 * +bit 1990 O 1 * +bit 1989 O 1 * +bit 1988 O 1 * +bit 1987 O 1 * +bit 1986 O 1 * +bit 1985 O 1 * +bit 1984 O 1 * +bit 1983 O 1 * +bit 1982 O 1 * +bit 1981 O 1 * +bit 1980 O 1 * +bit 1979 O 1 * +bit 1978 I 1 IO_R23 +bit 1977 O 1 IO_R23 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_R24 +bit 1974 O 1 IO_R24 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_R25 +bit 1971 O 1 IO_R25 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_R26 +bit 1968 O 1 IO_R26 1967 1 Z +bit 1967 C 1 * +bit 1966 I 1 IO_R27 +bit 1965 O 1 IO_R27 1964 1 Z +bit 1964 C 1 * +bit 1963 I 1 IO_R28 +bit 1962 O 1 IO_R28 1961 1 Z +bit 1961 C 1 * +bit 1960 I 1 IO_R29 +bit 1959 O 1 IO_R29 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_R30 +bit 1956 O 1 IO_R30 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_T30 +bit 1953 O 1 IO_T30 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_T29 +bit 1950 O 1 IO_T29 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_T28 +bit 1947 O 1 IO_T28 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_T27 +bit 1944 O 1 IO_T27 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_T26 +bit 1941 O 1 IO_T26 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_T25 +bit 1938 O 1 IO_T25 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_T24 +bit 1935 O 1 IO_T24 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_T23 +bit 1932 O 1 IO_T23 1931 1 Z +bit 1931 C 1 * +bit 1930 O 1 * +bit 1929 O 1 * +bit 1928 O 1 * +bit 1927 O 1 * +bit 1926 O 1 * +bit 1925 O 1 * +bit 1924 O 1 * +bit 1923 O 1 * +bit 1922 O 1 * +bit 1921 O 1 * +bit 1920 O 1 * +bit 1919 O 1 * +bit 1918 I 1 IO_T22 +bit 1917 O 1 IO_T22 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_T21 +bit 1914 O 1 IO_T21 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_U29 +bit 1911 O 1 IO_U29 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_U28 +bit 1908 O 1 IO_U28 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_U25 +bit 1905 O 1 IO_U25 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_U24 +bit 1902 O 1 IO_U24 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_U22 +bit 1899 O 1 IO_U22 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_U21 +bit 1896 O 1 IO_U21 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_V30 +bit 1893 O 1 IO_V30 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_V29 +bit 1890 O 1 IO_V29 1889 1 Z +bit 1889 C 1 * +bit 1888 O 1 * +bit 1887 O 1 * +bit 1886 O 1 * +bit 1885 O 1 * +bit 1884 O 1 * +bit 1883 O 1 * +bit 1882 I 1 IO_V27 +bit 1881 O 1 IO_V27 1880 1 Z +bit 1880 C 1 * +bit 1879 I 1 IO_V26 +bit 1878 O 1 IO_V26 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_V25 +bit 1875 O 1 IO_V25 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_W26 +bit 1872 O 1 IO_W26 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_V23 +bit 1869 O 1 IO_V23 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_V22 +bit 1866 O 1 IO_V22 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_V21 +bit 1863 O 1 IO_V21 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_W21 +bit 1860 O 1 IO_W21 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_W30 +bit 1857 O 1 IO_W30 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_W29 +bit 1854 O 1 IO_W29 1853 1 Z +bit 1853 C 1 * +bit 1852 O 1 * +bit 1851 O 1 * +bit 1850 O 1 * +bit 1849 O 1 * +bit 1848 O 1 * +bit 1847 O 1 * +bit 1846 I 1 IO_W28 +bit 1845 O 1 IO_W28 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_W27 +bit 1842 O 1 IO_W27 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_W25 +bit 1839 O 1 IO_W25 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_W24 +bit 1836 O 1 IO_W24 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 IO_W23 +bit 1833 O 1 IO_W23 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 IO_W22 +bit 1830 O 1 IO_W22 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_Y30 +bit 1827 O 1 IO_Y30 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_Y29 +bit 1824 O 1 IO_Y29 1823 1 Z +bit 1823 C 1 * +bit 1822 O 1 * +bit 1821 O 1 * +bit 1820 O 1 * +bit 1819 O 1 * +bit 1818 O 1 * +bit 1817 O 1 * +bit 1816 I 1 IO_Y28 +bit 1815 O 1 IO_Y28 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_Y27 +bit 1812 O 1 IO_Y27 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_Y26 +bit 1809 O 1 IO_Y26 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_Y25 +bit 1806 O 1 IO_Y25 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_Y24 +bit 1803 O 1 IO_Y24 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_Y23 +bit 1800 O 1 IO_Y23 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_Y21 +bit 1797 O 1 IO_Y21 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_AA21 +bit 1794 O 1 IO_AA21 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_AA29 +bit 1791 O 1 IO_AA29 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_AA28 +bit 1788 O 1 IO_AA28 1787 1 Z +bit 1787 C 1 * +bit 1786 O 1 * +bit 1785 O 1 * +bit 1784 O 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 I 1 IO_AA25 +bit 1779 O 1 IO_AA25 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_AA24 +bit 1776 O 1 IO_AA24 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_AA22 +bit 1773 O 1 IO_AA22 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_AB23 +bit 1770 O 1 IO_AB23 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_AB30 +bit 1767 O 1 IO_AB30 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_AB29 +bit 1764 O 1 IO_AB29 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_AB27 +bit 1761 O 1 IO_AB27 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_AB26 +bit 1758 O 1 IO_AB26 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_AC30 +bit 1755 O 1 IO_AC30 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_AC29 +bit 1752 O 1 IO_AC29 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_AB25 +bit 1749 O 1 IO_AB25 1748 1 Z +bit 1748 C 1 * +bit 1747 O 1 * +bit 1746 O 1 * +bit 1745 O 1 * +bit 1744 I 1 IO_AC28 +bit 1743 O 1 IO_AC28 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_AC27 +bit 1740 O 1 IO_AC27 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_AC26 +bit 1737 O 1 IO_AC26 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_AC25 +bit 1734 O 1 IO_AC25 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_AC24 +bit 1731 O 1 IO_AC24 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_AD25 +bit 1728 O 1 IO_AD25 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_AD30 +bit 1725 O 1 IO_AD30 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_AD29 +bit 1722 O 1 IO_AD29 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_AD28 +bit 1719 O 1 IO_AD28 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_AD27 +bit 1716 O 1 IO_AD27 1715 1 Z +bit 1715 C 1 * +bit 1714 O 1 * +bit 1713 O 1 * +bit 1712 O 1 * +bit 1711 O 1 * +bit 1710 O 1 * +bit 1709 O 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 O 1 * +bit 1704 O 1 * +bit 1703 O 1 * +bit 1702 I 1 IO_AE29 +bit 1701 O 1 IO_AE29 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_AE28 +bit 1698 O 1 IO_AE28 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_AE26 +bit 1695 O 1 IO_AE26 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_AF27 +bit 1692 O 1 IO_AF27 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_AF30 +bit 1689 O 1 IO_AF30 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_AF29 +bit 1686 O 1 IO_AF29 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_AG30 +bit 1683 O 1 IO_AG30 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_AG29 +bit 1680 O 1 IO_AG29 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_AG28 +bit 1677 O 1 IO_AG28 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_AG27 +bit 1674 O 1 IO_AG27 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_AH30 +bit 1671 O 1 IO_AH30 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_AH29 +bit 1668 O 1 IO_AH29 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 CCLK_AH28 +bit 1665 O 1 CCLK_AH28 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 DONE_AJ28 +bit 1662 O 1 DONE_AJ28 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_AK28 +bit 1659 O 1 IO_AK28 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_AJ27 +bit 1656 O 1 IO_AJ27 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_AK27 +bit 1653 O 1 IO_AK27 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_AJ26 +bit 1650 O 1 IO_AJ26 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_AK26 +bit 1647 O 1 IO_AK26 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_AH27 +bit 1644 O 1 IO_AH27 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_AG26 +bit 1641 O 1 IO_AG26 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_AF25 +bit 1638 O 1 IO_AF25 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_AH25 +bit 1635 O 1 IO_AH25 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_AJ25 +bit 1632 O 1 IO_AJ25 1631 1 Z +bit 1631 C 1 * +bit 1630 O 1 * +bit 1629 O 1 * +bit 1628 O 1 * +bit 1627 I 1 IO_AE25 +bit 1626 O 1 IO_AE25 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_AE24 +bit 1623 O 1 IO_AE24 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_AG24 +bit 1620 O 1 IO_AG24 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_AH24 +bit 1617 O 1 IO_AH24 1616 1 Z +bit 1616 C 1 * +bit 1615 O 1 * +bit 1614 O 1 * +bit 1613 O 1 * +bit 1612 I 1 IO_AJ24 +bit 1611 O 1 IO_AJ24 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_AK24 +bit 1608 O 1 IO_AK24 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_AD24 +bit 1605 O 1 IO_AD24 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_AC23 +bit 1602 O 1 IO_AC23 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_AD23 +bit 1599 O 1 IO_AD23 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_AE23 +bit 1596 O 1 IO_AE23 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_AF23 +bit 1593 O 1 IO_AF23 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_AG23 +bit 1590 O 1 IO_AG23 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_AH23 +bit 1587 O 1 IO_AH23 1586 1 Z +bit 1586 C 1 * +bit 1585 O 1 * +bit 1584 O 1 * +bit 1583 O 1 * +bit 1582 I 1 IO_AJ23 +bit 1581 O 1 IO_AJ23 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_AK23 +bit 1578 O 1 IO_AK23 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_AB22 +bit 1575 O 1 IO_AB22 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_AC22 +bit 1572 O 1 IO_AC22 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_AE22 +bit 1569 O 1 IO_AE22 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AF22 +bit 1566 O 1 IO_AF22 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AG22 +bit 1563 O 1 IO_AG22 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AJ22 +bit 1560 O 1 IO_AJ22 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_AK22 +bit 1557 O 1 IO_AK22 1556 1 Z +bit 1556 C 1 * +bit 1555 O 1 * +bit 1554 O 1 * +bit 1553 O 1 * +bit 1552 I 1 IO_AD21 +bit 1551 O 1 IO_AD21 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AE21 +bit 1548 O 1 IO_AE21 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AH21 +bit 1545 O 1 IO_AH21 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AJ21 +bit 1542 O 1 IO_AJ21 1541 1 Z +bit 1541 C 1 * +bit 1540 O 1 * +bit 1539 O 1 * +bit 1538 O 1 * +bit 1537 I 1 IO_AB21 +bit 1536 O 1 IO_AB21 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AA20 +bit 1533 O 1 IO_AA20 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AC20 +bit 1530 O 1 IO_AC20 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AD20 +bit 1527 O 1 IO_AD20 1526 1 Z +bit 1526 C 1 * +bit 1525 O 1 * +bit 1524 O 1 * +bit 1523 O 1 * +bit 1522 I 1 IO_AE20 +bit 1521 O 1 IO_AE20 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_AF20 +bit 1518 O 1 IO_AF20 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_AG20 +bit 1515 O 1 IO_AG20 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AH20 +bit 1512 O 1 IO_AH20 1511 1 Z +bit 1511 C 1 * +bit 1510 O 1 * +bit 1509 O 1 * +bit 1508 O 1 * +bit 1507 I 1 IO_AJ20 +bit 1506 O 1 IO_AJ20 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AK20 +bit 1503 O 1 IO_AK20 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AA19 +bit 1500 O 1 IO_AA19 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AB19 +bit 1497 O 1 IO_AB19 1496 1 Z +bit 1496 C 1 * +bit 1495 O 1 * +bit 1494 O 1 * +bit 1493 O 1 * +bit 1492 I 1 IO_AC19 +bit 1491 O 1 IO_AC19 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AD19 +bit 1488 O 1 IO_AD19 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AE19 +bit 1485 O 1 IO_AE19 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AF19 +bit 1482 O 1 IO_AF19 1481 1 Z +bit 1481 C 1 * +bit 1480 O 1 * +bit 1479 O 1 * +bit 1478 O 1 * +bit 1477 I 1 IO_AG19 +bit 1476 O 1 IO_AG19 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AH19 +bit 1473 O 1 IO_AH19 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AJ19 +bit 1470 O 1 IO_AJ19 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AK19 +bit 1467 O 1 IO_AK19 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AA18 +bit 1464 O 1 IO_AA18 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AB18 +bit 1461 O 1 IO_AB18 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AC18 +bit 1458 O 1 IO_AC18 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AE18 +bit 1455 O 1 IO_AE18 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AF18 +bit 1452 O 1 IO_AF18 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_AG18 +bit 1449 O 1 IO_AG18 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_AJ18 +bit 1446 O 1 IO_AJ18 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AK18 +bit 1443 O 1 IO_AK18 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AA17 +bit 1440 O 1 IO_AA17 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AB17 +bit 1437 O 1 IO_AB17 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_AA16 +bit 1434 O 1 IO_AA16 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AD17 +bit 1431 O 1 IO_AD17 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AE17 +bit 1428 O 1 IO_AE17 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AH17 +bit 1425 O 1 IO_AH17 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AJ17 +bit 1422 O 1 IO_AJ17 1421 1 Z +bit 1421 C 1 * +bit 1420 O 1 * +bit 1419 O 1 * +bit 1418 O 1 * +bit 1417 I 1 IO_AB16 +bit 1416 O 1 IO_AB16 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AC16 +bit 1413 O 1 IO_AC16 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AD16 +bit 1410 O 1 IO_AD16 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AE16 +bit 1407 O 1 IO_AE16 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AF16 +bit 1404 O 1 IO_AF16 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AG16 +bit 1401 O 1 IO_AG16 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AH16 +bit 1398 O 1 IO_AH16 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AJ16 +bit 1395 O 1 IO_AJ16 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AK16 +bit 1392 O 1 IO_AK16 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AK15 +bit 1389 O 1 IO_AK15 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AJ15 +bit 1386 O 1 IO_AJ15 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AH15 +bit 1383 O 1 IO_AH15 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AG15 +bit 1380 O 1 IO_AG15 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AF15 +bit 1377 O 1 IO_AF15 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AE15 +bit 1374 O 1 IO_AE15 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AD15 +bit 1371 O 1 IO_AD15 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AD14 +bit 1368 O 1 IO_AD14 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_AC15 +bit 1365 O 1 IO_AC15 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AB15 +bit 1362 O 1 IO_AB15 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AA15 +bit 1359 O 1 IO_AA15 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AJ14 +bit 1356 O 1 IO_AJ14 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_AH14 +bit 1353 O 1 IO_AH14 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_AE14 +bit 1350 O 1 IO_AE14 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_AE13 +bit 1347 O 1 IO_AE13 1346 1 Z +bit 1346 C 1 * +bit 1345 O 1 * +bit 1344 O 1 * +bit 1343 O 1 * +bit 1342 I 1 IO_AB14 +bit 1341 O 1 IO_AB14 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_AA14 +bit 1338 O 1 IO_AA14 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AK13 +bit 1335 O 1 IO_AK13 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AJ13 +bit 1332 O 1 IO_AJ13 1331 1 Z +bit 1331 C 1 * +bit 1330 O 1 * +bit 1329 O 1 * +bit 1328 O 1 * +bit 1327 I 1 IO_AG13 +bit 1326 O 1 IO_AG13 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_AF13 +bit 1323 O 1 IO_AF13 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_AC13 +bit 1320 O 1 IO_AC13 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AB13 +bit 1317 O 1 IO_AB13 1316 1 Z +bit 1316 C 1 * +bit 1315 O 1 * +bit 1314 O 1 * +bit 1313 O 1 * +bit 1312 I 1 IO_AA13 +bit 1311 O 1 IO_AA13 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_AA12 +bit 1308 O 1 IO_AA12 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AK12 +bit 1305 O 1 IO_AK12 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AJ12 +bit 1302 O 1 IO_AJ12 1301 1 Z +bit 1301 C 1 * +bit 1300 O 1 * +bit 1299 O 1 * +bit 1298 O 1 * +bit 1297 I 1 IO_AH12 +bit 1296 O 1 IO_AH12 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_AG12 +bit 1293 O 1 IO_AG12 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_AF12 +bit 1290 O 1 IO_AF12 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_AE12 +bit 1287 O 1 IO_AE12 1286 1 Z +bit 1286 C 1 * +bit 1285 O 1 * +bit 1284 O 1 * +bit 1283 O 1 * +bit 1282 I 1 IO_AD12 +bit 1281 O 1 IO_AD12 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AC12 +bit 1278 O 1 IO_AC12 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AB12 +bit 1275 O 1 IO_AB12 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AC11 +bit 1272 O 1 IO_AC11 1271 1 Z +bit 1271 C 1 * +bit 1270 O 1 * +bit 1269 O 1 * +bit 1268 O 1 * +bit 1267 I 1 IO_AK11 +bit 1266 O 1 IO_AK11 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AJ11 +bit 1263 O 1 IO_AJ11 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AH11 +bit 1260 O 1 IO_AH11 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AG11 +bit 1257 O 1 IO_AG11 1256 1 Z +bit 1256 C 1 * +bit 1255 O 1 * +bit 1254 O 1 * +bit 1253 O 1 * +bit 1252 I 1 IO_AF11 +bit 1251 O 1 IO_AF11 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AE11 +bit 1248 O 1 IO_AE11 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AD11 +bit 1245 O 1 IO_AD11 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AD10 +bit 1242 O 1 IO_AD10 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AA11 +bit 1239 O 1 IO_AA11 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AJ10 +bit 1236 O 1 IO_AJ10 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AH10 +bit 1233 O 1 IO_AH10 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AE10 +bit 1230 O 1 IO_AE10 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 IO_AE9 +bit 1227 O 1 IO_AE9 1226 1 Z +bit 1226 C 1 * +bit 1225 I 1 IO_AB10 +bit 1224 O 1 IO_AB10 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AK9 +bit 1221 O 1 IO_AK9 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AJ9 +bit 1218 O 1 IO_AJ9 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AG9 +bit 1215 O 1 IO_AG9 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AF9 +bit 1212 O 1 IO_AF9 1211 1 Z +bit 1211 C 1 * +bit 1210 O 1 * +bit 1209 O 1 * +bit 1208 O 1 * +bit 1207 I 1 IO_AC9 +bit 1206 O 1 IO_AC9 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AB9 +bit 1203 O 1 IO_AB9 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AK8 +bit 1200 O 1 IO_AK8 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AJ8 +bit 1197 O 1 IO_AJ8 1196 1 Z +bit 1196 C 1 * +bit 1195 O 1 * +bit 1194 O 1 * +bit 1193 O 1 * +bit 1192 I 1 IO_AH8 +bit 1191 O 1 IO_AH8 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AG8 +bit 1188 O 1 IO_AG8 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AF8 +bit 1185 O 1 IO_AF8 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AE8 +bit 1182 O 1 IO_AE8 1181 1 Z +bit 1181 C 1 * +bit 1180 O 1 * +bit 1179 O 1 * +bit 1178 O 1 * +bit 1177 I 1 IO_AD8 +bit 1176 O 1 IO_AD8 1175 1 Z +bit 1175 C 1 * +bit 1174 I 1 IO_AC8 +bit 1173 O 1 IO_AC8 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AK7 +bit 1170 O 1 IO_AK7 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AJ7 +bit 1167 O 1 IO_AJ7 1166 1 Z +bit 1166 C 1 * +bit 1165 O 1 * +bit 1164 O 1 * +bit 1163 O 1 * +bit 1162 I 1 IO_AH7 +bit 1161 O 1 IO_AH7 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AG7 +bit 1158 O 1 IO_AG7 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AE7 +bit 1155 O 1 IO_AE7 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AD7 +bit 1152 O 1 IO_AD7 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AE6 +bit 1149 O 1 IO_AE6 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AJ6 +bit 1146 O 1 IO_AJ6 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AH6 +bit 1143 O 1 IO_AH6 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_AF6 +bit 1140 O 1 IO_AF6 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AG5 +bit 1137 O 1 IO_AG5 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AH4 +bit 1134 O 1 IO_AH4 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AK5 +bit 1131 O 1 IO_AK5 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AJ5 +bit 1128 O 1 IO_AJ5 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AK4 +bit 1125 O 1 IO_AK4 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AJ4 +bit 1122 O 1 IO_AJ4 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 M2_AK3 +bit 1119 I 1 M0_AJ3 +bit 1118 I 1 M1_AH3 +bit 1117 I 1 IO_AH2 +bit 1116 O 1 IO_AH2 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AH1 +bit 1113 O 1 IO_AH1 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AG4 +bit 1110 O 1 IO_AG4 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AG3 +bit 1107 O 1 IO_AG3 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AG2 +bit 1104 O 1 IO_AG2 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AG1 +bit 1101 O 1 IO_AG1 1100 1 Z +bit 1100 C 1 * +bit 1099 I 1 IO_AF2 +bit 1098 O 1 IO_AF2 1097 1 Z +bit 1097 C 1 * +bit 1096 I 1 IO_AF1 +bit 1095 O 1 IO_AF1 1094 1 Z +bit 1094 C 1 * +bit 1093 I 1 IO_AF4 +bit 1092 O 1 IO_AF4 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AE5 +bit 1089 O 1 IO_AE5 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AE3 +bit 1086 O 1 IO_AE3 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_AE2 +bit 1083 O 1 IO_AE2 1082 1 Z +bit 1082 C 1 * +bit 1081 O 1 * +bit 1080 O 1 * +bit 1079 O 1 * +bit 1078 O 1 * +bit 1077 O 1 * +bit 1076 O 1 * +bit 1075 O 1 * +bit 1074 O 1 * +bit 1073 O 1 * +bit 1072 O 1 * +bit 1071 O 1 * +bit 1070 O 1 * +bit 1069 I 1 IO_AD4 +bit 1068 O 1 IO_AD4 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AD3 +bit 1065 O 1 IO_AD3 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_AD2 +bit 1062 O 1 IO_AD2 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AD1 +bit 1059 O 1 IO_AD1 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AD6 +bit 1056 O 1 IO_AD6 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AC7 +bit 1053 O 1 IO_AC7 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AC6 +bit 1050 O 1 IO_AC6 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AC5 +bit 1047 O 1 IO_AC5 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AC4 +bit 1044 O 1 IO_AC4 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AC3 +bit 1041 O 1 IO_AC3 1040 1 Z +bit 1040 C 1 * +bit 1039 O 1 * +bit 1038 O 1 * +bit 1037 O 1 * +bit 1036 I 1 IO_AB6 +bit 1035 O 1 IO_AB6 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AC2 +bit 1032 O 1 IO_AC2 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AC1 +bit 1029 O 1 IO_AC1 1028 1 Z +bit 1028 C 1 * +bit 1027 I 1 IO_AB5 +bit 1026 O 1 IO_AB5 1025 1 Z +bit 1025 C 1 * +bit 1024 I 1 IO_AB4 +bit 1023 O 1 IO_AB4 1022 1 Z +bit 1022 C 1 * +bit 1021 I 1 IO_AB2 +bit 1020 O 1 IO_AB2 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AB1 +bit 1017 O 1 IO_AB1 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AB8 +bit 1014 O 1 IO_AB8 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AA9 +bit 1011 O 1 IO_AA9 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AA7 +bit 1008 O 1 IO_AA7 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AA6 +bit 1005 O 1 IO_AA6 1004 1 Z +bit 1004 C 1 * +bit 1003 O 1 * +bit 1002 O 1 * +bit 1001 O 1 * +bit 1000 O 1 * +bit 999 O 1 * +bit 998 O 1 * +bit 997 I 1 IO_AA3 +bit 996 O 1 IO_AA3 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_AA2 +bit 993 O 1 IO_AA2 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_AA10 +bit 990 O 1 IO_AA10 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_Y10 +bit 987 O 1 IO_Y10 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_Y8 +bit 984 O 1 IO_Y8 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_Y7 +bit 981 O 1 IO_Y7 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_Y6 +bit 978 O 1 IO_Y6 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_Y5 +bit 975 O 1 IO_Y5 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_Y4 +bit 972 O 1 IO_Y4 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_Y3 +bit 969 O 1 IO_Y3 968 1 Z +bit 968 C 1 * +bit 967 O 1 * +bit 966 O 1 * +bit 965 O 1 * +bit 964 O 1 * +bit 963 O 1 * +bit 962 O 1 * +bit 961 I 1 IO_Y2 +bit 960 O 1 IO_Y2 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_Y1 +bit 957 O 1 IO_Y1 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_W9 +bit 954 O 1 IO_W9 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_W8 +bit 951 O 1 IO_W8 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_W7 +bit 948 O 1 IO_W7 947 1 Z +bit 947 C 1 * +bit 946 I 1 IO_W6 +bit 945 O 1 IO_W6 944 1 Z +bit 944 C 1 * +bit 943 I 1 IO_W4 +bit 942 O 1 IO_W4 941 1 Z +bit 941 C 1 * +bit 940 I 1 IO_W3 +bit 939 O 1 IO_W3 938 1 Z +bit 938 C 1 * +bit 937 O 1 * +bit 936 O 1 * +bit 935 O 1 * +bit 934 O 1 * +bit 933 O 1 * +bit 932 O 1 * +bit 931 I 1 IO_W2 +bit 930 O 1 IO_W2 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_W1 +bit 927 O 1 IO_W1 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_W10 +bit 924 O 1 IO_W10 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_V10 +bit 921 O 1 IO_V10 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_V9 +bit 918 O 1 IO_V9 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_V8 +bit 915 O 1 IO_V8 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_W5 +bit 912 O 1 IO_W5 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_V6 +bit 909 O 1 IO_V6 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_V5 +bit 906 O 1 IO_V5 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_V4 +bit 903 O 1 IO_V4 902 1 Z +bit 902 C 1 * +bit 901 O 1 * +bit 900 O 1 * +bit 899 O 1 * +bit 898 O 1 * +bit 897 O 1 * +bit 896 O 1 * +bit 895 I 1 IO_V2 +bit 894 O 1 IO_V2 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_V1 +bit 891 O 1 IO_V1 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_U10 +bit 888 O 1 IO_U10 887 1 Z +bit 887 C 1 * +bit 886 I 1 IO_U9 +bit 885 O 1 IO_U9 884 1 Z +bit 884 C 1 * +bit 883 I 1 IO_U7 +bit 882 O 1 IO_U7 881 1 Z +bit 881 C 1 * +bit 880 I 1 IO_U6 +bit 879 O 1 IO_U6 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_U3 +bit 876 O 1 IO_U3 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_U2 +bit 873 O 1 IO_U2 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_T10 +bit 870 O 1 IO_T10 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_T9 +bit 867 O 1 IO_T9 866 1 Z +bit 866 C 1 * +bit 865 O 1 * +bit 864 O 1 * +bit 863 O 1 * +bit 862 O 1 * +bit 861 O 1 * +bit 860 O 1 * +bit 859 O 1 * +bit 858 O 1 * +bit 857 O 1 * +bit 856 O 1 * +bit 855 O 1 * +bit 854 O 1 * +bit 853 I 1 IO_T8 +bit 852 O 1 IO_T8 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_T7 +bit 849 O 1 IO_T7 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_T6 +bit 846 O 1 IO_T6 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_T5 +bit 843 O 1 IO_T5 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_T4 +bit 840 O 1 IO_T4 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_T3 +bit 837 O 1 IO_T3 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_T2 +bit 834 O 1 IO_T2 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_T1 +bit 831 O 1 IO_T1 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_R1 +bit 828 O 1 IO_R1 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_R2 +bit 825 O 1 IO_R2 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_R3 +bit 822 O 1 IO_R3 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_R4 +bit 819 O 1 IO_R4 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_R5 +bit 816 O 1 IO_R5 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_R6 +bit 813 O 1 IO_R6 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_R7 +bit 810 O 1 IO_R7 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_R8 +bit 807 O 1 IO_R8 806 1 Z +bit 806 C 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 I 1 IO_R9 +bit 792 O 1 IO_R9 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_R10 +bit 789 O 1 IO_R10 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_P2 +bit 786 O 1 IO_P2 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_P3 +bit 783 O 1 IO_P3 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_P6 +bit 780 O 1 IO_P6 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_P7 +bit 777 O 1 IO_P7 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_P9 +bit 774 O 1 IO_P9 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_P10 +bit 771 O 1 IO_P10 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_N1 +bit 768 O 1 IO_N1 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_N2 +bit 765 O 1 IO_N2 764 1 Z +bit 764 C 1 * +bit 763 O 1 * +bit 762 O 1 * +bit 761 O 1 * +bit 760 O 1 * +bit 759 O 1 * +bit 758 O 1 * +bit 757 I 1 IO_N4 +bit 756 O 1 IO_N4 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_N5 +bit 753 O 1 IO_N5 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_N6 +bit 750 O 1 IO_N6 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_M5 +bit 747 O 1 IO_M5 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_N8 +bit 744 O 1 IO_N8 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_N9 +bit 741 O 1 IO_N9 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_N10 +bit 738 O 1 IO_N10 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_M10 +bit 735 O 1 IO_M10 734 1 Z +bit 734 C 1 * +bit 733 I 1 IO_M1 +bit 732 O 1 IO_M1 731 1 Z +bit 731 C 1 * +bit 730 I 1 IO_M2 +bit 729 O 1 IO_M2 728 1 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_M3 +bit 720 O 1 IO_M3 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_M4 +bit 717 O 1 IO_M4 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_M6 +bit 714 O 1 IO_M6 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_M7 +bit 711 O 1 IO_M7 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_M8 +bit 708 O 1 IO_M8 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_M9 +bit 705 O 1 IO_M9 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_L1 +bit 702 O 1 IO_L1 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_L2 +bit 699 O 1 IO_L2 698 1 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 O 1 * +bit 695 O 1 * +bit 694 O 1 * +bit 693 O 1 * +bit 692 O 1 * +bit 691 I 1 IO_L3 +bit 690 O 1 IO_L3 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_L4 +bit 687 O 1 IO_L4 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_L5 +bit 684 O 1 IO_L5 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_L6 +bit 681 O 1 IO_L6 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_L7 +bit 678 O 1 IO_L7 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_L8 +bit 675 O 1 IO_L8 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_L10 +bit 672 O 1 IO_L10 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_K10 +bit 669 O 1 IO_K10 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_K2 +bit 666 O 1 IO_K2 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_K3 +bit 663 O 1 IO_K3 662 1 Z +bit 662 C 1 * +bit 661 O 1 * +bit 660 O 1 * +bit 659 O 1 * +bit 658 O 1 * +bit 657 O 1 * +bit 656 O 1 * +bit 655 I 1 IO_K6 +bit 654 O 1 IO_K6 653 1 Z +bit 653 C 1 * +bit 652 I 1 IO_K7 +bit 651 O 1 IO_K7 650 1 Z +bit 650 C 1 * +bit 649 I 1 IO_K9 +bit 648 O 1 IO_K9 647 1 Z +bit 647 C 1 * +bit 646 I 1 IO_J8 +bit 645 O 1 IO_J8 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_J1 +bit 642 O 1 IO_J1 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_J2 +bit 639 O 1 IO_J2 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_J4 +bit 636 O 1 IO_J4 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_J5 +bit 633 O 1 IO_J5 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_H1 +bit 630 O 1 IO_H1 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_H2 +bit 627 O 1 IO_H2 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_J6 +bit 624 O 1 IO_J6 623 1 Z +bit 623 C 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 O 1 * +bit 619 I 1 IO_H3 +bit 618 O 1 IO_H3 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_H4 +bit 615 O 1 IO_H4 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_H5 +bit 612 O 1 IO_H5 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_H6 +bit 609 O 1 IO_H6 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_H7 +bit 606 O 1 IO_H7 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_G6 +bit 603 O 1 IO_G6 602 1 Z +bit 602 C 1 * +bit 601 I 1 IO_G1 +bit 600 O 1 IO_G1 599 1 Z +bit 599 C 1 * +bit 598 I 1 IO_G2 +bit 597 O 1 IO_G2 596 1 Z +bit 596 C 1 * +bit 595 I 1 IO_G3 +bit 594 O 1 IO_G3 593 1 Z +bit 593 C 1 * +bit 592 I 1 IO_G4 +bit 591 O 1 IO_G4 590 1 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 O 1 * +bit 587 O 1 * +bit 586 O 1 * +bit 585 O 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 I 1 IO_F2 +bit 576 O 1 IO_F2 575 1 Z +bit 575 C 1 * +bit 574 I 1 IO_F3 +bit 573 O 1 IO_F3 572 1 Z +bit 572 C 1 * +bit 571 I 1 IO_F5 +bit 570 O 1 IO_F5 569 1 Z +bit 569 C 1 * +bit 568 I 1 IO_E4 +bit 567 O 1 IO_E4 566 1 Z +bit 566 C 1 * +bit 565 I 1 IO_E1 +bit 564 O 1 IO_E1 563 1 Z +bit 563 C 1 * +bit 562 I 1 IO_E2 +bit 561 O 1 IO_E2 560 1 Z +bit 560 C 1 * +bit 559 I 1 IO_D1 +bit 558 O 1 IO_D1 557 1 Z +bit 557 C 1 * +bit 556 I 1 IO_D2 +bit 555 O 1 IO_D2 554 1 Z +bit 554 C 1 * +bit 553 I 1 IO_D3 +bit 552 O 1 IO_D3 551 1 Z +bit 551 C 1 * +bit 550 I 1 IO_D4 +bit 549 O 1 IO_D4 548 1 Z +bit 548 C 1 * +bit 547 I 1 IO_C1 +bit 546 O 1 IO_C1 545 1 Z +bit 545 C 1 * +bit 544 I 1 IO_C2 +bit 543 O 1 IO_C2 542 1 Z +bit 542 C 1 * +bit 541 O 1 * +bit 540 I 1 HSWAP_EN_A3 +bit 539 I 1 IO_C4 +bit 538 O 1 IO_C4 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_B4 +bit 535 O 1 IO_B4 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_A4 +bit 532 O 1 IO_A4 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_B5 +bit 529 O 1 IO_B5 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_A5 +bit 526 O 1 IO_A5 525 1 Z +bit 525 C 1 * +bit 524 O 1 * +bit 523 O 1 * +bit 522 O 1 * +bit 521 I 1 IO_D5 +bit 520 O 1 IO_D5 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_E6 +bit 517 O 1 IO_E6 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_C6 +bit 514 O 1 IO_C6 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_B6 +bit 511 O 1 IO_B6 510 1 Z +bit 510 C 1 * +bit 509 O 1 * +bit 508 O 1 * +bit 507 O 1 * +bit 506 I 1 IO_F6 +bit 505 O 1 IO_F6 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_F7 +bit 502 O 1 IO_F7 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_D7 +bit 499 O 1 IO_D7 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_C7 +bit 496 O 1 IO_C7 495 1 Z +bit 495 C 1 * +bit 494 O 1 * +bit 493 O 1 * +bit 492 O 1 * +bit 491 I 1 IO_B7 +bit 490 O 1 IO_B7 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_A7 +bit 487 O 1 IO_A7 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_G7 +bit 484 O 1 IO_G7 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_H8 +bit 481 O 1 IO_H8 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_G8 +bit 478 O 1 IO_G8 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_F8 +bit 475 O 1 IO_F8 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_E8 +bit 472 O 1 IO_E8 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_D8 +bit 469 O 1 IO_D8 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_C8 +bit 466 O 1 IO_C8 465 1 Z +bit 465 C 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 I 1 IO_B8 +bit 460 O 1 IO_B8 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_A8 +bit 457 O 1 IO_A8 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_J9 +bit 454 O 1 IO_J9 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_H9 +bit 451 O 1 IO_H9 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_F9 +bit 448 O 1 IO_F9 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_E9 +bit 445 O 1 IO_E9 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_D9 +bit 442 O 1 IO_D9 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_B9 +bit 439 O 1 IO_B9 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_A9 +bit 436 O 1 IO_A9 435 1 Z +bit 435 C 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 I 1 IO_G10 +bit 430 O 1 IO_G10 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_F10 +bit 427 O 1 IO_F10 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_C10 +bit 424 O 1 IO_C10 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_B10 +bit 421 O 1 IO_B10 420 1 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 I 1 IO_J10 +bit 415 O 1 IO_J10 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_K11 +bit 412 O 1 IO_K11 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_H11 +bit 409 O 1 IO_H11 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_G11 +bit 406 O 1 IO_G11 405 1 Z +bit 405 C 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 I 1 IO_F11 +bit 400 O 1 IO_F11 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_E11 +bit 397 O 1 IO_E11 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_D11 +bit 394 O 1 IO_D11 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_C11 +bit 391 O 1 IO_C11 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 I 1 IO_B11 +bit 385 O 1 IO_B11 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_A11 +bit 382 O 1 IO_A11 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_K12 +bit 379 O 1 IO_K12 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_J12 +bit 376 O 1 IO_J12 375 1 Z +bit 375 C 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 IO_H12 +bit 370 O 1 IO_H12 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_G12 +bit 367 O 1 IO_G12 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_F12 +bit 364 O 1 IO_F12 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_E12 +bit 361 O 1 IO_E12 360 1 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 I 1 IO_D12 +bit 355 O 1 IO_D12 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_C12 +bit 352 O 1 IO_C12 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_B12 +bit 349 O 1 IO_B12 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_A12 +bit 346 O 1 IO_A12 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_K13 +bit 343 O 1 IO_K13 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_J13 +bit 340 O 1 IO_J13 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_H13 +bit 337 O 1 IO_H13 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_F13 +bit 334 O 1 IO_F13 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_E13 +bit 331 O 1 IO_E13 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_D13 +bit 328 O 1 IO_D13 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_B13 +bit 325 O 1 IO_B13 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_A13 +bit 322 O 1 IO_A13 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_K14 +bit 319 O 1 IO_K14 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_J14 +bit 316 O 1 IO_J14 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_K15 +bit 313 O 1 IO_K15 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_G14 +bit 310 O 1 IO_G14 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_F14 +bit 307 O 1 IO_F14 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_C14 +bit 304 O 1 IO_C14 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_B14 +bit 301 O 1 IO_B14 300 1 Z +bit 300 C 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 IO_J15 +bit 295 O 1 IO_J15 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_H15 +bit 292 O 1 IO_H15 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_G15 +bit 289 O 1 IO_G15 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_F15 +bit 286 O 1 IO_F15 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_E15 +bit 283 O 1 IO_E15 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_D15 +bit 280 O 1 IO_D15 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_C15 +bit 277 O 1 IO_C15 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_B15 +bit 274 O 1 IO_B15 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_A15 +bit 271 O 1 IO_A15 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_A16 +bit 268 O 1 IO_A16 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B16 +bit 265 O 1 IO_B16 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_C16 +bit 262 O 1 IO_C16 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_D16 +bit 259 O 1 IO_D16 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_E16 +bit 256 O 1 IO_E16 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_F16 +bit 253 O 1 IO_F16 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_G16 +bit 250 O 1 IO_G16 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_H16 +bit 247 O 1 IO_H16 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_J16 +bit 244 O 1 IO_J16 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_K16 +bit 241 O 1 IO_K16 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_J17 +bit 238 O 1 IO_J17 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_B17 +bit 235 O 1 IO_B17 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_C17 +bit 232 O 1 IO_C17 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_F17 +bit 229 O 1 IO_F17 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_G17 +bit 226 O 1 IO_G17 225 1 Z +bit 225 C 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 O 1 * +bit 221 I 1 IO_K17 +bit 220 O 1 IO_K17 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_K18 +bit 217 O 1 IO_K18 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_A18 +bit 214 O 1 IO_A18 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_B18 +bit 211 O 1 IO_B18 210 1 Z +bit 210 C 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 I 1 IO_D18 +bit 205 O 1 IO_D18 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_E18 +bit 202 O 1 IO_E18 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_H18 +bit 199 O 1 IO_H18 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_J18 +bit 196 O 1 IO_J18 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_F18 +bit 193 O 1 IO_F18 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_A19 +bit 190 O 1 IO_A19 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_B19 +bit 187 O 1 IO_B19 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_C19 +bit 184 O 1 IO_C19 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_D19 +bit 181 O 1 IO_D19 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_E19 +bit 175 O 1 IO_E19 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_F19 +bit 172 O 1 IO_F19 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_G19 +bit 169 O 1 IO_G19 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_H19 +bit 166 O 1 IO_H19 165 1 Z +bit 165 C 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 I 1 IO_J19 +bit 160 O 1 IO_J19 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_K19 +bit 157 O 1 IO_K19 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_A20 +bit 154 O 1 IO_A20 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_B20 +bit 151 O 1 IO_B20 150 1 Z +bit 150 C 1 * +bit 149 O 1 * +bit 148 O 1 * +bit 147 O 1 * +bit 146 I 1 IO_C20 +bit 145 O 1 IO_C20 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_D20 +bit 142 O 1 IO_D20 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_E20 +bit 139 O 1 IO_E20 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_F20 +bit 136 O 1 IO_F20 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 IO_G20 +bit 130 O 1 IO_G20 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_H20 +bit 127 O 1 IO_H20 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_B21 +bit 124 O 1 IO_B21 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_C21 +bit 121 O 1 IO_C21 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_K20 +bit 118 O 1 IO_K20 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_F21 +bit 115 O 1 IO_F21 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_G21 +bit 112 O 1 IO_G21 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_A22 +bit 109 O 1 IO_A22 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_B22 +bit 106 O 1 IO_B22 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_J21 +bit 103 O 1 IO_J21 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_D22 +bit 100 O 1 IO_D22 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_E22 +bit 97 O 1 IO_E22 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_F22 +bit 94 O 1 IO_F22 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_E23 +bit 91 O 1 IO_E23 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_H22 +bit 85 O 1 IO_H22 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_J22 +bit 82 O 1 IO_J22 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_A23 +bit 79 O 1 IO_A23 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_B23 +bit 76 O 1 IO_B23 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_C23 +bit 70 O 1 IO_C23 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_D23 +bit 67 O 1 IO_D23 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_F23 +bit 64 O 1 IO_F23 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_G23 +bit 61 O 1 IO_G23 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_H23 +bit 55 O 1 IO_H23 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_G24 +bit 52 O 1 IO_G24 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_A24 +bit 49 O 1 IO_A24 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_B24 +bit 46 O 1 IO_B24 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_C24 +bit 40 O 1 IO_C24 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_D24 +bit 37 O 1 IO_D24 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_F24 +bit 34 O 1 IO_F24 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F25 +bit 31 O 1 IO_F25 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_E25 +bit 28 O 1 IO_E25 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B25 +bit 25 O 1 IO_B25 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C25 +bit 22 O 1 IO_C25 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_A26 +bit 19 O 1 IO_A26 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_B26 +bit 16 O 1 IO_B26 15 1 Z +bit 15 C 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_D26 +bit 10 O 1 IO_D26 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_C27 +bit 7 O 1 IO_C27 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A27 +bit 4 O 1 IO_A27 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B27 +bit 1 O 1 IO_B27 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/STEPPINGS new file mode 100644 index 0000000..75b3e05 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s50 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50 new file mode 100644 index 0000000..f63d797 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50 @@ -0,0 +1,609 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal CCLK_PAD134 +signal DONE_PAD133 +signal HSWAP_EN_PAD25 +signal M0_PAD83 +signal M1_PAD82 +signal M2_PAD84 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD6 +signal IO_PAD8 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD14 +signal IO_PAD16 +signal IO_PAD17 +signal IO_PAD18 +signal IO_PAD19 +signal IO_PAD22 +signal IO_PAD23 +signal IO_PAD24 +signal IO_PAD29 +signal IO_PAD30 +signal IO_PAD32 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD36 +signal IO_PAD37 +signal IO_PAD38 +signal IO_PAD40 +signal IO_PAD42 +signal IO_PAD44 +signal IO_PAD46 +signal IO_PAD47 +signal IO_PAD48 +signal IO_PAD51 +signal IO_PAD53 +signal IO_PAD56 +signal IO_PAD58 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD63 +signal IO_PAD65 +signal IO_PAD67 +signal IO_PAD69 +signal IO_PAD71 +signal IO_PAD72 +signal IO_PAD73 +signal IO_PAD74 +signal IO_PAD75 +signal IO_PAD77 +signal IO_PAD79 +signal IO_PAD80 +signal IO_PAD85 +signal IO_PAD86 +signal IO_PAD89 +signal IO_PAD90 +signal IO_PAD91 +signal IO_PAD92 +signal IO_PAD93 +signal IO_PAD95 +signal IO_PAD97 +signal IO_PAD100 +signal IO_PAD101 +signal IO_PAD103 +signal IO_PAD105 +signal IO_PAD106 +signal IO_PAD107 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD112 +signal IO_PAD114 +signal IO_PAD116 +signal IO_PAD117 +signal IO_PAD118 +signal IO_PAD122 +signal IO_PAD124 +signal IO_PAD125 +signal IO_PAD126 +signal IO_PAD127 +signal IO_PAD130 +signal IO_PAD131 +signal IO_PAD132 +signal IO_PAD137 +signal IO_PAD138 +signal IO_PAD140 +signal IO_PAD142 +signal IO_PAD143 +signal IO_PAD144 +signal IO_PAD145 +signal IO_PAD146 +signal IO_PAD148 +signal IO_PAD150 +signal IO_PAD152 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD156 +signal IO_PAD159 +signal IO_PAD161 +signal IO_PAD164 +signal IO_PAD166 +signal IO_PAD169 +signal IO_PAD170 +signal IO_PAD171 +signal IO_PAD173 +signal IO_PAD175 +signal IO_PAD177 +signal IO_PAD179 +signal IO_PAD180 +signal IO_PAD181 +signal IO_PAD182 +signal IO_PAD183 +signal IO_PAD185 +signal IO_PAD187 +signal IO_PAD188 +signal IO_PAD193 +signal IO_PAD194 +signal IO_PAD197 +signal IO_PAD198 +signal IO_PAD199 +signal IO_PAD200 +signal IO_PAD201 +signal IO_PAD203 +signal IO_PAD205 +signal IO_PAD208 +signal IO_PAD209 +signal IO_PAD211 +signal IO_PAD213 +signal IO_PAD214 + +register BSR 383 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 382 I 1 IO_PAD188 +bit 381 O 1 IO_PAD188 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_PAD187 +bit 378 O 1 IO_PAD187 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_PAD185 +bit 375 O 1 IO_PAD185 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_PAD183 +bit 372 O 1 IO_PAD183 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_PAD182 +bit 369 O 1 IO_PAD182 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_PAD181 +bit 366 O 1 IO_PAD181 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_PAD180 +bit 363 O 1 IO_PAD180 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_PAD179 +bit 360 O 1 IO_PAD179 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_PAD177 +bit 357 O 1 IO_PAD177 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_PAD175 +bit 354 O 1 IO_PAD175 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_PAD173 +bit 351 O 1 IO_PAD173 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_PAD171 +bit 348 O 1 IO_PAD171 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_PAD170 +bit 345 O 1 IO_PAD170 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_PAD169 +bit 342 O 1 IO_PAD169 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_PAD166 +bit 339 O 1 IO_PAD166 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_PAD164 +bit 336 O 1 IO_PAD164 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_PAD161 +bit 333 O 1 IO_PAD161 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_PAD159 +bit 330 O 1 IO_PAD159 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_PAD156 +bit 327 O 1 IO_PAD156 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_PAD155 +bit 324 O 1 IO_PAD155 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_PAD154 +bit 321 O 1 IO_PAD154 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_PAD152 +bit 318 O 1 IO_PAD152 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_PAD150 +bit 315 O 1 IO_PAD150 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_PAD148 +bit 312 O 1 IO_PAD148 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_PAD146 +bit 309 O 1 IO_PAD146 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_PAD145 +bit 306 O 1 IO_PAD145 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_PAD144 +bit 303 O 1 IO_PAD144 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_PAD143 +bit 300 O 1 IO_PAD143 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_PAD142 +bit 297 O 1 IO_PAD142 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_PAD140 +bit 294 O 1 IO_PAD140 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_PAD138 +bit 291 O 1 IO_PAD138 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_PAD137 +bit 288 O 1 IO_PAD137 287 1 Z +bit 287 C 1 * +bit 286 I 1 CCLK_PAD134 +bit 285 O 1 CCLK_PAD134 284 1 Z +bit 284 C 1 * +bit 283 I 1 DONE_PAD133 +bit 282 O 1 DONE_PAD133 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_PAD132 +bit 279 O 1 IO_PAD132 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_PAD131 +bit 276 O 1 IO_PAD131 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_PAD130 +bit 273 O 1 IO_PAD130 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_PAD127 +bit 270 O 1 IO_PAD127 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_PAD126 +bit 267 O 1 IO_PAD126 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_PAD125 +bit 264 O 1 IO_PAD125 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_PAD124 +bit 261 O 1 IO_PAD124 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_PAD122 +bit 258 O 1 IO_PAD122 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_PAD118 +bit 255 O 1 IO_PAD118 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_PAD117 +bit 252 O 1 IO_PAD117 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_PAD116 +bit 249 O 1 IO_PAD116 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_PAD114 +bit 246 O 1 IO_PAD114 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_PAD112 +bit 243 O 1 IO_PAD112 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_PAD111 +bit 240 O 1 IO_PAD111 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_PAD110 +bit 237 O 1 IO_PAD110 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_PAD107 +bit 234 O 1 IO_PAD107 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_PAD106 +bit 231 O 1 IO_PAD106 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_PAD105 +bit 228 O 1 IO_PAD105 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_PAD103 +bit 225 O 1 IO_PAD103 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_PAD101 +bit 222 O 1 IO_PAD101 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_PAD100 +bit 219 O 1 IO_PAD100 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_PAD97 +bit 216 O 1 IO_PAD97 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_PAD95 +bit 213 O 1 IO_PAD95 212 1 Z +bit 212 C 1 * +bit 211 I 1 IO_PAD93 +bit 210 O 1 IO_PAD93 209 1 Z +bit 209 C 1 * +bit 208 I 1 IO_PAD92 +bit 207 O 1 IO_PAD92 206 1 Z +bit 206 C 1 * +bit 205 I 1 IO_PAD91 +bit 204 O 1 IO_PAD91 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_PAD90 +bit 201 O 1 IO_PAD90 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_PAD89 +bit 198 O 1 IO_PAD89 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_PAD86 +bit 195 O 1 IO_PAD86 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_PAD85 +bit 192 O 1 IO_PAD85 191 1 Z +bit 191 C 1 * +bit 190 I 1 M2_PAD84 +bit 189 I 1 M0_PAD83 +bit 188 I 1 M1_PAD82 +bit 187 I 1 IO_PAD80 +bit 186 O 1 IO_PAD80 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_PAD79 +bit 183 O 1 IO_PAD79 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_PAD77 +bit 180 O 1 IO_PAD77 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_PAD75 +bit 177 O 1 IO_PAD75 176 1 Z +bit 176 C 1 * +bit 175 I 1 IO_PAD74 +bit 174 O 1 IO_PAD74 173 1 Z +bit 173 C 1 * +bit 172 I 1 IO_PAD73 +bit 171 O 1 IO_PAD73 170 1 Z +bit 170 C 1 * +bit 169 I 1 IO_PAD72 +bit 168 O 1 IO_PAD72 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_PAD71 +bit 165 O 1 IO_PAD71 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_PAD69 +bit 162 O 1 IO_PAD69 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_PAD67 +bit 159 O 1 IO_PAD67 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_PAD65 +bit 156 O 1 IO_PAD65 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_PAD63 +bit 153 O 1 IO_PAD63 152 1 Z +bit 152 C 1 * +bit 151 I 1 IO_PAD62 +bit 150 O 1 IO_PAD62 149 1 Z +bit 149 C 1 * +bit 148 I 1 IO_PAD61 +bit 147 O 1 IO_PAD61 146 1 Z +bit 146 C 1 * +bit 145 I 1 IO_PAD58 +bit 144 O 1 IO_PAD58 143 1 Z +bit 143 C 1 * +bit 142 I 1 IO_PAD56 +bit 141 O 1 IO_PAD56 140 1 Z +bit 140 C 1 * +bit 139 I 1 IO_PAD53 +bit 138 O 1 IO_PAD53 137 1 Z +bit 137 C 1 * +bit 136 I 1 IO_PAD51 +bit 135 O 1 IO_PAD51 134 1 Z +bit 134 C 1 * +bit 133 I 1 IO_PAD48 +bit 132 O 1 IO_PAD48 131 1 Z +bit 131 C 1 * +bit 130 I 1 IO_PAD47 +bit 129 O 1 IO_PAD47 128 1 Z +bit 128 C 1 * +bit 127 I 1 IO_PAD46 +bit 126 O 1 IO_PAD46 125 1 Z +bit 125 C 1 * +bit 124 I 1 IO_PAD44 +bit 123 O 1 IO_PAD44 122 1 Z +bit 122 C 1 * +bit 121 I 1 IO_PAD42 +bit 120 O 1 IO_PAD42 119 1 Z +bit 119 C 1 * +bit 118 I 1 IO_PAD40 +bit 117 O 1 IO_PAD40 116 1 Z +bit 116 C 1 * +bit 115 I 1 IO_PAD38 +bit 114 O 1 IO_PAD38 113 1 Z +bit 113 C 1 * +bit 112 I 1 IO_PAD37 +bit 111 O 1 IO_PAD37 110 1 Z +bit 110 C 1 * +bit 109 I 1 IO_PAD36 +bit 108 O 1 IO_PAD36 107 1 Z +bit 107 C 1 * +bit 106 I 1 IO_PAD35 +bit 105 O 1 IO_PAD35 104 1 Z +bit 104 C 1 * +bit 103 I 1 IO_PAD34 +bit 102 O 1 IO_PAD34 101 1 Z +bit 101 C 1 * +bit 100 I 1 IO_PAD32 +bit 99 O 1 IO_PAD32 98 1 Z +bit 98 C 1 * +bit 97 I 1 IO_PAD30 +bit 96 O 1 IO_PAD30 95 1 Z +bit 95 C 1 * +bit 94 I 1 IO_PAD29 +bit 93 O 1 IO_PAD29 92 1 Z +bit 92 C 1 * +bit 91 O 1 * +bit 90 I 1 HSWAP_EN_PAD25 +bit 89 I 1 IO_PAD24 +bit 88 O 1 IO_PAD24 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD23 +bit 85 O 1 IO_PAD23 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD22 +bit 82 O 1 IO_PAD22 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD19 +bit 79 O 1 IO_PAD19 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD18 +bit 76 O 1 IO_PAD18 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD17 +bit 73 O 1 IO_PAD17 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD16 +bit 70 O 1 IO_PAD16 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD14 +bit 67 O 1 IO_PAD14 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD10 +bit 64 O 1 IO_PAD10 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD9 +bit 61 O 1 IO_PAD9 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD8 +bit 58 O 1 IO_PAD8 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD6 +bit 55 O 1 IO_PAD6 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD4 +bit 52 O 1 IO_PAD4 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD3 +bit 49 O 1 IO_PAD3 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD2 +bit 46 O 1 IO_PAD2 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD215 +bit 43 O 1 IO_PAD215 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD214 +bit 40 O 1 IO_PAD214 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD213 +bit 37 O 1 IO_PAD213 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD211 +bit 34 O 1 IO_PAD211 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD209 +bit 31 O 1 IO_PAD209 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD208 +bit 28 O 1 IO_PAD208 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD205 +bit 25 O 1 IO_PAD205 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD203 +bit 22 O 1 IO_PAD203 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD201 +bit 19 O 1 IO_PAD201 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD200 +bit 16 O 1 IO_PAD200 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD199 +bit 13 O 1 IO_PAD199 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD198 +bit 10 O 1 IO_PAD198 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD197 +bit 7 O 1 IO_PAD197 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD194 +bit 4 O 1 IO_PAD194 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD193 +bit 1 O 1 IO_PAD193 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_cp132 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_cp132 new file mode 100644 index 0000000..925ae45 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_cp132 @@ -0,0 +1,526 @@ +signal CCLK_P14 +signal DONE_P13 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal HSWAP_EN_B3 +signal M0_N1 +signal M1_M2 +signal M2_P1 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO231 +signal VCCO232 +signal VCCO233 +signal VCCO451 +signal VCCO452 +signal VCCO453 +signal VCCO671 +signal VCCO672 +signal VCCO673 +signal IO_A3 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_B1 +signal IO_B2 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B11 +signal IO_B13 +signal IO_C1 +signal IO_C4 +signal IO_C5 +signal IO_C7 +signal IO_C8 +signal IO_C9 +signal IO_C11 +signal IO_C14 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D12 +signal IO_E1 +signal IO_E2 +signal IO_E3 +signal IO_E12 +signal IO_E13 +signal IO_E14 +signal IO_F2 +signal IO_F3 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_G1 +signal IO_G3 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H12 +signal IO_H14 +signal IO_J1 +signal IO_J2 +signal IO_J3 +signal IO_J12 +signal IO_J13 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_L3 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_M1 +signal IO_M4 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M10 +signal IO_M11 +signal IO_M14 +signal IO_N2 +signal IO_N4 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N12 +signal IO_N13 +signal IO_N14 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 + +register BSR 383 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 382 I 1 IO_D12 +bit 381 O 1 IO_D12 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_C14 +bit 378 O 1 IO_C14 377 1 Z +bit 377 C 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 I 1 IO_E12 +bit 369 O 1 IO_E12 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_E13 +bit 366 O 1 IO_E13 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_E14 +bit 363 O 1 IO_E14 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_F12 +bit 360 O 1 IO_F12 359 1 Z +bit 359 C 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 I 1 IO_F13 +bit 351 O 1 IO_F13 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_F14 +bit 348 O 1 IO_F14 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_G12 +bit 345 O 1 IO_G12 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_G13 +bit 342 O 1 IO_G13 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_G14 +bit 339 O 1 IO_G14 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_H12 +bit 336 O 1 IO_H12 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_H14 +bit 333 O 1 IO_H14 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_J13 +bit 330 O 1 IO_J13 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_J12 +bit 327 O 1 IO_J12 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_K14 +bit 324 O 1 IO_K14 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_K13 +bit 321 O 1 IO_K13 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_K12 +bit 318 O 1 IO_K12 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_L14 +bit 315 O 1 IO_L14 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_L13 +bit 312 O 1 IO_L13 311 1 Z +bit 311 C 1 * +bit 310 O 1 * +bit 309 O 1 * +bit 308 O 1 * +bit 307 O 1 * +bit 306 O 1 * +bit 305 O 1 * +bit 304 I 1 IO_L12 +bit 303 O 1 IO_L12 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_M14 +bit 300 O 1 IO_M14 299 1 Z +bit 299 C 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 I 1 IO_N13 +bit 291 O 1 IO_N13 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_N14 +bit 288 O 1 IO_N14 287 1 Z +bit 287 C 1 * +bit 286 I 1 CCLK_P14 +bit 285 O 1 CCLK_P14 284 1 Z +bit 284 C 1 * +bit 283 I 1 DONE_P13 +bit 282 O 1 DONE_P13 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_N12 +bit 279 O 1 IO_N12 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_P12 +bit 276 O 1 IO_P12 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_M11 +bit 273 O 1 IO_M11 272 1 Z +bit 272 C 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 I 1 IO_M10 +bit 261 O 1 IO_M10 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_N10 +bit 258 O 1 IO_N10 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_N9 +bit 255 O 1 IO_N9 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P9 +bit 252 O 1 IO_P9 251 1 Z +bit 251 C 1 * +bit 250 O 1 * +bit 249 O 1 * +bit 248 O 1 * +bit 247 I 1 IO_M8 +bit 246 O 1 IO_M8 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_N8 +bit 243 O 1 IO_N8 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_P8 +bit 240 O 1 IO_P8 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_M7 +bit 237 O 1 IO_M7 236 1 Z +bit 236 C 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 I 1 IO_P7 +bit 231 O 1 IO_P7 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_P6 +bit 228 O 1 IO_P6 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_M6 +bit 225 O 1 IO_M6 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_P5 +bit 222 O 1 IO_P5 221 1 Z +bit 221 C 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_P4 +bit 216 O 1 IO_P4 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_N4 +bit 213 O 1 IO_N4 212 1 Z +bit 212 C 1 * +bit 211 I 1 IO_M4 +bit 210 O 1 IO_M4 209 1 Z +bit 209 C 1 * +bit 208 I 1 IO_P3 +bit 207 O 1 IO_P3 206 1 Z +bit 206 C 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 O 1 * +bit 201 O 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 I 1 IO_P2 +bit 195 O 1 IO_P2 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_N2 +bit 192 O 1 IO_N2 191 1 Z +bit 191 C 1 * +bit 190 I 1 M2_P1 +bit 189 I 1 M0_N1 +bit 188 I 1 M1_M2 +bit 187 I 1 IO_L3 +bit 186 O 1 IO_L3 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_M1 +bit 183 O 1 IO_M1 182 1 Z +bit 182 C 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 I 1 IO_K3 +bit 174 O 1 IO_K3 173 1 Z +bit 173 C 1 * +bit 172 I 1 IO_K2 +bit 171 O 1 IO_K2 170 1 Z +bit 170 C 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 I 1 IO_K1 +bit 162 O 1 IO_K1 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_J3 +bit 159 O 1 IO_J3 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_J2 +bit 156 O 1 IO_J2 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_J1 +bit 153 O 1 IO_J1 152 1 Z +bit 152 C 1 * +bit 151 I 1 IO_H3 +bit 150 O 1 IO_H3 149 1 Z +bit 149 C 1 * +bit 148 I 1 IO_H2 +bit 147 O 1 IO_H2 146 1 Z +bit 146 C 1 * +bit 145 I 1 IO_H1 +bit 144 O 1 IO_H1 143 1 Z +bit 143 C 1 * +bit 142 I 1 IO_G3 +bit 141 O 1 IO_G3 140 1 Z +bit 140 C 1 * +bit 139 I 1 IO_G1 +bit 138 O 1 IO_G1 137 1 Z +bit 137 C 1 * +bit 136 I 1 IO_F2 +bit 135 O 1 IO_F2 134 1 Z +bit 134 C 1 * +bit 133 I 1 IO_F3 +bit 132 O 1 IO_F3 131 1 Z +bit 131 C 1 * +bit 130 I 1 IO_E1 +bit 129 O 1 IO_E1 128 1 Z +bit 128 C 1 * +bit 127 I 1 IO_E2 +bit 126 O 1 IO_E2 125 1 Z +bit 125 C 1 * +bit 124 I 1 IO_E3 +bit 123 O 1 IO_E3 122 1 Z +bit 122 C 1 * +bit 121 I 1 IO_D1 +bit 120 O 1 IO_D1 119 1 Z +bit 119 C 1 * +bit 118 I 1 IO_D2 +bit 117 O 1 IO_D2 116 1 Z +bit 116 C 1 * +bit 115 I 1 IO_C1 +bit 114 O 1 IO_C1 113 1 Z +bit 113 C 1 * +bit 112 I 1 IO_D3 +bit 111 O 1 IO_D3 110 1 Z +bit 110 C 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 I 1 IO_B2 +bit 96 O 1 IO_B2 95 1 Z +bit 95 C 1 * +bit 94 I 1 IO_B1 +bit 93 O 1 IO_B1 92 1 Z +bit 92 C 1 * +bit 91 O 1 * +bit 90 I 1 HSWAP_EN_B3 +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_A3 +bit 85 O 1 IO_A3 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_C4 +bit 82 O 1 IO_C4 81 1 Z +bit 81 C 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_C5 +bit 70 O 1 IO_C5 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B5 +bit 67 O 1 IO_B5 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_B6 +bit 64 O 1 IO_B6 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_A6 +bit 61 O 1 IO_A6 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_C7 +bit 55 O 1 IO_C7 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B7 +bit 52 O 1 IO_B7 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_A7 +bit 49 O 1 IO_A7 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_C8 +bit 46 O 1 IO_C8 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_A8 +bit 40 O 1 IO_A8 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_A9 +bit 37 O 1 IO_A9 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_C9 +bit 34 O 1 IO_C9 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_A10 +bit 31 O 1 IO_A10 30 1 Z +bit 30 C 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 I 1 IO_A11 +bit 25 O 1 IO_A11 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_B11 +bit 22 O 1 IO_B11 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_C11 +bit 19 O 1 IO_C11 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_A12 +bit 16 O 1 IO_A12 15 1 Z +bit 15 C 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_A13 +bit 4 O 1 IO_A13 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B13 +bit 1 O 1 IO_B13 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_pq208 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_pq208 new file mode 100644 index 0000000..05929fe --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_pq208 @@ -0,0 +1,585 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal CCLK_P104 +signal DONE_P103 +signal HSWAP_EN_P206 +signal M0_P55 +signal M1_P54 +signal M2_P56 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO01 +signal VCCO02 +signal VCCO11 +signal VCCO12 +signal VCCO21 +signal VCCO22 +signal VCCO31 +signal VCCO32 +signal VCCO41 +signal VCCO42 +signal VCCO51 +signal VCCO52 +signal VCCO61 +signal VCCO62 +signal VCCO71 +signal VCCO72 +signal IO_P2 +signal IO_P3 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P15 +signal IO_P16 +signal IO_P18 +signal IO_P19 +signal IO_P20 +signal IO_P21 +signal IO_P26 +signal IO_P27 +signal IO_P28 +signal IO_P29 +signal IO_P34 +signal IO_P35 +signal IO_P36 +signal IO_P37 +signal IO_P39 +signal IO_P40 +signal IO_P42 +signal IO_P43 +signal IO_P44 +signal IO_P45 +signal IO_P46 +signal IO_P48 +signal IO_P51 +signal IO_P52 +signal IO_P57 +signal IO_P58 +signal IO_P61 +signal IO_P62 +signal IO_P63 +signal IO_P64 +signal IO_P65 +signal IO_P67 +signal IO_P68 +signal IO_P71 +signal IO_P72 +signal IO_P74 +signal IO_P76 +signal IO_P77 +signal IO_P78 +signal IO_P79 +signal IO_P80 +signal IO_P81 +signal IO_P83 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P90 +signal IO_P92 +signal IO_P93 +signal IO_P94 +signal IO_P95 +signal IO_P100 +signal IO_P101 +signal IO_P102 +signal IO_P106 +signal IO_P107 +signal IO_P111 +signal IO_P113 +signal IO_P114 +signal IO_P115 +signal IO_P116 +signal IO_P117 +signal IO_P119 +signal IO_P120 +signal IO_P122 +signal IO_P123 +signal IO_P124 +signal IO_P125 +signal IO_P130 +signal IO_P131 +signal IO_P132 +signal IO_P133 +signal IO_P138 +signal IO_P139 +signal IO_P140 +signal IO_P141 +signal IO_P143 +signal IO_P144 +signal IO_P146 +signal IO_P147 +signal IO_P148 +signal IO_P149 +signal IO_P150 +signal IO_P152 +signal IO_P155 +signal IO_P156 +signal IO_P161 +signal IO_P162 +signal IO_P165 +signal IO_P166 +signal IO_P167 +signal IO_P168 +signal IO_P169 +signal IO_P171 +signal IO_P172 +signal IO_P175 +signal IO_P176 +signal IO_P178 +signal IO_P180 +signal IO_P181 +signal IO_P182 +signal IO_P183 +signal IO_P184 +signal IO_P185 +signal IO_P187 +signal IO_P189 +signal IO_P190 +signal IO_P191 +signal IO_P194 +signal IO_P196 +signal IO_P197 +signal IO_P198 +signal IO_P199 +signal IO_P203 +signal IO_P204 + +register BSR 383 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 382 I 1 IO_P156 +bit 381 O 1 IO_P156 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P155 +bit 378 O 1 IO_P155 377 1 Z +bit 377 C 1 * +bit 376 I 1 IO_P152 +bit 375 O 1 IO_P152 374 1 Z +bit 374 C 1 * +bit 373 I 1 IO_P150 +bit 372 O 1 IO_P150 371 1 Z +bit 371 C 1 * +bit 370 I 1 IO_P149 +bit 369 O 1 IO_P149 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_P148 +bit 366 O 1 IO_P148 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P147 +bit 363 O 1 IO_P147 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P146 +bit 360 O 1 IO_P146 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_P144 +bit 357 O 1 IO_P144 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_P143 +bit 354 O 1 IO_P143 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_P141 +bit 351 O 1 IO_P141 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_P140 +bit 348 O 1 IO_P140 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_P139 +bit 345 O 1 IO_P139 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_P138 +bit 342 O 1 IO_P138 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_P133 +bit 339 O 1 IO_P133 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_P132 +bit 336 O 1 IO_P132 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_P131 +bit 333 O 1 IO_P131 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_P130 +bit 330 O 1 IO_P130 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_P125 +bit 327 O 1 IO_P125 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_P124 +bit 324 O 1 IO_P124 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_P123 +bit 321 O 1 IO_P123 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_P122 +bit 318 O 1 IO_P122 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_P120 +bit 315 O 1 IO_P120 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_P119 +bit 312 O 1 IO_P119 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_P117 +bit 309 O 1 IO_P117 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_P116 +bit 306 O 1 IO_P116 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_P115 +bit 303 O 1 IO_P115 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_P114 +bit 300 O 1 IO_P114 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_P113 +bit 297 O 1 IO_P113 296 1 Z +bit 296 C 1 * +bit 295 I 1 IO_P111 +bit 294 O 1 IO_P111 293 1 Z +bit 293 C 1 * +bit 292 I 1 IO_P107 +bit 291 O 1 IO_P107 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_P106 +bit 288 O 1 IO_P106 287 1 Z +bit 287 C 1 * +bit 286 I 1 CCLK_P104 +bit 285 O 1 CCLK_P104 284 1 Z +bit 284 C 1 * +bit 283 I 1 DONE_P103 +bit 282 O 1 DONE_P103 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_P102 +bit 279 O 1 IO_P102 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_P101 +bit 276 O 1 IO_P101 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_P100 +bit 273 O 1 IO_P100 272 1 Z +bit 272 C 1 * +bit 271 I 1 IO_P95 +bit 270 O 1 IO_P95 269 1 Z +bit 269 C 1 * +bit 268 I 1 IO_P94 +bit 267 O 1 IO_P94 266 1 Z +bit 266 C 1 * +bit 265 I 1 IO_P93 +bit 264 O 1 IO_P93 263 1 Z +bit 263 C 1 * +bit 262 I 1 IO_P92 +bit 261 O 1 IO_P92 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_P90 +bit 258 O 1 IO_P90 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_P87 +bit 255 O 1 IO_P87 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P86 +bit 252 O 1 IO_P86 251 1 Z +bit 251 C 1 * +bit 250 I 1 IO_P85 +bit 249 O 1 IO_P85 248 1 Z +bit 248 C 1 * +bit 247 I 1 IO_P83 +bit 246 O 1 IO_P83 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P81 +bit 243 O 1 IO_P81 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_P80 +bit 240 O 1 IO_P80 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_P79 +bit 237 O 1 IO_P79 236 1 Z +bit 236 C 1 * +bit 235 I 1 IO_P78 +bit 234 O 1 IO_P78 233 1 Z +bit 233 C 1 * +bit 232 I 1 IO_P77 +bit 231 O 1 IO_P77 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_P76 +bit 228 O 1 IO_P76 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_P74 +bit 225 O 1 IO_P74 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_P72 +bit 222 O 1 IO_P72 221 1 Z +bit 221 C 1 * +bit 220 I 1 IO_P71 +bit 219 O 1 IO_P71 218 1 Z +bit 218 C 1 * +bit 217 I 1 IO_P68 +bit 216 O 1 IO_P68 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_P67 +bit 213 O 1 IO_P67 212 1 Z +bit 212 C 1 * +bit 211 I 1 IO_P65 +bit 210 O 1 IO_P65 209 1 Z +bit 209 C 1 * +bit 208 I 1 IO_P64 +bit 207 O 1 IO_P64 206 1 Z +bit 206 C 1 * +bit 205 I 1 IO_P63 +bit 204 O 1 IO_P63 203 1 Z +bit 203 C 1 * +bit 202 I 1 IO_P62 +bit 201 O 1 IO_P62 200 1 Z +bit 200 C 1 * +bit 199 I 1 IO_P61 +bit 198 O 1 IO_P61 197 1 Z +bit 197 C 1 * +bit 196 I 1 IO_P58 +bit 195 O 1 IO_P58 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_P57 +bit 192 O 1 IO_P57 191 1 Z +bit 191 C 1 * +bit 190 I 1 M2_P56 +bit 189 I 1 M0_P55 +bit 188 I 1 M1_P54 +bit 187 I 1 IO_P52 +bit 186 O 1 IO_P52 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_P51 +bit 183 O 1 IO_P51 182 1 Z +bit 182 C 1 * +bit 181 I 1 IO_P48 +bit 180 O 1 IO_P48 179 1 Z +bit 179 C 1 * +bit 178 I 1 IO_P46 +bit 177 O 1 IO_P46 176 1 Z +bit 176 C 1 * +bit 175 I 1 IO_P45 +bit 174 O 1 IO_P45 173 1 Z +bit 173 C 1 * +bit 172 I 1 IO_P44 +bit 171 O 1 IO_P44 170 1 Z +bit 170 C 1 * +bit 169 I 1 IO_P43 +bit 168 O 1 IO_P43 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_P42 +bit 165 O 1 IO_P42 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_P40 +bit 162 O 1 IO_P40 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_P39 +bit 159 O 1 IO_P39 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_P37 +bit 156 O 1 IO_P37 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_P36 +bit 153 O 1 IO_P36 152 1 Z +bit 152 C 1 * +bit 151 I 1 IO_P35 +bit 150 O 1 IO_P35 149 1 Z +bit 149 C 1 * +bit 148 I 1 IO_P34 +bit 147 O 1 IO_P34 146 1 Z +bit 146 C 1 * +bit 145 I 1 IO_P29 +bit 144 O 1 IO_P29 143 1 Z +bit 143 C 1 * +bit 142 I 1 IO_P28 +bit 141 O 1 IO_P28 140 1 Z +bit 140 C 1 * +bit 139 I 1 IO_P27 +bit 138 O 1 IO_P27 137 1 Z +bit 137 C 1 * +bit 136 I 1 IO_P26 +bit 135 O 1 IO_P26 134 1 Z +bit 134 C 1 * +bit 133 I 1 IO_P21 +bit 132 O 1 IO_P21 131 1 Z +bit 131 C 1 * +bit 130 I 1 IO_P20 +bit 129 O 1 IO_P20 128 1 Z +bit 128 C 1 * +bit 127 I 1 IO_P19 +bit 126 O 1 IO_P19 125 1 Z +bit 125 C 1 * +bit 124 I 1 IO_P18 +bit 123 O 1 IO_P18 122 1 Z +bit 122 C 1 * +bit 121 I 1 IO_P16 +bit 120 O 1 IO_P16 119 1 Z +bit 119 C 1 * +bit 118 I 1 IO_P15 +bit 117 O 1 IO_P15 116 1 Z +bit 116 C 1 * +bit 115 I 1 IO_P13 +bit 114 O 1 IO_P13 113 1 Z +bit 113 C 1 * +bit 112 I 1 IO_P12 +bit 111 O 1 IO_P12 110 1 Z +bit 110 C 1 * +bit 109 I 1 IO_P11 +bit 108 O 1 IO_P11 107 1 Z +bit 107 C 1 * +bit 106 I 1 IO_P10 +bit 105 O 1 IO_P10 104 1 Z +bit 104 C 1 * +bit 103 I 1 IO_P9 +bit 102 O 1 IO_P9 101 1 Z +bit 101 C 1 * +bit 100 I 1 IO_P7 +bit 99 O 1 IO_P7 98 1 Z +bit 98 C 1 * +bit 97 I 1 IO_P3 +bit 96 O 1 IO_P3 95 1 Z +bit 95 C 1 * +bit 94 I 1 IO_P2 +bit 93 O 1 IO_P2 92 1 Z +bit 92 C 1 * +bit 91 O 1 * +bit 90 I 1 HSWAP_EN_P206 +bit 89 I 1 IO_P205 +bit 88 O 1 IO_P205 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_P204 +bit 85 O 1 IO_P204 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_P203 +bit 82 O 1 IO_P203 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_P199 +bit 79 O 1 IO_P199 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_P198 +bit 76 O 1 IO_P198 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_P197 +bit 73 O 1 IO_P197 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_P196 +bit 70 O 1 IO_P196 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P194 +bit 67 O 1 IO_P194 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P191 +bit 64 O 1 IO_P191 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_P190 +bit 61 O 1 IO_P190 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_P189 +bit 58 O 1 IO_P189 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_P187 +bit 55 O 1 IO_P187 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_P185 +bit 52 O 1 IO_P185 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_P184 +bit 49 O 1 IO_P184 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_P183 +bit 46 O 1 IO_P183 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_P182 +bit 43 O 1 IO_P182 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_P181 +bit 40 O 1 IO_P181 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_P180 +bit 37 O 1 IO_P180 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_P178 +bit 34 O 1 IO_P178 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_P176 +bit 31 O 1 IO_P176 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_P175 +bit 28 O 1 IO_P175 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_P172 +bit 25 O 1 IO_P172 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_P171 +bit 22 O 1 IO_P171 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_P169 +bit 19 O 1 IO_P169 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_P168 +bit 16 O 1 IO_P168 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_P167 +bit 13 O 1 IO_P167 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_P166 +bit 10 O 1 IO_P166 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_P165 +bit 7 O 1 IO_P165 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_P162 +bit 4 O 1 IO_P162 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P161 +bit 1 O 1 IO_P161 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_tq144 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_tq144 new file mode 100644 index 0000000..77aa546 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_tq144 @@ -0,0 +1,538 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal CCLK_P72 +signal DONE_P71 +signal HSWAP_EN_P142 +signal M0_P38 +signal M1_P37 +signal M2_P39 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO231 +signal VCCO232 +signal VCCO233 +signal VCCO451 +signal VCCO452 +signal VCCO453 +signal VCCO671 +signal VCCO672 +signal VCCO673 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P10 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P17 +signal IO_P18 +signal IO_P20 +signal IO_P21 +signal IO_P23 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_P27 +signal IO_P28 +signal IO_P30 +signal IO_P31 +signal IO_P32 +signal IO_P33 +signal IO_P35 +signal IO_P36 +signal IO_P40 +signal IO_P41 +signal IO_P44 +signal IO_P46 +signal IO_P47 +signal IO_P50 +signal IO_P51 +signal IO_P52 +signal IO_P53 +signal IO_P55 +signal IO_P56 +signal IO_P57 +signal IO_P58 +signal IO_P59 +signal IO_P60 +signal IO_P63 +signal IO_P65 +signal IO_P68 +signal IO_P69 +signal IO_P70 +signal IO_P73 +signal IO_P74 +signal IO_P76 +signal IO_P77 +signal IO_P78 +signal IO_P79 +signal IO_P80 +signal IO_P82 +signal IO_P83 +signal IO_P84 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P89 +signal IO_P90 +signal IO_P92 +signal IO_P93 +signal IO_P95 +signal IO_P96 +signal IO_P97 +signal IO_P98 +signal IO_P99 +signal IO_P100 +signal IO_P102 +signal IO_P103 +signal IO_P104 +signal IO_P105 +signal IO_P107 +signal IO_P108 +signal IO_P112 +signal IO_P113 +signal IO_P116 +signal IO_P118 +signal IO_P119 +signal IO_P122 +signal IO_P123 +signal IO_P124 +signal IO_P125 +signal IO_P127 +signal IO_P128 +signal IO_P129 +signal IO_P130 +signal IO_P131 +signal IO_P132 +signal IO_P135 +signal IO_P137 +signal IO_P140 + +register BSR 383 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 382 I 1 IO_P108 +bit 381 O 1 IO_P108 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P107 +bit 378 O 1 IO_P107 377 1 Z +bit 377 C 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 I 1 IO_P105 +bit 369 O 1 IO_P105 368 1 Z +bit 368 C 1 * +bit 367 I 1 IO_P104 +bit 366 O 1 IO_P104 365 1 Z +bit 365 C 1 * +bit 364 I 1 IO_P103 +bit 363 O 1 IO_P103 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P102 +bit 360 O 1 IO_P102 359 1 Z +bit 359 C 1 * +bit 358 I 1 IO_P100 +bit 357 O 1 IO_P100 356 1 Z +bit 356 C 1 * +bit 355 I 1 IO_P99 +bit 354 O 1 IO_P99 353 1 Z +bit 353 C 1 * +bit 352 I 1 IO_P98 +bit 351 O 1 IO_P98 350 1 Z +bit 350 C 1 * +bit 349 I 1 IO_P97 +bit 348 O 1 IO_P97 347 1 Z +bit 347 C 1 * +bit 346 I 1 IO_P96 +bit 345 O 1 IO_P96 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_P95 +bit 342 O 1 IO_P95 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_P93 +bit 339 O 1 IO_P93 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_P92 +bit 336 O 1 IO_P92 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_P90 +bit 333 O 1 IO_P90 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_P89 +bit 330 O 1 IO_P89 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_P87 +bit 327 O 1 IO_P87 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_P86 +bit 324 O 1 IO_P86 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_P85 +bit 321 O 1 IO_P85 320 1 Z +bit 320 C 1 * +bit 319 I 1 IO_P84 +bit 318 O 1 IO_P84 317 1 Z +bit 317 C 1 * +bit 316 I 1 IO_P83 +bit 315 O 1 IO_P83 314 1 Z +bit 314 C 1 * +bit 313 I 1 IO_P82 +bit 312 O 1 IO_P82 311 1 Z +bit 311 C 1 * +bit 310 I 1 IO_P80 +bit 309 O 1 IO_P80 308 1 Z +bit 308 C 1 * +bit 307 I 1 IO_P79 +bit 306 O 1 IO_P79 305 1 Z +bit 305 C 1 * +bit 304 I 1 IO_P78 +bit 303 O 1 IO_P78 302 1 Z +bit 302 C 1 * +bit 301 I 1 IO_P77 +bit 300 O 1 IO_P77 299 1 Z +bit 299 C 1 * +bit 298 I 1 IO_P76 +bit 297 O 1 IO_P76 296 1 Z +bit 296 C 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 I 1 IO_P74 +bit 291 O 1 IO_P74 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_P73 +bit 288 O 1 IO_P73 287 1 Z +bit 287 C 1 * +bit 286 I 1 CCLK_P72 +bit 285 O 1 CCLK_P72 284 1 Z +bit 284 C 1 * +bit 283 I 1 DONE_P71 +bit 282 O 1 DONE_P71 281 1 Z +bit 281 C 1 * +bit 280 I 1 IO_P70 +bit 279 O 1 IO_P70 278 1 Z +bit 278 C 1 * +bit 277 I 1 IO_P69 +bit 276 O 1 IO_P69 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_P68 +bit 273 O 1 IO_P68 272 1 Z +bit 272 C 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 I 1 IO_P65 +bit 261 O 1 IO_P65 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_P63 +bit 258 O 1 IO_P63 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_P60 +bit 255 O 1 IO_P60 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P59 +bit 252 O 1 IO_P59 251 1 Z +bit 251 C 1 * +bit 250 O 1 * +bit 249 O 1 * +bit 248 O 1 * +bit 247 I 1 IO_P58 +bit 246 O 1 IO_P58 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P57 +bit 243 O 1 IO_P57 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_P56 +bit 240 O 1 IO_P56 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_P55 +bit 237 O 1 IO_P55 236 1 Z +bit 236 C 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 I 1 IO_P53 +bit 231 O 1 IO_P53 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_P52 +bit 228 O 1 IO_P52 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_P51 +bit 225 O 1 IO_P51 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_P50 +bit 222 O 1 IO_P50 221 1 Z +bit 221 C 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_P47 +bit 216 O 1 IO_P47 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_P46 +bit 213 O 1 IO_P46 212 1 Z +bit 212 C 1 * +bit 211 I 1 IO_P44 +bit 210 O 1 IO_P44 209 1 Z +bit 209 C 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 O 1 * +bit 201 O 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 I 1 IO_P41 +bit 195 O 1 IO_P41 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_P40 +bit 192 O 1 IO_P40 191 1 Z +bit 191 C 1 * +bit 190 I 1 M2_P39 +bit 189 I 1 M0_P38 +bit 188 I 1 M1_P37 +bit 187 I 1 IO_P36 +bit 186 O 1 IO_P36 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_P35 +bit 183 O 1 IO_P35 182 1 Z +bit 182 C 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 I 1 IO_P33 +bit 174 O 1 IO_P33 173 1 Z +bit 173 C 1 * +bit 172 I 1 IO_P32 +bit 171 O 1 IO_P32 170 1 Z +bit 170 C 1 * +bit 169 I 1 IO_P31 +bit 168 O 1 IO_P31 167 1 Z +bit 167 C 1 * +bit 166 I 1 IO_P30 +bit 165 O 1 IO_P30 164 1 Z +bit 164 C 1 * +bit 163 I 1 IO_P28 +bit 162 O 1 IO_P28 161 1 Z +bit 161 C 1 * +bit 160 I 1 IO_P27 +bit 159 O 1 IO_P27 158 1 Z +bit 158 C 1 * +bit 157 I 1 IO_P26 +bit 156 O 1 IO_P26 155 1 Z +bit 155 C 1 * +bit 154 I 1 IO_P25 +bit 153 O 1 IO_P25 152 1 Z +bit 152 C 1 * +bit 151 I 1 IO_P24 +bit 150 O 1 IO_P24 149 1 Z +bit 149 C 1 * +bit 148 I 1 IO_P23 +bit 147 O 1 IO_P23 146 1 Z +bit 146 C 1 * +bit 145 I 1 IO_P21 +bit 144 O 1 IO_P21 143 1 Z +bit 143 C 1 * +bit 142 I 1 IO_P20 +bit 141 O 1 IO_P20 140 1 Z +bit 140 C 1 * +bit 139 I 1 IO_P18 +bit 138 O 1 IO_P18 137 1 Z +bit 137 C 1 * +bit 136 I 1 IO_P17 +bit 135 O 1 IO_P17 134 1 Z +bit 134 C 1 * +bit 133 I 1 IO_P15 +bit 132 O 1 IO_P15 131 1 Z +bit 131 C 1 * +bit 130 I 1 IO_P14 +bit 129 O 1 IO_P14 128 1 Z +bit 128 C 1 * +bit 127 I 1 IO_P13 +bit 126 O 1 IO_P13 125 1 Z +bit 125 C 1 * +bit 124 I 1 IO_P12 +bit 123 O 1 IO_P12 122 1 Z +bit 122 C 1 * +bit 121 I 1 IO_P11 +bit 120 O 1 IO_P11 119 1 Z +bit 119 C 1 * +bit 118 I 1 IO_P10 +bit 117 O 1 IO_P10 116 1 Z +bit 116 C 1 * +bit 115 I 1 IO_P8 +bit 114 O 1 IO_P8 113 1 Z +bit 113 C 1 * +bit 112 I 1 IO_P7 +bit 111 O 1 IO_P7 110 1 Z +bit 110 C 1 * +bit 109 I 1 IO_P6 +bit 108 O 1 IO_P6 107 1 Z +bit 107 C 1 * +bit 106 I 1 IO_P5 +bit 105 O 1 IO_P5 104 1 Z +bit 104 C 1 * +bit 103 I 1 IO_P4 +bit 102 O 1 IO_P4 101 1 Z +bit 101 C 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 I 1 IO_P2 +bit 96 O 1 IO_P2 95 1 Z +bit 95 C 1 * +bit 94 I 1 IO_P1 +bit 93 O 1 IO_P1 92 1 Z +bit 92 C 1 * +bit 91 O 1 * +bit 90 I 1 HSWAP_EN_P142 +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_P141 +bit 85 O 1 IO_P141 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_P140 +bit 82 O 1 IO_P140 81 1 Z +bit 81 C 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_P137 +bit 70 O 1 IO_P137 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_P135 +bit 67 O 1 IO_P135 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_P132 +bit 64 O 1 IO_P132 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_P131 +bit 61 O 1 IO_P131 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_P130 +bit 55 O 1 IO_P130 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_P129 +bit 52 O 1 IO_P129 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_P128 +bit 49 O 1 IO_P128 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_P127 +bit 46 O 1 IO_P127 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_P125 +bit 40 O 1 IO_P125 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_P124 +bit 37 O 1 IO_P124 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_P123 +bit 34 O 1 IO_P123 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_P122 +bit 31 O 1 IO_P122 30 1 Z +bit 30 C 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 I 1 IO_P119 +bit 25 O 1 IO_P119 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_P118 +bit 22 O 1 IO_P118 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_P116 +bit 19 O 1 IO_P116 18 1 Z +bit 18 C 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_P113 +bit 4 O 1 IO_P113 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P112 +bit 1 O 1 IO_P112 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_vq100 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_vq100 new file mode 100644 index 0000000..f9ac204 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s50/xc3s50_vq100 @@ -0,0 +1,494 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal CCLK_P52 +signal DONE_P51 +signal HSWAP_EN_P98 +signal M0_P25 +signal M1_P24 +signal M2_P26 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCO0 +signal VCCO1 +signal VCCO2 +signal VCCO3 +signal VCCO4 +signal VCCO5 +signal VCCO6 +signal VCCO7 +signal IO_P1 +signal IO_P2 +signal IO_P4 +signal IO_P5 +signal IO_P8 +signal IO_P9 +signal IO_P11 +signal IO_P12 +signal IO_P13 +signal IO_P14 +signal IO_P15 +signal IO_P16 +signal IO_P17 +signal IO_P21 +signal IO_P22 +signal IO_P23 +signal IO_P27 +signal IO_P28 +signal IO_P30 +signal IO_P32 +signal IO_P34 +signal IO_P35 +signal IO_P36 +signal IO_P37 +signal IO_P38 +signal IO_P39 +signal IO_P40 +signal IO_P42 +signal IO_P43 +signal IO_P44 +signal IO_P47 +signal IO_P48 +signal IO_P49 +signal IO_P50 +signal IO_P53 +signal IO_P54 +signal IO_P55 +signal IO_P59 +signal IO_P60 +signal IO_P61 +signal IO_P62 +signal IO_P63 +signal IO_P64 +signal IO_P65 +signal IO_P67 +signal IO_P68 +signal IO_P71 +signal IO_P72 +signal IO_P74 +signal IO_P75 +signal IO_P79 +signal IO_P80 +signal IO_P81 +signal IO_P85 +signal IO_P86 +signal IO_P87 +signal IO_P88 +signal IO_P89 +signal IO_P90 +signal IO_P91 +signal IO_P92 +signal IO_P96 + +register BSR 383 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 382 I 1 IO_P75 +bit 381 O 1 IO_P75 380 1 Z +bit 380 C 1 * +bit 379 I 1 IO_P74 +bit 378 O 1 IO_P74 377 1 Z +bit 377 C 1 * +bit 376 O 1 * +bit 375 O 1 * +bit 374 O 1 * +bit 373 O 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 O 1 * +bit 367 O 1 * +bit 366 O 1 * +bit 365 O 1 * +bit 364 I 1 IO_P72 +bit 363 O 1 IO_P72 362 1 Z +bit 362 C 1 * +bit 361 I 1 IO_P71 +bit 360 O 1 IO_P71 359 1 Z +bit 359 C 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 O 1 * +bit 355 O 1 * +bit 354 O 1 * +bit 353 O 1 * +bit 352 O 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 I 1 IO_P68 +bit 345 O 1 IO_P68 344 1 Z +bit 344 C 1 * +bit 343 I 1 IO_P67 +bit 342 O 1 IO_P67 341 1 Z +bit 341 C 1 * +bit 340 I 1 IO_P65 +bit 339 O 1 IO_P65 338 1 Z +bit 338 C 1 * +bit 337 I 1 IO_P64 +bit 336 O 1 IO_P64 335 1 Z +bit 335 C 1 * +bit 334 I 1 IO_P63 +bit 333 O 1 IO_P63 332 1 Z +bit 332 C 1 * +bit 331 I 1 IO_P62 +bit 330 O 1 IO_P62 329 1 Z +bit 329 C 1 * +bit 328 I 1 IO_P61 +bit 327 O 1 IO_P61 326 1 Z +bit 326 C 1 * +bit 325 I 1 IO_P60 +bit 324 O 1 IO_P60 323 1 Z +bit 323 C 1 * +bit 322 I 1 IO_P59 +bit 321 O 1 IO_P59 320 1 Z +bit 320 C 1 * +bit 319 O 1 * +bit 318 O 1 * +bit 317 O 1 * +bit 316 O 1 * +bit 315 O 1 * +bit 314 O 1 * +bit 313 O 1 * +bit 312 O 1 * +bit 311 O 1 * +bit 310 O 1 * +bit 309 O 1 * +bit 308 O 1 * +bit 307 O 1 * +bit 306 O 1 * +bit 305 O 1 * +bit 304 I 1 IO_P55 +bit 303 O 1 IO_P55 302 1 Z +bit 302 C 1 * +bit 301 O 1 * +bit 300 O 1 * +bit 299 O 1 * +bit 298 O 1 * +bit 297 O 1 * +bit 296 O 1 * +bit 295 O 1 * +bit 294 O 1 * +bit 293 O 1 * +bit 292 I 1 IO_P54 +bit 291 O 1 IO_P54 290 1 Z +bit 290 C 1 * +bit 289 I 1 IO_P53 +bit 288 O 1 IO_P53 287 1 Z +bit 287 C 1 * +bit 286 I 1 CCLK_P52 +bit 285 O 1 CCLK_P52 284 1 Z +bit 284 C 1 * +bit 283 I 1 DONE_P51 +bit 282 O 1 DONE_P51 281 1 Z +bit 281 C 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 I 1 IO_P50 +bit 276 O 1 IO_P50 275 1 Z +bit 275 C 1 * +bit 274 I 1 IO_P49 +bit 273 O 1 IO_P49 272 1 Z +bit 272 C 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 O 1 * +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 I 1 IO_P48 +bit 261 O 1 IO_P48 260 1 Z +bit 260 C 1 * +bit 259 I 1 IO_P47 +bit 258 O 1 IO_P47 257 1 Z +bit 257 C 1 * +bit 256 I 1 IO_P44 +bit 255 O 1 IO_P44 254 1 Z +bit 254 C 1 * +bit 253 I 1 IO_P43 +bit 252 O 1 IO_P43 251 1 Z +bit 251 C 1 * +bit 250 O 1 * +bit 249 O 1 * +bit 248 O 1 * +bit 247 I 1 IO_P42 +bit 246 O 1 IO_P42 245 1 Z +bit 245 C 1 * +bit 244 I 1 IO_P40 +bit 243 O 1 IO_P40 242 1 Z +bit 242 C 1 * +bit 241 I 1 IO_P39 +bit 240 O 1 IO_P39 239 1 Z +bit 239 C 1 * +bit 238 I 1 IO_P38 +bit 237 O 1 IO_P38 236 1 Z +bit 236 C 1 * +bit 235 O 1 * +bit 234 O 1 * +bit 233 O 1 * +bit 232 I 1 IO_P37 +bit 231 O 1 IO_P37 230 1 Z +bit 230 C 1 * +bit 229 I 1 IO_P36 +bit 228 O 1 IO_P36 227 1 Z +bit 227 C 1 * +bit 226 I 1 IO_P35 +bit 225 O 1 IO_P35 224 1 Z +bit 224 C 1 * +bit 223 I 1 IO_P34 +bit 222 O 1 IO_P34 221 1 Z +bit 221 C 1 * +bit 220 O 1 * +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IO_P32 +bit 216 O 1 IO_P32 215 1 Z +bit 215 C 1 * +bit 214 I 1 IO_P30 +bit 213 O 1 IO_P30 212 1 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 O 1 * +bit 202 O 1 * +bit 201 O 1 * +bit 200 O 1 * +bit 199 O 1 * +bit 198 O 1 * +bit 197 O 1 * +bit 196 I 1 IO_P28 +bit 195 O 1 IO_P28 194 1 Z +bit 194 C 1 * +bit 193 I 1 IO_P27 +bit 192 O 1 IO_P27 191 1 Z +bit 191 C 1 * +bit 190 I 1 M2_P26 +bit 189 I 1 M0_P25 +bit 188 I 1 M1_P24 +bit 187 I 1 IO_P23 +bit 186 O 1 IO_P23 185 1 Z +bit 185 C 1 * +bit 184 I 1 IO_P22 +bit 183 O 1 IO_P22 182 1 Z +bit 182 C 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 I 1 IO_P21 +bit 171 O 1 IO_P21 170 1 Z +bit 170 C 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 O 1 * +bit 154 I 1 IO_P17 +bit 153 O 1 IO_P17 152 1 Z +bit 152 C 1 * +bit 151 I 1 IO_P16 +bit 150 O 1 IO_P16 149 1 Z +bit 149 C 1 * +bit 148 I 1 IO_P15 +bit 147 O 1 IO_P15 146 1 Z +bit 146 C 1 * +bit 145 I 1 IO_P14 +bit 144 O 1 IO_P14 143 1 Z +bit 143 C 1 * +bit 142 I 1 IO_P13 +bit 141 O 1 IO_P13 140 1 Z +bit 140 C 1 * +bit 139 I 1 IO_P12 +bit 138 O 1 IO_P12 137 1 Z +bit 137 C 1 * +bit 136 I 1 IO_P11 +bit 135 O 1 IO_P11 134 1 Z +bit 134 C 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 I 1 IO_P9 +bit 126 O 1 IO_P9 125 1 Z +bit 125 C 1 * +bit 124 I 1 IO_P8 +bit 123 O 1 IO_P8 122 1 Z +bit 122 C 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 I 1 IO_P5 +bit 114 O 1 IO_P5 113 1 Z +bit 113 C 1 * +bit 112 I 1 IO_P4 +bit 111 O 1 IO_P4 110 1 Z +bit 110 C 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 I 1 IO_P2 +bit 96 O 1 IO_P2 95 1 Z +bit 95 C 1 * +bit 94 I 1 IO_P1 +bit 93 O 1 IO_P1 92 1 Z +bit 92 C 1 * +bit 91 O 1 * +bit 90 I 1 HSWAP_EN_P98 +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_P97 +bit 85 O 1 IO_P97 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_P96 +bit 82 O 1 IO_P96 81 1 Z +bit 81 C 1 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 O 1 * +bit 67 O 1 * +bit 66 O 1 * +bit 65 O 1 * +bit 64 O 1 * +bit 63 O 1 * +bit 62 O 1 * +bit 61 O 1 * +bit 60 O 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 I 1 IO_P92 +bit 55 O 1 IO_P92 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_P91 +bit 52 O 1 IO_P91 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_P90 +bit 49 O 1 IO_P90 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_P89 +bit 46 O 1 IO_P89 45 1 Z +bit 45 C 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_P88 +bit 40 O 1 IO_P88 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_P87 +bit 37 O 1 IO_P87 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_P86 +bit 34 O 1 IO_P86 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_P85 +bit 31 O 1 IO_P85 30 1 Z +bit 30 C 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 O 1 * +bit 21 O 1 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 I 1 IO_P81 +bit 13 O 1 IO_P81 12 1 Z +bit 12 C 1 * +bit 11 O 1 * +bit 10 O 1 * +bit 9 O 1 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 IO_P80 +bit 4 O 1 IO_P80 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_P79 +bit 1 O 1 IO_P79 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/STEPPINGS new file mode 100644 index 0000000..99bf5cd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s5000 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000 new file mode 100644 index 0000000..7bb6fd5 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000 @@ -0,0 +1,3586 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal GND121 +signal GND122 +signal GND123 +signal GND124 +signal GND125 +signal GND126 +signal GND127 +signal GND128 +signal GND129 +signal GND130 +signal GND131 +signal GND132 +signal GND133 +signal GND134 +signal GND135 +signal GND136 +signal GND137 +signal GND138 +signal GND139 +signal GND140 +signal GND141 +signal GND142 +signal GND143 +signal GND144 +signal GND145 +signal GND146 +signal GND147 +signal GND148 +signal NC1 +signal NC2 +signal NC3 +signal NC4 +signal NC5 +signal CCLK_PAD706 +signal DONE_PAD705 +signal HSWAP_EN_PAD147 +signal M0_PAD412 +signal M1_PAD411 +signal M2_PAD413 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCAUX25 +signal VCCAUX26 +signal VCCAUX27 +signal VCCAUX28 +signal VCCAUX29 +signal VCCAUX30 +signal VCCAUX31 +signal VCCAUX32 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCINT33 +signal VCCINT34 +signal VCCINT35 +signal VCCINT36 +signal VCCINT37 +signal VCCINT38 +signal VCCINT39 +signal VCCINT40 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO111 +signal VCCO112 +signal VCCO113 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO211 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO311 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO411 +signal VCCO412 +signal VCCO413 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO511 +signal VCCO512 +signal VCCO513 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO611 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal VCCO711 +signal IO_PAD2 +signal IO_PAD3 +signal IO_PAD4 +signal IO_PAD5 +signal IO_PAD7 +signal IO_PAD9 +signal IO_PAD10 +signal IO_PAD11 +signal IO_PAD13 +signal IO_PAD14 +signal IO_PAD15 +signal IO_PAD17 +signal IO_PAD19 +signal IO_PAD21 +signal IO_PAD23 +signal IO_PAD25 +signal IO_PAD26 +signal IO_PAD27 +signal IO_PAD28 +signal IO_PAD29 +signal IO_PAD31 +signal IO_PAD33 +signal IO_PAD34 +signal IO_PAD35 +signal IO_PAD37 +signal IO_PAD38 +signal IO_PAD39 +signal IO_PAD41 +signal IO_PAD43 +signal IO_PAD45 +signal IO_PAD46 +signal IO_PAD47 +signal IO_PAD49 +signal IO_PAD50 +signal IO_PAD51 +signal IO_PAD53 +signal IO_PAD55 +signal IO_PAD57 +signal IO_PAD58 +signal IO_PAD59 +signal IO_PAD61 +signal IO_PAD62 +signal IO_PAD63 +signal IO_PAD65 +signal IO_PAD67 +signal IO_PAD69 +signal IO_PAD70 +signal IO_PAD71 +signal IO_PAD73 +signal IO_PAD74 +signal IO_PAD75 +signal IO_PAD77 +signal IO_PAD79 +signal IO_PAD80 +signal IO_PAD81 +signal IO_PAD83 +signal IO_PAD84 +signal IO_PAD85 +signal IO_PAD86 +signal IO_PAD87 +signal IO_PAD89 +signal IO_PAD91 +signal IO_PAD93 +signal IO_PAD95 +signal IO_PAD97 +signal IO_PAD98 +signal IO_PAD99 +signal IO_PAD101 +signal IO_PAD103 +signal IO_PAD104 +signal IO_PAD105 +signal IO_PAD107 +signal IO_PAD108 +signal IO_PAD109 +signal IO_PAD110 +signal IO_PAD111 +signal IO_PAD113 +signal IO_PAD115 +signal IO_PAD117 +signal IO_PAD118 +signal IO_PAD119 +signal IO_PAD121 +signal IO_PAD122 +signal IO_PAD123 +signal IO_PAD125 +signal IO_PAD127 +signal IO_PAD129 +signal IO_PAD131 +signal IO_PAD132 +signal IO_PAD133 +signal IO_PAD134 +signal IO_PAD135 +signal IO_PAD137 +signal IO_PAD139 +signal IO_PAD140 +signal IO_PAD141 +signal IO_PAD142 +signal IO_PAD143 +signal IO_PAD145 +signal IO_PAD146 +signal IO_PAD151 +signal IO_PAD152 +signal IO_PAD153 +signal IO_PAD154 +signal IO_PAD155 +signal IO_PAD156 +signal IO_PAD158 +signal IO_PAD159 +signal IO_PAD160 +signal IO_PAD162 +signal IO_PAD163 +signal IO_PAD164 +signal IO_PAD166 +signal IO_PAD167 +signal IO_PAD168 +signal IO_PAD170 +signal IO_PAD172 +signal IO_PAD174 +signal IO_PAD175 +signal IO_PAD176 +signal IO_PAD177 +signal IO_PAD178 +signal IO_PAD180 +signal IO_PAD182 +signal IO_PAD183 +signal IO_PAD184 +signal IO_PAD185 +signal IO_PAD186 +signal IO_PAD187 +signal IO_PAD188 +signal IO_PAD190 +signal IO_PAD192 +signal IO_PAD193 +signal IO_PAD194 +signal IO_PAD195 +signal IO_PAD196 +signal IO_PAD198 +signal IO_PAD200 +signal IO_PAD201 +signal IO_PAD202 +signal IO_PAD204 +signal IO_PAD206 +signal IO_PAD207 +signal IO_PAD208 +signal IO_PAD210 +signal IO_PAD211 +signal IO_PAD212 +signal IO_PAD213 +signal IO_PAD214 +signal IO_PAD216 +signal IO_PAD218 +signal IO_PAD219 +signal IO_PAD220 +signal IO_PAD221 +signal IO_PAD222 +signal IO_PAD224 +signal IO_PAD225 +signal IO_PAD226 +signal IO_PAD228 +signal IO_PAD230 +signal IO_PAD231 +signal IO_PAD232 +signal IO_PAD234 +signal IO_PAD236 +signal IO_PAD237 +signal IO_PAD238 +signal IO_PAD239 +signal IO_PAD240 +signal IO_PAD242 +signal IO_PAD244 +signal IO_PAD245 +signal IO_PAD246 +signal IO_PAD247 +signal IO_PAD248 +signal IO_PAD250 +signal IO_PAD252 +signal IO_PAD253 +signal IO_PAD254 +signal IO_PAD255 +signal IO_PAD256 +signal IO_PAD258 +signal IO_PAD259 +signal IO_PAD260 +signal IO_PAD262 +signal IO_PAD264 +signal IO_PAD265 +signal IO_PAD266 +signal IO_PAD268 +signal IO_PAD270 +signal IO_PAD271 +signal IO_PAD272 +signal IO_PAD273 +signal IO_PAD274 +signal IO_PAD276 +signal IO_PAD278 +signal IO_PAD279 +signal IO_PAD281 +signal IO_PAD282 +signal IO_PAD284 +signal IO_PAD286 +signal IO_PAD287 +signal IO_PAD288 +signal IO_PAD289 +signal IO_PAD290 +signal IO_PAD292 +signal IO_PAD294 +signal IO_PAD295 +signal IO_PAD296 +signal IO_PAD298 +signal IO_PAD300 +signal IO_PAD301 +signal IO_PAD302 +signal IO_PAD304 +signal IO_PAD305 +signal IO_PAD306 +signal IO_PAD307 +signal IO_PAD308 +signal IO_PAD310 +signal IO_PAD312 +signal IO_PAD313 +signal IO_PAD314 +signal IO_PAD315 +signal IO_PAD316 +signal IO_PAD318 +signal IO_PAD320 +signal IO_PAD321 +signal IO_PAD322 +signal IO_PAD323 +signal IO_PAD324 +signal IO_PAD326 +signal IO_PAD328 +signal IO_PAD329 +signal IO_PAD330 +signal IO_PAD332 +signal IO_PAD334 +signal IO_PAD335 +signal IO_PAD336 +signal IO_PAD338 +signal IO_PAD339 +signal IO_PAD340 +signal IO_PAD341 +signal IO_PAD342 +signal IO_PAD344 +signal IO_PAD346 +signal IO_PAD347 +signal IO_PAD348 +signal IO_PAD349 +signal IO_PAD350 +signal IO_PAD352 +signal IO_PAD353 +signal IO_PAD354 +signal IO_PAD356 +signal IO_PAD358 +signal IO_PAD359 +signal IO_PAD360 +signal IO_PAD362 +signal IO_PAD364 +signal IO_PAD365 +signal IO_PAD366 +signal IO_PAD367 +signal IO_PAD368 +signal IO_PAD370 +signal IO_PAD372 +signal IO_PAD373 +signal IO_PAD374 +signal IO_PAD375 +signal IO_PAD376 +signal IO_PAD377 +signal IO_PAD378 +signal IO_PAD380 +signal IO_PAD382 +signal IO_PAD383 +signal IO_PAD384 +signal IO_PAD385 +signal IO_PAD386 +signal IO_PAD388 +signal IO_PAD390 +signal IO_PAD392 +signal IO_PAD393 +signal IO_PAD394 +signal IO_PAD396 +signal IO_PAD397 +signal IO_PAD398 +signal IO_PAD400 +signal IO_PAD401 +signal IO_PAD402 +signal IO_PAD404 +signal IO_PAD405 +signal IO_PAD406 +signal IO_PAD407 +signal IO_PAD408 +signal IO_PAD409 +signal IO_PAD414 +signal IO_PAD415 +signal IO_PAD417 +signal IO_PAD418 +signal IO_PAD419 +signal IO_PAD420 +signal IO_PAD421 +signal IO_PAD423 +signal IO_PAD425 +signal IO_PAD426 +signal IO_PAD427 +signal IO_PAD428 +signal IO_PAD429 +signal IO_PAD431 +signal IO_PAD433 +signal IO_PAD435 +signal IO_PAD437 +signal IO_PAD438 +signal IO_PAD439 +signal IO_PAD441 +signal IO_PAD442 +signal IO_PAD443 +signal IO_PAD445 +signal IO_PAD447 +signal IO_PAD449 +signal IO_PAD450 +signal IO_PAD451 +signal IO_PAD452 +signal IO_PAD453 +signal IO_PAD455 +signal IO_PAD456 +signal IO_PAD457 +signal IO_PAD459 +signal IO_PAD461 +signal IO_PAD462 +signal IO_PAD463 +signal IO_PAD465 +signal IO_PAD467 +signal IO_PAD469 +signal IO_PAD471 +signal IO_PAD473 +signal IO_PAD474 +signal IO_PAD475 +signal IO_PAD476 +signal IO_PAD477 +signal IO_PAD479 +signal IO_PAD480 +signal IO_PAD481 +signal IO_PAD483 +signal IO_PAD485 +signal IO_PAD486 +signal IO_PAD487 +signal IO_PAD489 +signal IO_PAD490 +signal IO_PAD491 +signal IO_PAD493 +signal IO_PAD495 +signal IO_PAD497 +signal IO_PAD498 +signal IO_PAD499 +signal IO_PAD501 +signal IO_PAD502 +signal IO_PAD503 +signal IO_PAD505 +signal IO_PAD507 +signal IO_PAD509 +signal IO_PAD510 +signal IO_PAD511 +signal IO_PAD513 +signal IO_PAD514 +signal IO_PAD515 +signal IO_PAD517 +signal IO_PAD519 +signal IO_PAD521 +signal IO_PAD522 +signal IO_PAD523 +signal IO_PAD525 +signal IO_PAD526 +signal IO_PAD527 +signal IO_PAD529 +signal IO_PAD531 +signal IO_PAD532 +signal IO_PAD533 +signal IO_PAD534 +signal IO_PAD535 +signal IO_PAD537 +signal IO_PAD539 +signal IO_PAD541 +signal IO_PAD543 +signal IO_PAD545 +signal IO_PAD546 +signal IO_PAD547 +signal IO_PAD549 +signal IO_PAD550 +signal IO_PAD551 +signal IO_PAD553 +signal IO_PAD555 +signal IO_PAD556 +signal IO_PAD557 +signal IO_PAD558 +signal IO_PAD560 +signal IO_PAD561 +signal IO_PAD562 +signal IO_PAD563 +signal IO_PAD565 +signal IO_PAD567 +signal IO_PAD568 +signal IO_PAD569 +signal IO_PAD571 +signal IO_PAD572 +signal IO_PAD573 +signal IO_PAD575 +signal IO_PAD577 +signal IO_PAD579 +signal IO_PAD581 +signal IO_PAD583 +signal IO_PAD584 +signal IO_PAD585 +signal IO_PAD586 +signal IO_PAD587 +signal IO_PAD589 +signal IO_PAD591 +signal IO_PAD592 +signal IO_PAD593 +signal IO_PAD595 +signal IO_PAD596 +signal IO_PAD597 +signal IO_PAD599 +signal IO_PAD601 +signal IO_PAD603 +signal IO_PAD604 +signal IO_PAD605 +signal IO_PAD607 +signal IO_PAD608 +signal IO_PAD609 +signal IO_PAD611 +signal IO_PAD613 +signal IO_PAD615 +signal IO_PAD616 +signal IO_PAD617 +signal IO_PAD619 +signal IO_PAD620 +signal IO_PAD621 +signal IO_PAD623 +signal IO_PAD625 +signal IO_PAD627 +signal IO_PAD628 +signal IO_PAD629 +signal IO_PAD631 +signal IO_PAD632 +signal IO_PAD633 +signal IO_PAD635 +signal IO_PAD637 +signal IO_PAD638 +signal IO_PAD639 +signal IO_PAD641 +signal IO_PAD642 +signal IO_PAD643 +signal IO_PAD644 +signal IO_PAD645 +signal IO_PAD647 +signal IO_PAD649 +signal IO_PAD651 +signal IO_PAD653 +signal IO_PAD655 +signal IO_PAD656 +signal IO_PAD657 +signal IO_PAD659 +signal IO_PAD661 +signal IO_PAD662 +signal IO_PAD663 +signal IO_PAD665 +signal IO_PAD666 +signal IO_PAD667 +signal IO_PAD668 +signal IO_PAD669 +signal IO_PAD671 +signal IO_PAD673 +signal IO_PAD675 +signal IO_PAD676 +signal IO_PAD677 +signal IO_PAD679 +signal IO_PAD680 +signal IO_PAD681 +signal IO_PAD683 +signal IO_PAD685 +signal IO_PAD687 +signal IO_PAD689 +signal IO_PAD690 +signal IO_PAD691 +signal IO_PAD692 +signal IO_PAD693 +signal IO_PAD695 +signal IO_PAD697 +signal IO_PAD698 +signal IO_PAD699 +signal IO_PAD700 +signal IO_PAD701 +signal IO_PAD703 +signal IO_PAD704 +signal IO_PAD710 +signal IO_PAD711 +signal IO_PAD712 +signal IO_PAD713 +signal IO_PAD714 +signal IO_PAD715 +signal IO_PAD717 +signal IO_PAD718 +signal IO_PAD719 +signal IO_PAD721 +signal IO_PAD722 +signal IO_PAD723 +signal IO_PAD725 +signal IO_PAD726 +signal IO_PAD727 +signal IO_PAD729 +signal IO_PAD731 +signal IO_PAD733 +signal IO_PAD734 +signal IO_PAD735 +signal IO_PAD736 +signal IO_PAD737 +signal IO_PAD739 +signal IO_PAD741 +signal IO_PAD742 +signal IO_PAD743 +signal IO_PAD744 +signal IO_PAD745 +signal IO_PAD746 +signal IO_PAD747 +signal IO_PAD749 +signal IO_PAD751 +signal IO_PAD752 +signal IO_PAD753 +signal IO_PAD754 +signal IO_PAD755 +signal IO_PAD757 +signal IO_PAD759 +signal IO_PAD760 +signal IO_PAD761 +signal IO_PAD763 +signal IO_PAD765 +signal IO_PAD766 +signal IO_PAD767 +signal IO_PAD769 +signal IO_PAD770 +signal IO_PAD771 +signal IO_PAD772 +signal IO_PAD773 +signal IO_PAD775 +signal IO_PAD777 +signal IO_PAD778 +signal IO_PAD779 +signal IO_PAD780 +signal IO_PAD781 +signal IO_PAD783 +signal IO_PAD784 +signal IO_PAD785 +signal IO_PAD787 +signal IO_PAD789 +signal IO_PAD790 +signal IO_PAD791 +signal IO_PAD793 +signal IO_PAD795 +signal IO_PAD796 +signal IO_PAD797 +signal IO_PAD798 +signal IO_PAD799 +signal IO_PAD801 +signal IO_PAD803 +signal IO_PAD804 +signal IO_PAD805 +signal IO_PAD806 +signal IO_PAD807 +signal IO_PAD809 +signal IO_PAD811 +signal IO_PAD812 +signal IO_PAD813 +signal IO_PAD814 +signal IO_PAD815 +signal IO_PAD817 +signal IO_PAD818 +signal IO_PAD819 +signal IO_PAD821 +signal IO_PAD823 +signal IO_PAD824 +signal IO_PAD825 +signal IO_PAD827 +signal IO_PAD829 +signal IO_PAD830 +signal IO_PAD831 +signal IO_PAD832 +signal IO_PAD833 +signal IO_PAD835 +signal IO_PAD837 +signal IO_PAD838 +signal IO_PAD840 +signal IO_PAD841 +signal IO_PAD843 +signal IO_PAD845 +signal IO_PAD846 +signal IO_PAD847 +signal IO_PAD848 +signal IO_PAD849 +signal IO_PAD851 +signal IO_PAD853 +signal IO_PAD854 +signal IO_PAD855 +signal IO_PAD857 +signal IO_PAD859 +signal IO_PAD860 +signal IO_PAD861 +signal IO_PAD863 +signal IO_PAD864 +signal IO_PAD865 +signal IO_PAD866 +signal IO_PAD867 +signal IO_PAD869 +signal IO_PAD871 +signal IO_PAD872 +signal IO_PAD873 +signal IO_PAD874 +signal IO_PAD875 +signal IO_PAD877 +signal IO_PAD879 +signal IO_PAD880 +signal IO_PAD881 +signal IO_PAD882 +signal IO_PAD883 +signal IO_PAD885 +signal IO_PAD887 +signal IO_PAD888 +signal IO_PAD889 +signal IO_PAD891 +signal IO_PAD893 +signal IO_PAD894 +signal IO_PAD895 +signal IO_PAD897 +signal IO_PAD898 +signal IO_PAD899 +signal IO_PAD900 +signal IO_PAD901 +signal IO_PAD903 +signal IO_PAD905 +signal IO_PAD906 +signal IO_PAD907 +signal IO_PAD908 +signal IO_PAD909 +signal IO_PAD911 +signal IO_PAD912 +signal IO_PAD913 +signal IO_PAD915 +signal IO_PAD917 +signal IO_PAD918 +signal IO_PAD919 +signal IO_PAD921 +signal IO_PAD923 +signal IO_PAD924 +signal IO_PAD925 +signal IO_PAD926 +signal IO_PAD927 +signal IO_PAD929 +signal IO_PAD931 +signal IO_PAD932 +signal IO_PAD933 +signal IO_PAD934 +signal IO_PAD935 +signal IO_PAD936 +signal IO_PAD937 +signal IO_PAD939 +signal IO_PAD941 +signal IO_PAD942 +signal IO_PAD943 +signal IO_PAD944 +signal IO_PAD945 +signal IO_PAD947 +signal IO_PAD949 +signal IO_PAD951 +signal IO_PAD952 +signal IO_PAD953 +signal IO_PAD955 +signal IO_PAD956 +signal IO_PAD957 +signal IO_PAD959 +signal IO_PAD960 +signal IO_PAD961 +signal IO_PAD963 +signal IO_PAD964 +signal IO_PAD965 +signal IO_PAD966 +signal IO_PAD967 +signal IO_PAD968 +signal IO_PAD973 +signal IO_PAD974 +signal IO_PAD976 +signal IO_PAD977 +signal IO_PAD978 +signal IO_PAD979 +signal IO_PAD980 +signal IO_PAD982 +signal IO_PAD984 +signal IO_PAD985 +signal IO_PAD986 +signal IO_PAD987 +signal IO_PAD988 +signal IO_PAD990 +signal IO_PAD992 +signal IO_PAD994 +signal IO_PAD996 +signal IO_PAD997 +signal IO_PAD998 +signal IO_PAD1000 +signal IO_PAD1001 +signal IO_PAD1002 +signal IO_PAD1004 +signal IO_PAD1006 +signal IO_PAD1008 +signal IO_PAD1009 +signal IO_PAD1010 +signal IO_PAD1011 +signal IO_PAD1012 +signal IO_PAD1014 +signal IO_PAD1015 +signal IO_PAD1016 +signal IO_PAD1018 +signal IO_PAD1020 +signal IO_PAD1021 +signal IO_PAD1022 +signal IO_PAD1024 +signal IO_PAD1026 +signal IO_PAD1028 +signal IO_PAD1030 +signal IO_PAD1032 +signal IO_PAD1033 +signal IO_PAD1034 +signal IO_PAD1035 +signal IO_PAD1036 +signal IO_PAD1038 +signal IO_PAD1039 +signal IO_PAD1040 +signal IO_PAD1042 +signal IO_PAD1044 +signal IO_PAD1045 +signal IO_PAD1046 +signal IO_PAD1048 +signal IO_PAD1049 +signal IO_PAD1050 +signal IO_PAD1052 +signal IO_PAD1054 +signal IO_PAD1056 +signal IO_PAD1057 +signal IO_PAD1058 +signal IO_PAD1060 +signal IO_PAD1061 +signal IO_PAD1062 +signal IO_PAD1064 +signal IO_PAD1066 +signal IO_PAD1068 +signal IO_PAD1069 +signal IO_PAD1070 +signal IO_PAD1072 +signal IO_PAD1073 +signal IO_PAD1074 +signal IO_PAD1076 +signal IO_PAD1078 +signal IO_PAD1080 +signal IO_PAD1081 +signal IO_PAD1082 +signal IO_PAD1084 +signal IO_PAD1085 +signal IO_PAD1086 +signal IO_PAD1088 +signal IO_PAD1090 +signal IO_PAD1091 +signal IO_PAD1092 +signal IO_PAD1093 +signal IO_PAD1094 +signal IO_PAD1096 +signal IO_PAD1098 +signal IO_PAD1100 +signal IO_PAD1102 +signal IO_PAD1104 +signal IO_PAD1105 +signal IO_PAD1106 +signal IO_PAD1108 +signal IO_PAD1109 +signal IO_PAD1110 +signal IO_PAD1112 +signal IO_PAD1114 +signal IO_PAD1115 +signal IO_PAD1116 + +register BSR 2459 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2458 I 1 IO_PAD968 +bit 2457 O 1 IO_PAD968 2456 1 Z +bit 2456 C 1 * +bit 2455 I 1 IO_PAD967 +bit 2454 O 1 IO_PAD967 2453 1 Z +bit 2453 C 1 * +bit 2452 I 1 IO_PAD966 +bit 2451 O 1 IO_PAD966 2450 1 Z +bit 2450 C 1 * +bit 2449 I 1 IO_PAD965 +bit 2448 O 1 IO_PAD965 2447 1 Z +bit 2447 C 1 * +bit 2446 I 1 IO_PAD964 +bit 2445 O 1 IO_PAD964 2444 1 Z +bit 2444 C 1 * +bit 2443 I 1 IO_PAD963 +bit 2442 O 1 IO_PAD963 2441 1 Z +bit 2441 C 1 * +bit 2440 I 1 IO_PAD961 +bit 2439 O 1 IO_PAD961 2438 1 Z +bit 2438 C 1 * +bit 2437 I 1 IO_PAD960 +bit 2436 O 1 IO_PAD960 2435 1 Z +bit 2435 C 1 * +bit 2434 I 1 IO_PAD959 +bit 2433 O 1 IO_PAD959 2432 1 Z +bit 2432 C 1 * +bit 2431 I 1 IO_PAD957 +bit 2430 O 1 IO_PAD957 2429 1 Z +bit 2429 C 1 * +bit 2428 I 1 IO_PAD956 +bit 2427 O 1 IO_PAD956 2426 1 Z +bit 2426 C 1 * +bit 2425 I 1 IO_PAD955 +bit 2424 O 1 IO_PAD955 2423 1 Z +bit 2423 C 1 * +bit 2422 I 1 IO_PAD953 +bit 2421 O 1 IO_PAD953 2420 1 Z +bit 2420 C 1 * +bit 2419 I 1 IO_PAD952 +bit 2418 O 1 IO_PAD952 2417 1 Z +bit 2417 C 1 * +bit 2416 I 1 IO_PAD951 +bit 2415 O 1 IO_PAD951 2414 1 Z +bit 2414 C 1 * +bit 2413 O 1 * +bit 2412 O 1 * +bit 2411 O 1 * +bit 2410 O 1 * +bit 2409 O 1 * +bit 2408 O 1 * +bit 2407 I 1 IO_PAD949 +bit 2406 O 1 IO_PAD949 2405 1 Z +bit 2405 C 1 * +bit 2404 I 1 IO_PAD947 +bit 2403 O 1 IO_PAD947 2402 1 Z +bit 2402 C 1 * +bit 2401 I 1 IO_PAD945 +bit 2400 O 1 IO_PAD945 2399 1 Z +bit 2399 C 1 * +bit 2398 I 1 IO_PAD944 +bit 2397 O 1 IO_PAD944 2396 1 Z +bit 2396 C 1 * +bit 2395 I 1 IO_PAD943 +bit 2394 O 1 IO_PAD943 2393 1 Z +bit 2393 C 1 * +bit 2392 I 1 IO_PAD942 +bit 2391 O 1 IO_PAD942 2390 1 Z +bit 2390 C 1 * +bit 2389 I 1 IO_PAD941 +bit 2388 O 1 IO_PAD941 2387 1 Z +bit 2387 C 1 * +bit 2386 I 1 IO_PAD939 +bit 2385 O 1 IO_PAD939 2384 1 Z +bit 2384 C 1 * +bit 2383 I 1 IO_PAD937 +bit 2382 O 1 IO_PAD937 2381 1 Z +bit 2381 C 1 * +bit 2380 I 1 IO_PAD936 +bit 2379 O 1 IO_PAD936 2378 1 Z +bit 2378 C 1 * +bit 2377 I 1 IO_PAD935 +bit 2376 O 1 IO_PAD935 2375 1 Z +bit 2375 C 1 * +bit 2374 I 1 IO_PAD934 +bit 2373 O 1 IO_PAD934 2372 1 Z +bit 2372 C 1 * +bit 2371 I 1 IO_PAD933 +bit 2370 O 1 IO_PAD933 2369 1 Z +bit 2369 C 1 * +bit 2368 I 1 IO_PAD932 +bit 2367 O 1 IO_PAD932 2366 1 Z +bit 2366 C 1 * +bit 2365 I 1 IO_PAD931 +bit 2364 O 1 IO_PAD931 2363 1 Z +bit 2363 C 1 * +bit 2362 I 1 IO_PAD929 +bit 2361 O 1 IO_PAD929 2360 1 Z +bit 2360 C 1 * +bit 2359 I 1 IO_PAD927 +bit 2358 O 1 IO_PAD927 2357 1 Z +bit 2357 C 1 * +bit 2356 I 1 IO_PAD926 +bit 2355 O 1 IO_PAD926 2354 1 Z +bit 2354 C 1 * +bit 2353 I 1 IO_PAD925 +bit 2352 O 1 IO_PAD925 2351 1 Z +bit 2351 C 1 * +bit 2350 I 1 IO_PAD924 +bit 2349 O 1 IO_PAD924 2348 1 Z +bit 2348 C 1 * +bit 2347 I 1 IO_PAD923 +bit 2346 O 1 IO_PAD923 2345 1 Z +bit 2345 C 1 * +bit 2344 I 1 IO_PAD921 +bit 2343 O 1 IO_PAD921 2342 1 Z +bit 2342 C 1 * +bit 2341 I 1 IO_PAD919 +bit 2340 O 1 IO_PAD919 2339 1 Z +bit 2339 C 1 * +bit 2338 I 1 IO_PAD918 +bit 2337 O 1 IO_PAD918 2336 1 Z +bit 2336 C 1 * +bit 2335 I 1 IO_PAD917 +bit 2334 O 1 IO_PAD917 2333 1 Z +bit 2333 C 1 * +bit 2332 O 1 * +bit 2331 O 1 * +bit 2330 O 1 * +bit 2329 O 1 * +bit 2328 O 1 * +bit 2327 O 1 * +bit 2326 I 1 IO_PAD915 +bit 2325 O 1 IO_PAD915 2324 1 Z +bit 2324 C 1 * +bit 2323 I 1 IO_PAD913 +bit 2322 O 1 IO_PAD913 2321 1 Z +bit 2321 C 1 * +bit 2320 I 1 IO_PAD912 +bit 2319 O 1 IO_PAD912 2318 1 Z +bit 2318 C 1 * +bit 2317 I 1 IO_PAD911 +bit 2316 O 1 IO_PAD911 2315 1 Z +bit 2315 C 1 * +bit 2314 I 1 IO_PAD909 +bit 2313 O 1 IO_PAD909 2312 1 Z +bit 2312 C 1 * +bit 2311 I 1 IO_PAD908 +bit 2310 O 1 IO_PAD908 2309 1 Z +bit 2309 C 1 * +bit 2308 I 1 IO_PAD907 +bit 2307 O 1 IO_PAD907 2306 1 Z +bit 2306 C 1 * +bit 2305 I 1 IO_PAD906 +bit 2304 O 1 IO_PAD906 2303 1 Z +bit 2303 C 1 * +bit 2302 I 1 IO_PAD905 +bit 2301 O 1 IO_PAD905 2300 1 Z +bit 2300 C 1 * +bit 2299 I 1 IO_PAD903 +bit 2298 O 1 IO_PAD903 2297 1 Z +bit 2297 C 1 * +bit 2296 I 1 IO_PAD901 +bit 2295 O 1 IO_PAD901 2294 1 Z +bit 2294 C 1 * +bit 2293 I 1 IO_PAD900 +bit 2292 O 1 IO_PAD900 2291 1 Z +bit 2291 C 1 * +bit 2290 I 1 IO_PAD899 +bit 2289 O 1 IO_PAD899 2288 1 Z +bit 2288 C 1 * +bit 2287 I 1 IO_PAD898 +bit 2286 O 1 IO_PAD898 2285 1 Z +bit 2285 C 1 * +bit 2284 I 1 IO_PAD897 +bit 2283 O 1 IO_PAD897 2282 1 Z +bit 2282 C 1 * +bit 2281 I 1 IO_PAD895 +bit 2280 O 1 IO_PAD895 2279 1 Z +bit 2279 C 1 * +bit 2278 I 1 IO_PAD894 +bit 2277 O 1 IO_PAD894 2276 1 Z +bit 2276 C 1 * +bit 2275 I 1 IO_PAD893 +bit 2274 O 1 IO_PAD893 2273 1 Z +bit 2273 C 1 * +bit 2272 I 1 IO_PAD891 +bit 2271 O 1 IO_PAD891 2270 1 Z +bit 2270 C 1 * +bit 2269 I 1 IO_PAD889 +bit 2268 O 1 IO_PAD889 2267 1 Z +bit 2267 C 1 * +bit 2266 I 1 IO_PAD888 +bit 2265 O 1 IO_PAD888 2264 1 Z +bit 2264 C 1 * +bit 2263 I 1 IO_PAD887 +bit 2262 O 1 IO_PAD887 2261 1 Z +bit 2261 C 1 * +bit 2260 O 1 * +bit 2259 O 1 * +bit 2258 O 1 * +bit 2257 O 1 * +bit 2256 O 1 * +bit 2255 O 1 * +bit 2254 I 1 IO_PAD885 +bit 2253 O 1 IO_PAD885 2252 1 Z +bit 2252 C 1 * +bit 2251 I 1 IO_PAD883 +bit 2250 O 1 IO_PAD883 2249 1 Z +bit 2249 C 1 * +bit 2248 I 1 IO_PAD882 +bit 2247 O 1 IO_PAD882 2246 1 Z +bit 2246 C 1 * +bit 2245 I 1 IO_PAD881 +bit 2244 O 1 IO_PAD881 2243 1 Z +bit 2243 C 1 * +bit 2242 I 1 IO_PAD880 +bit 2241 O 1 IO_PAD880 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_PAD879 +bit 2238 O 1 IO_PAD879 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_PAD877 +bit 2235 O 1 IO_PAD877 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_PAD875 +bit 2232 O 1 IO_PAD875 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_PAD874 +bit 2229 O 1 IO_PAD874 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_PAD873 +bit 2226 O 1 IO_PAD873 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_PAD872 +bit 2223 O 1 IO_PAD872 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_PAD871 +bit 2220 O 1 IO_PAD871 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_PAD869 +bit 2217 O 1 IO_PAD869 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_PAD867 +bit 2214 O 1 IO_PAD867 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_PAD866 +bit 2211 O 1 IO_PAD866 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_PAD865 +bit 2208 O 1 IO_PAD865 2207 1 Z +bit 2207 C 1 * +bit 2206 I 1 IO_PAD864 +bit 2205 O 1 IO_PAD864 2204 1 Z +bit 2204 C 1 * +bit 2203 I 1 IO_PAD863 +bit 2202 O 1 IO_PAD863 2201 1 Z +bit 2201 C 1 * +bit 2200 I 1 IO_PAD861 +bit 2199 O 1 IO_PAD861 2198 1 Z +bit 2198 C 1 * +bit 2197 I 1 IO_PAD860 +bit 2196 O 1 IO_PAD860 2195 1 Z +bit 2195 C 1 * +bit 2194 I 1 IO_PAD859 +bit 2193 O 1 IO_PAD859 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_PAD857 +bit 2190 O 1 IO_PAD857 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_PAD855 +bit 2187 O 1 IO_PAD855 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_PAD854 +bit 2184 O 1 IO_PAD854 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_PAD853 +bit 2181 O 1 IO_PAD853 2180 1 Z +bit 2180 C 1 * +bit 2179 O 1 * +bit 2178 O 1 * +bit 2177 O 1 * +bit 2176 O 1 * +bit 2175 O 1 * +bit 2174 O 1 * +bit 2173 I 1 IO_PAD851 +bit 2172 O 1 IO_PAD851 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_PAD849 +bit 2169 O 1 IO_PAD849 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_PAD848 +bit 2166 O 1 IO_PAD848 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_PAD847 +bit 2163 O 1 IO_PAD847 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_PAD846 +bit 2160 O 1 IO_PAD846 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_PAD845 +bit 2157 O 1 IO_PAD845 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_PAD843 +bit 2154 O 1 IO_PAD843 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_PAD841 +bit 2151 O 1 IO_PAD841 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_PAD840 +bit 2148 O 1 IO_PAD840 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_PAD838 +bit 2145 O 1 IO_PAD838 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_PAD837 +bit 2142 O 1 IO_PAD837 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_PAD835 +bit 2139 O 1 IO_PAD835 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_PAD833 +bit 2136 O 1 IO_PAD833 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_PAD832 +bit 2133 O 1 IO_PAD832 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_PAD831 +bit 2130 O 1 IO_PAD831 2129 1 Z +bit 2129 C 1 * +bit 2128 I 1 IO_PAD830 +bit 2127 O 1 IO_PAD830 2126 1 Z +bit 2126 C 1 * +bit 2125 I 1 IO_PAD829 +bit 2124 O 1 IO_PAD829 2123 1 Z +bit 2123 C 1 * +bit 2122 I 1 IO_PAD827 +bit 2121 O 1 IO_PAD827 2120 1 Z +bit 2120 C 1 * +bit 2119 O 1 * +bit 2118 O 1 * +bit 2117 O 1 * +bit 2116 O 1 * +bit 2115 O 1 * +bit 2114 O 1 * +bit 2113 I 1 IO_PAD825 +bit 2112 O 1 IO_PAD825 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_PAD824 +bit 2109 O 1 IO_PAD824 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_PAD823 +bit 2106 O 1 IO_PAD823 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_PAD821 +bit 2103 O 1 IO_PAD821 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_PAD819 +bit 2100 O 1 IO_PAD819 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_PAD818 +bit 2097 O 1 IO_PAD818 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_PAD817 +bit 2094 O 1 IO_PAD817 2093 1 Z +bit 2093 C 1 * +bit 2092 I 1 IO_PAD815 +bit 2091 O 1 IO_PAD815 2090 1 Z +bit 2090 C 1 * +bit 2089 I 1 IO_PAD814 +bit 2088 O 1 IO_PAD814 2087 1 Z +bit 2087 C 1 * +bit 2086 I 1 IO_PAD813 +bit 2085 O 1 IO_PAD813 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_PAD812 +bit 2082 O 1 IO_PAD812 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_PAD811 +bit 2079 O 1 IO_PAD811 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_PAD809 +bit 2076 O 1 IO_PAD809 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_PAD807 +bit 2073 O 1 IO_PAD807 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_PAD806 +bit 2070 O 1 IO_PAD806 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_PAD805 +bit 2067 O 1 IO_PAD805 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_PAD804 +bit 2064 O 1 IO_PAD804 2063 1 Z +bit 2063 C 1 * +bit 2062 I 1 IO_PAD803 +bit 2061 O 1 IO_PAD803 2060 1 Z +bit 2060 C 1 * +bit 2059 I 1 IO_PAD801 +bit 2058 O 1 IO_PAD801 2057 1 Z +bit 2057 C 1 * +bit 2056 I 1 IO_PAD799 +bit 2055 O 1 IO_PAD799 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_PAD798 +bit 2052 O 1 IO_PAD798 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_PAD797 +bit 2049 O 1 IO_PAD797 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_PAD796 +bit 2046 O 1 IO_PAD796 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_PAD795 +bit 2043 O 1 IO_PAD795 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_PAD793 +bit 2040 O 1 IO_PAD793 2039 1 Z +bit 2039 C 1 * +bit 2038 O 1 * +bit 2037 O 1 * +bit 2036 O 1 * +bit 2035 O 1 * +bit 2034 O 1 * +bit 2033 O 1 * +bit 2032 I 1 IO_PAD791 +bit 2031 O 1 IO_PAD791 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_PAD790 +bit 2028 O 1 IO_PAD790 2027 1 Z +bit 2027 C 1 * +bit 2026 I 1 IO_PAD789 +bit 2025 O 1 IO_PAD789 2024 1 Z +bit 2024 C 1 * +bit 2023 I 1 IO_PAD787 +bit 2022 O 1 IO_PAD787 2021 1 Z +bit 2021 C 1 * +bit 2020 I 1 IO_PAD785 +bit 2019 O 1 IO_PAD785 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_PAD784 +bit 2016 O 1 IO_PAD784 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_PAD783 +bit 2013 O 1 IO_PAD783 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_PAD781 +bit 2010 O 1 IO_PAD781 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_PAD780 +bit 2007 O 1 IO_PAD780 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_PAD779 +bit 2004 O 1 IO_PAD779 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_PAD778 +bit 2001 O 1 IO_PAD778 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_PAD777 +bit 1998 O 1 IO_PAD777 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_PAD775 +bit 1995 O 1 IO_PAD775 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_PAD773 +bit 1992 O 1 IO_PAD773 1991 1 Z +bit 1991 C 1 * +bit 1990 I 1 IO_PAD772 +bit 1989 O 1 IO_PAD772 1988 1 Z +bit 1988 C 1 * +bit 1987 I 1 IO_PAD771 +bit 1986 O 1 IO_PAD771 1985 1 Z +bit 1985 C 1 * +bit 1984 I 1 IO_PAD770 +bit 1983 O 1 IO_PAD770 1982 1 Z +bit 1982 C 1 * +bit 1981 I 1 IO_PAD769 +bit 1980 O 1 IO_PAD769 1979 1 Z +bit 1979 C 1 * +bit 1978 I 1 IO_PAD767 +bit 1977 O 1 IO_PAD767 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_PAD766 +bit 1974 O 1 IO_PAD766 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_PAD765 +bit 1971 O 1 IO_PAD765 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_PAD763 +bit 1968 O 1 IO_PAD763 1967 1 Z +bit 1967 C 1 * +bit 1966 O 1 * +bit 1965 O 1 * +bit 1964 O 1 * +bit 1963 O 1 * +bit 1962 O 1 * +bit 1961 O 1 * +bit 1960 I 1 IO_PAD761 +bit 1959 O 1 IO_PAD761 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_PAD760 +bit 1956 O 1 IO_PAD760 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_PAD759 +bit 1953 O 1 IO_PAD759 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_PAD757 +bit 1950 O 1 IO_PAD757 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_PAD755 +bit 1947 O 1 IO_PAD755 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_PAD754 +bit 1944 O 1 IO_PAD754 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_PAD753 +bit 1941 O 1 IO_PAD753 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_PAD752 +bit 1938 O 1 IO_PAD752 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_PAD751 +bit 1935 O 1 IO_PAD751 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_PAD749 +bit 1932 O 1 IO_PAD749 1931 1 Z +bit 1931 C 1 * +bit 1930 I 1 IO_PAD747 +bit 1929 O 1 IO_PAD747 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_PAD746 +bit 1926 O 1 IO_PAD746 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_PAD745 +bit 1923 O 1 IO_PAD745 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_PAD744 +bit 1920 O 1 IO_PAD744 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_PAD743 +bit 1917 O 1 IO_PAD743 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_PAD742 +bit 1914 O 1 IO_PAD742 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_PAD741 +bit 1911 O 1 IO_PAD741 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_PAD739 +bit 1908 O 1 IO_PAD739 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_PAD737 +bit 1905 O 1 IO_PAD737 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_PAD736 +bit 1902 O 1 IO_PAD736 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_PAD735 +bit 1899 O 1 IO_PAD735 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_PAD734 +bit 1896 O 1 IO_PAD734 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_PAD733 +bit 1893 O 1 IO_PAD733 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_PAD731 +bit 1890 O 1 IO_PAD731 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_PAD729 +bit 1887 O 1 IO_PAD729 1886 1 Z +bit 1886 C 1 * +bit 1885 O 1 * +bit 1884 O 1 * +bit 1883 O 1 * +bit 1882 O 1 * +bit 1881 O 1 * +bit 1880 O 1 * +bit 1879 I 1 IO_PAD727 +bit 1878 O 1 IO_PAD727 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_PAD726 +bit 1875 O 1 IO_PAD726 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_PAD725 +bit 1872 O 1 IO_PAD725 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_PAD723 +bit 1869 O 1 IO_PAD723 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_PAD722 +bit 1866 O 1 IO_PAD722 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_PAD721 +bit 1863 O 1 IO_PAD721 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_PAD719 +bit 1860 O 1 IO_PAD719 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_PAD718 +bit 1857 O 1 IO_PAD718 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_PAD717 +bit 1854 O 1 IO_PAD717 1853 1 Z +bit 1853 C 1 * +bit 1852 I 1 IO_PAD715 +bit 1851 O 1 IO_PAD715 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_PAD714 +bit 1848 O 1 IO_PAD714 1847 1 Z +bit 1847 C 1 * +bit 1846 I 1 IO_PAD713 +bit 1845 O 1 IO_PAD713 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_PAD712 +bit 1842 O 1 IO_PAD712 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_PAD711 +bit 1839 O 1 IO_PAD711 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_PAD710 +bit 1836 O 1 IO_PAD710 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 CCLK_PAD706 +bit 1833 O 1 CCLK_PAD706 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 DONE_PAD705 +bit 1830 O 1 DONE_PAD705 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_PAD704 +bit 1827 O 1 IO_PAD704 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_PAD703 +bit 1824 O 1 IO_PAD703 1823 1 Z +bit 1823 C 1 * +bit 1822 I 1 IO_PAD701 +bit 1821 O 1 IO_PAD701 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_PAD700 +bit 1818 O 1 IO_PAD700 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_PAD699 +bit 1815 O 1 IO_PAD699 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_PAD698 +bit 1812 O 1 IO_PAD698 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_PAD697 +bit 1809 O 1 IO_PAD697 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_PAD695 +bit 1806 O 1 IO_PAD695 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_PAD693 +bit 1803 O 1 IO_PAD693 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_PAD692 +bit 1800 O 1 IO_PAD692 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_PAD691 +bit 1797 O 1 IO_PAD691 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_PAD690 +bit 1794 O 1 IO_PAD690 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_PAD689 +bit 1791 O 1 IO_PAD689 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_PAD687 +bit 1788 O 1 IO_PAD687 1787 1 Z +bit 1787 C 1 * +bit 1786 I 1 IO_PAD685 +bit 1785 O 1 IO_PAD685 1784 1 Z +bit 1784 C 1 * +bit 1783 I 1 IO_PAD683 +bit 1782 O 1 IO_PAD683 1781 1 Z +bit 1781 C 1 * +bit 1780 I 1 IO_PAD681 +bit 1779 O 1 IO_PAD681 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_PAD680 +bit 1776 O 1 IO_PAD680 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_PAD679 +bit 1773 O 1 IO_PAD679 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_PAD677 +bit 1770 O 1 IO_PAD677 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_PAD676 +bit 1767 O 1 IO_PAD676 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_PAD675 +bit 1764 O 1 IO_PAD675 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_PAD673 +bit 1761 O 1 IO_PAD673 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_PAD671 +bit 1758 O 1 IO_PAD671 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_PAD669 +bit 1755 O 1 IO_PAD669 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_PAD668 +bit 1752 O 1 IO_PAD668 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_PAD667 +bit 1749 O 1 IO_PAD667 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_PAD666 +bit 1746 O 1 IO_PAD666 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_PAD665 +bit 1743 O 1 IO_PAD665 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_PAD663 +bit 1740 O 1 IO_PAD663 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_PAD662 +bit 1737 O 1 IO_PAD662 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_PAD661 +bit 1734 O 1 IO_PAD661 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_PAD659 +bit 1731 O 1 IO_PAD659 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_PAD657 +bit 1728 O 1 IO_PAD657 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_PAD656 +bit 1725 O 1 IO_PAD656 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_PAD655 +bit 1722 O 1 IO_PAD655 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_PAD653 +bit 1719 O 1 IO_PAD653 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_PAD651 +bit 1716 O 1 IO_PAD651 1715 1 Z +bit 1715 C 1 * +bit 1714 I 1 IO_PAD649 +bit 1713 O 1 IO_PAD649 1712 1 Z +bit 1712 C 1 * +bit 1711 I 1 IO_PAD647 +bit 1710 O 1 IO_PAD647 1709 1 Z +bit 1709 C 1 * +bit 1708 I 1 IO_PAD645 +bit 1707 O 1 IO_PAD645 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_PAD644 +bit 1704 O 1 IO_PAD644 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_PAD643 +bit 1701 O 1 IO_PAD643 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_PAD642 +bit 1698 O 1 IO_PAD642 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_PAD641 +bit 1695 O 1 IO_PAD641 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_PAD639 +bit 1692 O 1 IO_PAD639 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_PAD638 +bit 1689 O 1 IO_PAD638 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_PAD637 +bit 1686 O 1 IO_PAD637 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_PAD635 +bit 1683 O 1 IO_PAD635 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_PAD633 +bit 1680 O 1 IO_PAD633 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_PAD632 +bit 1677 O 1 IO_PAD632 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_PAD631 +bit 1674 O 1 IO_PAD631 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_PAD629 +bit 1671 O 1 IO_PAD629 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_PAD628 +bit 1668 O 1 IO_PAD628 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 IO_PAD627 +bit 1665 O 1 IO_PAD627 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 IO_PAD625 +bit 1662 O 1 IO_PAD625 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_PAD623 +bit 1659 O 1 IO_PAD623 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_PAD621 +bit 1656 O 1 IO_PAD621 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_PAD620 +bit 1653 O 1 IO_PAD620 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_PAD619 +bit 1650 O 1 IO_PAD619 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_PAD617 +bit 1647 O 1 IO_PAD617 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_PAD616 +bit 1644 O 1 IO_PAD616 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_PAD615 +bit 1641 O 1 IO_PAD615 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_PAD613 +bit 1638 O 1 IO_PAD613 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_PAD611 +bit 1635 O 1 IO_PAD611 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_PAD609 +bit 1632 O 1 IO_PAD609 1631 1 Z +bit 1631 C 1 * +bit 1630 I 1 IO_PAD608 +bit 1629 O 1 IO_PAD608 1628 1 Z +bit 1628 C 1 * +bit 1627 I 1 IO_PAD607 +bit 1626 O 1 IO_PAD607 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_PAD605 +bit 1623 O 1 IO_PAD605 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_PAD604 +bit 1620 O 1 IO_PAD604 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_PAD603 +bit 1617 O 1 IO_PAD603 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_PAD601 +bit 1614 O 1 IO_PAD601 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_PAD599 +bit 1611 O 1 IO_PAD599 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_PAD597 +bit 1608 O 1 IO_PAD597 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_PAD596 +bit 1605 O 1 IO_PAD596 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_PAD595 +bit 1602 O 1 IO_PAD595 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_PAD593 +bit 1599 O 1 IO_PAD593 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_PAD592 +bit 1596 O 1 IO_PAD592 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_PAD591 +bit 1593 O 1 IO_PAD591 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_PAD589 +bit 1590 O 1 IO_PAD589 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_PAD587 +bit 1587 O 1 IO_PAD587 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_PAD586 +bit 1584 O 1 IO_PAD586 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_PAD585 +bit 1581 O 1 IO_PAD585 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_PAD584 +bit 1578 O 1 IO_PAD584 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_PAD583 +bit 1575 O 1 IO_PAD583 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_PAD581 +bit 1572 O 1 IO_PAD581 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_PAD579 +bit 1569 O 1 IO_PAD579 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_PAD577 +bit 1566 O 1 IO_PAD577 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_PAD575 +bit 1563 O 1 IO_PAD575 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_PAD573 +bit 1560 O 1 IO_PAD573 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_PAD572 +bit 1557 O 1 IO_PAD572 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_PAD571 +bit 1554 O 1 IO_PAD571 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_PAD569 +bit 1551 O 1 IO_PAD569 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_PAD568 +bit 1548 O 1 IO_PAD568 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_PAD567 +bit 1545 O 1 IO_PAD567 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_PAD565 +bit 1542 O 1 IO_PAD565 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_PAD563 +bit 1539 O 1 IO_PAD563 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_PAD562 +bit 1536 O 1 IO_PAD562 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_PAD561 +bit 1533 O 1 IO_PAD561 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_PAD560 +bit 1530 O 1 IO_PAD560 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_PAD558 +bit 1527 O 1 IO_PAD558 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_PAD557 +bit 1524 O 1 IO_PAD557 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_PAD556 +bit 1521 O 1 IO_PAD556 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_PAD555 +bit 1518 O 1 IO_PAD555 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_PAD553 +bit 1515 O 1 IO_PAD553 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_PAD551 +bit 1512 O 1 IO_PAD551 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_PAD550 +bit 1509 O 1 IO_PAD550 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_PAD549 +bit 1506 O 1 IO_PAD549 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_PAD547 +bit 1503 O 1 IO_PAD547 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_PAD546 +bit 1500 O 1 IO_PAD546 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_PAD545 +bit 1497 O 1 IO_PAD545 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_PAD543 +bit 1494 O 1 IO_PAD543 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_PAD541 +bit 1491 O 1 IO_PAD541 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_PAD539 +bit 1488 O 1 IO_PAD539 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_PAD537 +bit 1485 O 1 IO_PAD537 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_PAD535 +bit 1482 O 1 IO_PAD535 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_PAD534 +bit 1479 O 1 IO_PAD534 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_PAD533 +bit 1476 O 1 IO_PAD533 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_PAD532 +bit 1473 O 1 IO_PAD532 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_PAD531 +bit 1470 O 1 IO_PAD531 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_PAD529 +bit 1467 O 1 IO_PAD529 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_PAD527 +bit 1464 O 1 IO_PAD527 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_PAD526 +bit 1461 O 1 IO_PAD526 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_PAD525 +bit 1458 O 1 IO_PAD525 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_PAD523 +bit 1455 O 1 IO_PAD523 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_PAD522 +bit 1452 O 1 IO_PAD522 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_PAD521 +bit 1449 O 1 IO_PAD521 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_PAD519 +bit 1446 O 1 IO_PAD519 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_PAD517 +bit 1443 O 1 IO_PAD517 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_PAD515 +bit 1440 O 1 IO_PAD515 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_PAD514 +bit 1437 O 1 IO_PAD514 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_PAD513 +bit 1434 O 1 IO_PAD513 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_PAD511 +bit 1431 O 1 IO_PAD511 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_PAD510 +bit 1428 O 1 IO_PAD510 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_PAD509 +bit 1425 O 1 IO_PAD509 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_PAD507 +bit 1422 O 1 IO_PAD507 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_PAD505 +bit 1419 O 1 IO_PAD505 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_PAD503 +bit 1416 O 1 IO_PAD503 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_PAD502 +bit 1413 O 1 IO_PAD502 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_PAD501 +bit 1410 O 1 IO_PAD501 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_PAD499 +bit 1407 O 1 IO_PAD499 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_PAD498 +bit 1404 O 1 IO_PAD498 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_PAD497 +bit 1401 O 1 IO_PAD497 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_PAD495 +bit 1398 O 1 IO_PAD495 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_PAD493 +bit 1395 O 1 IO_PAD493 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_PAD491 +bit 1392 O 1 IO_PAD491 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_PAD490 +bit 1389 O 1 IO_PAD490 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_PAD489 +bit 1386 O 1 IO_PAD489 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_PAD487 +bit 1383 O 1 IO_PAD487 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_PAD486 +bit 1380 O 1 IO_PAD486 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_PAD485 +bit 1377 O 1 IO_PAD485 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_PAD483 +bit 1374 O 1 IO_PAD483 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_PAD481 +bit 1371 O 1 IO_PAD481 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_PAD480 +bit 1368 O 1 IO_PAD480 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_PAD479 +bit 1365 O 1 IO_PAD479 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_PAD477 +bit 1362 O 1 IO_PAD477 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_PAD476 +bit 1359 O 1 IO_PAD476 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_PAD475 +bit 1356 O 1 IO_PAD475 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_PAD474 +bit 1353 O 1 IO_PAD474 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_PAD473 +bit 1350 O 1 IO_PAD473 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_PAD471 +bit 1347 O 1 IO_PAD471 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_PAD469 +bit 1344 O 1 IO_PAD469 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_PAD467 +bit 1341 O 1 IO_PAD467 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_PAD465 +bit 1338 O 1 IO_PAD465 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_PAD463 +bit 1335 O 1 IO_PAD463 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_PAD462 +bit 1332 O 1 IO_PAD462 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_PAD461 +bit 1329 O 1 IO_PAD461 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_PAD459 +bit 1326 O 1 IO_PAD459 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_PAD457 +bit 1323 O 1 IO_PAD457 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_PAD456 +bit 1320 O 1 IO_PAD456 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_PAD455 +bit 1317 O 1 IO_PAD455 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_PAD453 +bit 1314 O 1 IO_PAD453 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_PAD452 +bit 1311 O 1 IO_PAD452 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_PAD451 +bit 1308 O 1 IO_PAD451 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_PAD450 +bit 1305 O 1 IO_PAD450 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_PAD449 +bit 1302 O 1 IO_PAD449 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_PAD447 +bit 1299 O 1 IO_PAD447 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_PAD445 +bit 1296 O 1 IO_PAD445 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_PAD443 +bit 1293 O 1 IO_PAD443 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_PAD442 +bit 1290 O 1 IO_PAD442 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_PAD441 +bit 1287 O 1 IO_PAD441 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_PAD439 +bit 1284 O 1 IO_PAD439 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_PAD438 +bit 1281 O 1 IO_PAD438 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_PAD437 +bit 1278 O 1 IO_PAD437 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_PAD435 +bit 1275 O 1 IO_PAD435 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_PAD433 +bit 1272 O 1 IO_PAD433 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_PAD431 +bit 1269 O 1 IO_PAD431 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_PAD429 +bit 1266 O 1 IO_PAD429 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_PAD428 +bit 1263 O 1 IO_PAD428 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_PAD427 +bit 1260 O 1 IO_PAD427 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_PAD426 +bit 1257 O 1 IO_PAD426 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_PAD425 +bit 1254 O 1 IO_PAD425 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_PAD423 +bit 1251 O 1 IO_PAD423 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_PAD421 +bit 1248 O 1 IO_PAD421 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_PAD420 +bit 1245 O 1 IO_PAD420 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_PAD419 +bit 1242 O 1 IO_PAD419 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_PAD418 +bit 1239 O 1 IO_PAD418 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_PAD417 +bit 1236 O 1 IO_PAD417 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_PAD415 +bit 1233 O 1 IO_PAD415 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_PAD414 +bit 1230 O 1 IO_PAD414 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 M2_PAD413 +bit 1227 I 1 M0_PAD412 +bit 1226 I 1 M1_PAD411 +bit 1225 I 1 IO_PAD409 +bit 1224 O 1 IO_PAD409 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_PAD408 +bit 1221 O 1 IO_PAD408 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_PAD407 +bit 1218 O 1 IO_PAD407 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_PAD406 +bit 1215 O 1 IO_PAD406 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_PAD405 +bit 1212 O 1 IO_PAD405 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_PAD404 +bit 1209 O 1 IO_PAD404 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_PAD402 +bit 1206 O 1 IO_PAD402 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_PAD401 +bit 1203 O 1 IO_PAD401 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_PAD400 +bit 1200 O 1 IO_PAD400 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_PAD398 +bit 1197 O 1 IO_PAD398 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_PAD397 +bit 1194 O 1 IO_PAD397 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_PAD396 +bit 1191 O 1 IO_PAD396 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_PAD394 +bit 1188 O 1 IO_PAD394 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_PAD393 +bit 1185 O 1 IO_PAD393 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_PAD392 +bit 1182 O 1 IO_PAD392 1181 1 Z +bit 1181 C 1 * +bit 1180 O 1 * +bit 1179 O 1 * +bit 1178 O 1 * +bit 1177 O 1 * +bit 1176 O 1 * +bit 1175 O 1 * +bit 1174 I 1 IO_PAD390 +bit 1173 O 1 IO_PAD390 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_PAD388 +bit 1170 O 1 IO_PAD388 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_PAD386 +bit 1167 O 1 IO_PAD386 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_PAD385 +bit 1164 O 1 IO_PAD385 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_PAD384 +bit 1161 O 1 IO_PAD384 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_PAD383 +bit 1158 O 1 IO_PAD383 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_PAD382 +bit 1155 O 1 IO_PAD382 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_PAD380 +bit 1152 O 1 IO_PAD380 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_PAD378 +bit 1149 O 1 IO_PAD378 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_PAD377 +bit 1146 O 1 IO_PAD377 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_PAD376 +bit 1143 O 1 IO_PAD376 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_PAD375 +bit 1140 O 1 IO_PAD375 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_PAD374 +bit 1137 O 1 IO_PAD374 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_PAD373 +bit 1134 O 1 IO_PAD373 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_PAD372 +bit 1131 O 1 IO_PAD372 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_PAD370 +bit 1128 O 1 IO_PAD370 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_PAD368 +bit 1125 O 1 IO_PAD368 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_PAD367 +bit 1122 O 1 IO_PAD367 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_PAD366 +bit 1119 O 1 IO_PAD366 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_PAD365 +bit 1116 O 1 IO_PAD365 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_PAD364 +bit 1113 O 1 IO_PAD364 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_PAD362 +bit 1110 O 1 IO_PAD362 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_PAD360 +bit 1107 O 1 IO_PAD360 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_PAD359 +bit 1104 O 1 IO_PAD359 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_PAD358 +bit 1101 O 1 IO_PAD358 1100 1 Z +bit 1100 C 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 I 1 IO_PAD356 +bit 1092 O 1 IO_PAD356 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_PAD354 +bit 1089 O 1 IO_PAD354 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_PAD353 +bit 1086 O 1 IO_PAD353 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_PAD352 +bit 1083 O 1 IO_PAD352 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_PAD350 +bit 1080 O 1 IO_PAD350 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_PAD349 +bit 1077 O 1 IO_PAD349 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_PAD348 +bit 1074 O 1 IO_PAD348 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_PAD347 +bit 1071 O 1 IO_PAD347 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_PAD346 +bit 1068 O 1 IO_PAD346 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_PAD344 +bit 1065 O 1 IO_PAD344 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_PAD342 +bit 1062 O 1 IO_PAD342 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_PAD341 +bit 1059 O 1 IO_PAD341 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_PAD340 +bit 1056 O 1 IO_PAD340 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_PAD339 +bit 1053 O 1 IO_PAD339 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_PAD338 +bit 1050 O 1 IO_PAD338 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_PAD336 +bit 1047 O 1 IO_PAD336 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_PAD335 +bit 1044 O 1 IO_PAD335 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_PAD334 +bit 1041 O 1 IO_PAD334 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_PAD332 +bit 1038 O 1 IO_PAD332 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_PAD330 +bit 1035 O 1 IO_PAD330 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_PAD329 +bit 1032 O 1 IO_PAD329 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_PAD328 +bit 1029 O 1 IO_PAD328 1028 1 Z +bit 1028 C 1 * +bit 1027 O 1 * +bit 1026 O 1 * +bit 1025 O 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 I 1 IO_PAD326 +bit 1020 O 1 IO_PAD326 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_PAD324 +bit 1017 O 1 IO_PAD324 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_PAD323 +bit 1014 O 1 IO_PAD323 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_PAD322 +bit 1011 O 1 IO_PAD322 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_PAD321 +bit 1008 O 1 IO_PAD321 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_PAD320 +bit 1005 O 1 IO_PAD320 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_PAD318 +bit 1002 O 1 IO_PAD318 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_PAD316 +bit 999 O 1 IO_PAD316 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_PAD315 +bit 996 O 1 IO_PAD315 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_PAD314 +bit 993 O 1 IO_PAD314 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_PAD313 +bit 990 O 1 IO_PAD313 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_PAD312 +bit 987 O 1 IO_PAD312 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_PAD310 +bit 984 O 1 IO_PAD310 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_PAD308 +bit 981 O 1 IO_PAD308 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_PAD307 +bit 978 O 1 IO_PAD307 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_PAD306 +bit 975 O 1 IO_PAD306 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_PAD305 +bit 972 O 1 IO_PAD305 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_PAD304 +bit 969 O 1 IO_PAD304 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_PAD302 +bit 966 O 1 IO_PAD302 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_PAD301 +bit 963 O 1 IO_PAD301 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_PAD300 +bit 960 O 1 IO_PAD300 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_PAD298 +bit 957 O 1 IO_PAD298 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_PAD296 +bit 954 O 1 IO_PAD296 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_PAD295 +bit 951 O 1 IO_PAD295 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_PAD294 +bit 948 O 1 IO_PAD294 947 1 Z +bit 947 C 1 * +bit 946 O 1 * +bit 945 O 1 * +bit 944 O 1 * +bit 943 O 1 * +bit 942 O 1 * +bit 941 O 1 * +bit 940 I 1 IO_PAD292 +bit 939 O 1 IO_PAD292 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_PAD290 +bit 936 O 1 IO_PAD290 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_PAD289 +bit 933 O 1 IO_PAD289 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_PAD288 +bit 930 O 1 IO_PAD288 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_PAD287 +bit 927 O 1 IO_PAD287 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_PAD286 +bit 924 O 1 IO_PAD286 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_PAD284 +bit 921 O 1 IO_PAD284 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_PAD282 +bit 918 O 1 IO_PAD282 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_PAD281 +bit 915 O 1 IO_PAD281 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_PAD279 +bit 912 O 1 IO_PAD279 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_PAD278 +bit 909 O 1 IO_PAD278 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_PAD276 +bit 906 O 1 IO_PAD276 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_PAD274 +bit 903 O 1 IO_PAD274 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_PAD273 +bit 900 O 1 IO_PAD273 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_PAD272 +bit 897 O 1 IO_PAD272 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_PAD271 +bit 894 O 1 IO_PAD271 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_PAD270 +bit 891 O 1 IO_PAD270 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_PAD268 +bit 888 O 1 IO_PAD268 887 1 Z +bit 887 C 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 I 1 IO_PAD266 +bit 879 O 1 IO_PAD266 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_PAD265 +bit 876 O 1 IO_PAD265 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_PAD264 +bit 873 O 1 IO_PAD264 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_PAD262 +bit 870 O 1 IO_PAD262 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_PAD260 +bit 867 O 1 IO_PAD260 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_PAD259 +bit 864 O 1 IO_PAD259 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_PAD258 +bit 861 O 1 IO_PAD258 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_PAD256 +bit 858 O 1 IO_PAD256 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_PAD255 +bit 855 O 1 IO_PAD255 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_PAD254 +bit 852 O 1 IO_PAD254 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_PAD253 +bit 849 O 1 IO_PAD253 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_PAD252 +bit 846 O 1 IO_PAD252 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_PAD250 +bit 843 O 1 IO_PAD250 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_PAD248 +bit 840 O 1 IO_PAD248 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_PAD247 +bit 837 O 1 IO_PAD247 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_PAD246 +bit 834 O 1 IO_PAD246 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_PAD245 +bit 831 O 1 IO_PAD245 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_PAD244 +bit 828 O 1 IO_PAD244 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_PAD242 +bit 825 O 1 IO_PAD242 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_PAD240 +bit 822 O 1 IO_PAD240 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_PAD239 +bit 819 O 1 IO_PAD239 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_PAD238 +bit 816 O 1 IO_PAD238 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_PAD237 +bit 813 O 1 IO_PAD237 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_PAD236 +bit 810 O 1 IO_PAD236 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_PAD234 +bit 807 O 1 IO_PAD234 806 1 Z +bit 806 C 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 I 1 IO_PAD232 +bit 798 O 1 IO_PAD232 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_PAD231 +bit 795 O 1 IO_PAD231 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_PAD230 +bit 792 O 1 IO_PAD230 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_PAD228 +bit 789 O 1 IO_PAD228 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_PAD226 +bit 786 O 1 IO_PAD226 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_PAD225 +bit 783 O 1 IO_PAD225 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_PAD224 +bit 780 O 1 IO_PAD224 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_PAD222 +bit 777 O 1 IO_PAD222 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_PAD221 +bit 774 O 1 IO_PAD221 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_PAD220 +bit 771 O 1 IO_PAD220 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_PAD219 +bit 768 O 1 IO_PAD219 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_PAD218 +bit 765 O 1 IO_PAD218 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_PAD216 +bit 762 O 1 IO_PAD216 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_PAD214 +bit 759 O 1 IO_PAD214 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_PAD213 +bit 756 O 1 IO_PAD213 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_PAD212 +bit 753 O 1 IO_PAD212 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_PAD211 +bit 750 O 1 IO_PAD211 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_PAD210 +bit 747 O 1 IO_PAD210 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_PAD208 +bit 744 O 1 IO_PAD208 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_PAD207 +bit 741 O 1 IO_PAD207 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_PAD206 +bit 738 O 1 IO_PAD206 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_PAD204 +bit 735 O 1 IO_PAD204 734 1 Z +bit 734 C 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 I 1 IO_PAD202 +bit 726 O 1 IO_PAD202 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_PAD201 +bit 723 O 1 IO_PAD201 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_PAD200 +bit 720 O 1 IO_PAD200 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_PAD198 +bit 717 O 1 IO_PAD198 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_PAD196 +bit 714 O 1 IO_PAD196 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_PAD195 +bit 711 O 1 IO_PAD195 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_PAD194 +bit 708 O 1 IO_PAD194 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_PAD193 +bit 705 O 1 IO_PAD193 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_PAD192 +bit 702 O 1 IO_PAD192 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_PAD190 +bit 699 O 1 IO_PAD190 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_PAD188 +bit 696 O 1 IO_PAD188 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_PAD187 +bit 693 O 1 IO_PAD187 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_PAD186 +bit 690 O 1 IO_PAD186 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_PAD185 +bit 687 O 1 IO_PAD185 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_PAD184 +bit 684 O 1 IO_PAD184 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_PAD183 +bit 681 O 1 IO_PAD183 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_PAD182 +bit 678 O 1 IO_PAD182 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_PAD180 +bit 675 O 1 IO_PAD180 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_PAD178 +bit 672 O 1 IO_PAD178 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_PAD177 +bit 669 O 1 IO_PAD177 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_PAD176 +bit 666 O 1 IO_PAD176 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_PAD175 +bit 663 O 1 IO_PAD175 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_PAD174 +bit 660 O 1 IO_PAD174 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_PAD172 +bit 657 O 1 IO_PAD172 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_PAD170 +bit 654 O 1 IO_PAD170 653 1 Z +bit 653 C 1 * +bit 652 O 1 * +bit 651 O 1 * +bit 650 O 1 * +bit 649 O 1 * +bit 648 O 1 * +bit 647 O 1 * +bit 646 I 1 IO_PAD168 +bit 645 O 1 IO_PAD168 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_PAD167 +bit 642 O 1 IO_PAD167 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_PAD166 +bit 639 O 1 IO_PAD166 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_PAD164 +bit 636 O 1 IO_PAD164 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_PAD163 +bit 633 O 1 IO_PAD163 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_PAD162 +bit 630 O 1 IO_PAD162 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_PAD160 +bit 627 O 1 IO_PAD160 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_PAD159 +bit 624 O 1 IO_PAD159 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_PAD158 +bit 621 O 1 IO_PAD158 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_PAD156 +bit 618 O 1 IO_PAD156 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_PAD155 +bit 615 O 1 IO_PAD155 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_PAD154 +bit 612 O 1 IO_PAD154 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_PAD153 +bit 609 O 1 IO_PAD153 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_PAD152 +bit 606 O 1 IO_PAD152 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_PAD151 +bit 603 O 1 IO_PAD151 602 1 Z +bit 602 C 1 * +bit 601 O 1 * +bit 600 I 1 HSWAP_EN_PAD147 +bit 599 I 1 IO_PAD146 +bit 598 O 1 IO_PAD146 597 1 Z +bit 597 C 1 * +bit 596 I 1 IO_PAD145 +bit 595 O 1 IO_PAD145 594 1 Z +bit 594 C 1 * +bit 593 I 1 IO_PAD143 +bit 592 O 1 IO_PAD143 591 1 Z +bit 591 C 1 * +bit 590 I 1 IO_PAD142 +bit 589 O 1 IO_PAD142 588 1 Z +bit 588 C 1 * +bit 587 I 1 IO_PAD141 +bit 586 O 1 IO_PAD141 585 1 Z +bit 585 C 1 * +bit 584 I 1 IO_PAD140 +bit 583 O 1 IO_PAD140 582 1 Z +bit 582 C 1 * +bit 581 I 1 IO_PAD139 +bit 580 O 1 IO_PAD139 579 1 Z +bit 579 C 1 * +bit 578 I 1 IO_PAD137 +bit 577 O 1 IO_PAD137 576 1 Z +bit 576 C 1 * +bit 575 I 1 IO_PAD135 +bit 574 O 1 IO_PAD135 573 1 Z +bit 573 C 1 * +bit 572 I 1 IO_PAD134 +bit 571 O 1 IO_PAD134 570 1 Z +bit 570 C 1 * +bit 569 I 1 IO_PAD133 +bit 568 O 1 IO_PAD133 567 1 Z +bit 567 C 1 * +bit 566 I 1 IO_PAD132 +bit 565 O 1 IO_PAD132 564 1 Z +bit 564 C 1 * +bit 563 I 1 IO_PAD131 +bit 562 O 1 IO_PAD131 561 1 Z +bit 561 C 1 * +bit 560 I 1 IO_PAD129 +bit 559 O 1 IO_PAD129 558 1 Z +bit 558 C 1 * +bit 557 I 1 IO_PAD127 +bit 556 O 1 IO_PAD127 555 1 Z +bit 555 C 1 * +bit 554 I 1 IO_PAD125 +bit 553 O 1 IO_PAD125 552 1 Z +bit 552 C 1 * +bit 551 I 1 IO_PAD123 +bit 550 O 1 IO_PAD123 549 1 Z +bit 549 C 1 * +bit 548 I 1 IO_PAD122 +bit 547 O 1 IO_PAD122 546 1 Z +bit 546 C 1 * +bit 545 I 1 IO_PAD121 +bit 544 O 1 IO_PAD121 543 1 Z +bit 543 C 1 * +bit 542 I 1 IO_PAD119 +bit 541 O 1 IO_PAD119 540 1 Z +bit 540 C 1 * +bit 539 I 1 IO_PAD118 +bit 538 O 1 IO_PAD118 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_PAD117 +bit 535 O 1 IO_PAD117 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_PAD115 +bit 532 O 1 IO_PAD115 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_PAD113 +bit 529 O 1 IO_PAD113 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_PAD111 +bit 526 O 1 IO_PAD111 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_PAD110 +bit 523 O 1 IO_PAD110 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_PAD109 +bit 520 O 1 IO_PAD109 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_PAD108 +bit 517 O 1 IO_PAD108 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_PAD107 +bit 514 O 1 IO_PAD107 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_PAD105 +bit 511 O 1 IO_PAD105 510 1 Z +bit 510 C 1 * +bit 509 I 1 IO_PAD104 +bit 508 O 1 IO_PAD104 507 1 Z +bit 507 C 1 * +bit 506 I 1 IO_PAD103 +bit 505 O 1 IO_PAD103 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_PAD101 +bit 502 O 1 IO_PAD101 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_PAD99 +bit 499 O 1 IO_PAD99 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_PAD98 +bit 496 O 1 IO_PAD98 495 1 Z +bit 495 C 1 * +bit 494 I 1 IO_PAD97 +bit 493 O 1 IO_PAD97 492 1 Z +bit 492 C 1 * +bit 491 I 1 IO_PAD95 +bit 490 O 1 IO_PAD95 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_PAD93 +bit 487 O 1 IO_PAD93 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_PAD91 +bit 484 O 1 IO_PAD91 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_PAD89 +bit 481 O 1 IO_PAD89 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_PAD87 +bit 478 O 1 IO_PAD87 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_PAD86 +bit 475 O 1 IO_PAD86 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_PAD85 +bit 472 O 1 IO_PAD85 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_PAD84 +bit 469 O 1 IO_PAD84 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_PAD83 +bit 466 O 1 IO_PAD83 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_PAD81 +bit 463 O 1 IO_PAD81 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_PAD80 +bit 460 O 1 IO_PAD80 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_PAD79 +bit 457 O 1 IO_PAD79 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_PAD77 +bit 454 O 1 IO_PAD77 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_PAD75 +bit 451 O 1 IO_PAD75 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_PAD74 +bit 448 O 1 IO_PAD74 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_PAD73 +bit 445 O 1 IO_PAD73 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_PAD71 +bit 442 O 1 IO_PAD71 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_PAD70 +bit 439 O 1 IO_PAD70 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_PAD69 +bit 436 O 1 IO_PAD69 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_PAD67 +bit 433 O 1 IO_PAD67 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_PAD65 +bit 430 O 1 IO_PAD65 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_PAD63 +bit 427 O 1 IO_PAD63 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_PAD62 +bit 424 O 1 IO_PAD62 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_PAD61 +bit 421 O 1 IO_PAD61 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_PAD59 +bit 418 O 1 IO_PAD59 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_PAD58 +bit 415 O 1 IO_PAD58 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_PAD57 +bit 412 O 1 IO_PAD57 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_PAD55 +bit 409 O 1 IO_PAD55 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_PAD53 +bit 406 O 1 IO_PAD53 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_PAD51 +bit 403 O 1 IO_PAD51 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_PAD50 +bit 400 O 1 IO_PAD50 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_PAD49 +bit 397 O 1 IO_PAD49 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_PAD47 +bit 394 O 1 IO_PAD47 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_PAD46 +bit 391 O 1 IO_PAD46 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_PAD45 +bit 388 O 1 IO_PAD45 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_PAD43 +bit 385 O 1 IO_PAD43 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_PAD41 +bit 382 O 1 IO_PAD41 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_PAD39 +bit 379 O 1 IO_PAD39 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_PAD38 +bit 376 O 1 IO_PAD38 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_PAD37 +bit 373 O 1 IO_PAD37 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_PAD35 +bit 370 O 1 IO_PAD35 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_PAD34 +bit 367 O 1 IO_PAD34 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_PAD33 +bit 364 O 1 IO_PAD33 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_PAD31 +bit 361 O 1 IO_PAD31 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_PAD29 +bit 358 O 1 IO_PAD29 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_PAD28 +bit 355 O 1 IO_PAD28 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_PAD27 +bit 352 O 1 IO_PAD27 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_PAD26 +bit 349 O 1 IO_PAD26 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_PAD25 +bit 346 O 1 IO_PAD25 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_PAD23 +bit 343 O 1 IO_PAD23 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_PAD21 +bit 340 O 1 IO_PAD21 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_PAD19 +bit 337 O 1 IO_PAD19 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_PAD17 +bit 334 O 1 IO_PAD17 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_PAD15 +bit 331 O 1 IO_PAD15 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_PAD14 +bit 328 O 1 IO_PAD14 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_PAD13 +bit 325 O 1 IO_PAD13 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_PAD11 +bit 322 O 1 IO_PAD11 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_PAD10 +bit 319 O 1 IO_PAD10 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_PAD9 +bit 316 O 1 IO_PAD9 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_PAD7 +bit 313 O 1 IO_PAD7 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_PAD5 +bit 310 O 1 IO_PAD5 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_PAD4 +bit 307 O 1 IO_PAD4 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_PAD3 +bit 304 O 1 IO_PAD3 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_PAD2 +bit 301 O 1 IO_PAD2 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_PAD1117 +bit 298 O 1 IO_PAD1117 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_PAD1116 +bit 295 O 1 IO_PAD1116 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_PAD1115 +bit 292 O 1 IO_PAD1115 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_PAD1114 +bit 289 O 1 IO_PAD1114 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_PAD1112 +bit 286 O 1 IO_PAD1112 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_PAD1110 +bit 283 O 1 IO_PAD1110 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_PAD1109 +bit 280 O 1 IO_PAD1109 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_PAD1108 +bit 277 O 1 IO_PAD1108 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_PAD1106 +bit 274 O 1 IO_PAD1106 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_PAD1105 +bit 271 O 1 IO_PAD1105 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_PAD1104 +bit 268 O 1 IO_PAD1104 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_PAD1102 +bit 265 O 1 IO_PAD1102 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_PAD1100 +bit 262 O 1 IO_PAD1100 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_PAD1098 +bit 259 O 1 IO_PAD1098 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_PAD1096 +bit 256 O 1 IO_PAD1096 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_PAD1094 +bit 253 O 1 IO_PAD1094 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_PAD1093 +bit 250 O 1 IO_PAD1093 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_PAD1092 +bit 247 O 1 IO_PAD1092 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_PAD1091 +bit 244 O 1 IO_PAD1091 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_PAD1090 +bit 241 O 1 IO_PAD1090 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_PAD1088 +bit 238 O 1 IO_PAD1088 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_PAD1086 +bit 235 O 1 IO_PAD1086 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_PAD1085 +bit 232 O 1 IO_PAD1085 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_PAD1084 +bit 229 O 1 IO_PAD1084 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_PAD1082 +bit 226 O 1 IO_PAD1082 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_PAD1081 +bit 223 O 1 IO_PAD1081 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_PAD1080 +bit 220 O 1 IO_PAD1080 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_PAD1078 +bit 217 O 1 IO_PAD1078 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_PAD1076 +bit 214 O 1 IO_PAD1076 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_PAD1074 +bit 211 O 1 IO_PAD1074 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_PAD1073 +bit 208 O 1 IO_PAD1073 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_PAD1072 +bit 205 O 1 IO_PAD1072 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_PAD1070 +bit 202 O 1 IO_PAD1070 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_PAD1069 +bit 199 O 1 IO_PAD1069 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_PAD1068 +bit 196 O 1 IO_PAD1068 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_PAD1066 +bit 193 O 1 IO_PAD1066 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_PAD1064 +bit 190 O 1 IO_PAD1064 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_PAD1062 +bit 187 O 1 IO_PAD1062 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_PAD1061 +bit 184 O 1 IO_PAD1061 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_PAD1060 +bit 181 O 1 IO_PAD1060 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_PAD1058 +bit 178 O 1 IO_PAD1058 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_PAD1057 +bit 175 O 1 IO_PAD1057 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_PAD1056 +bit 172 O 1 IO_PAD1056 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_PAD1054 +bit 169 O 1 IO_PAD1054 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_PAD1052 +bit 166 O 1 IO_PAD1052 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_PAD1050 +bit 163 O 1 IO_PAD1050 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_PAD1049 +bit 160 O 1 IO_PAD1049 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_PAD1048 +bit 157 O 1 IO_PAD1048 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_PAD1046 +bit 154 O 1 IO_PAD1046 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_PAD1045 +bit 151 O 1 IO_PAD1045 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_PAD1044 +bit 148 O 1 IO_PAD1044 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_PAD1042 +bit 145 O 1 IO_PAD1042 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_PAD1040 +bit 142 O 1 IO_PAD1040 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_PAD1039 +bit 139 O 1 IO_PAD1039 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_PAD1038 +bit 136 O 1 IO_PAD1038 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_PAD1036 +bit 133 O 1 IO_PAD1036 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_PAD1035 +bit 130 O 1 IO_PAD1035 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_PAD1034 +bit 127 O 1 IO_PAD1034 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_PAD1033 +bit 124 O 1 IO_PAD1033 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_PAD1032 +bit 121 O 1 IO_PAD1032 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_PAD1030 +bit 118 O 1 IO_PAD1030 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_PAD1028 +bit 115 O 1 IO_PAD1028 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_PAD1026 +bit 112 O 1 IO_PAD1026 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_PAD1024 +bit 109 O 1 IO_PAD1024 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_PAD1022 +bit 106 O 1 IO_PAD1022 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_PAD1021 +bit 103 O 1 IO_PAD1021 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_PAD1020 +bit 100 O 1 IO_PAD1020 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_PAD1018 +bit 97 O 1 IO_PAD1018 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_PAD1016 +bit 94 O 1 IO_PAD1016 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_PAD1015 +bit 91 O 1 IO_PAD1015 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_PAD1014 +bit 88 O 1 IO_PAD1014 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_PAD1012 +bit 85 O 1 IO_PAD1012 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_PAD1011 +bit 82 O 1 IO_PAD1011 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_PAD1010 +bit 79 O 1 IO_PAD1010 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_PAD1009 +bit 76 O 1 IO_PAD1009 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_PAD1008 +bit 73 O 1 IO_PAD1008 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_PAD1006 +bit 70 O 1 IO_PAD1006 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_PAD1004 +bit 67 O 1 IO_PAD1004 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_PAD1002 +bit 64 O 1 IO_PAD1002 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_PAD1001 +bit 61 O 1 IO_PAD1001 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_PAD1000 +bit 58 O 1 IO_PAD1000 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_PAD998 +bit 55 O 1 IO_PAD998 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_PAD997 +bit 52 O 1 IO_PAD997 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_PAD996 +bit 49 O 1 IO_PAD996 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_PAD994 +bit 46 O 1 IO_PAD994 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_PAD992 +bit 43 O 1 IO_PAD992 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_PAD990 +bit 40 O 1 IO_PAD990 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_PAD988 +bit 37 O 1 IO_PAD988 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_PAD987 +bit 34 O 1 IO_PAD987 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_PAD986 +bit 31 O 1 IO_PAD986 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_PAD985 +bit 28 O 1 IO_PAD985 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_PAD984 +bit 25 O 1 IO_PAD984 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_PAD982 +bit 22 O 1 IO_PAD982 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_PAD980 +bit 19 O 1 IO_PAD980 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_PAD979 +bit 16 O 1 IO_PAD979 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_PAD978 +bit 13 O 1 IO_PAD978 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_PAD977 +bit 10 O 1 IO_PAD977 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_PAD976 +bit 7 O 1 IO_PAD976 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_PAD974 +bit 4 O 1 IO_PAD974 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_PAD973 +bit 1 O 1 IO_PAD973 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000_fg1156 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000_fg1156 new file mode 100644 index 0000000..196e470 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000_fg1156 @@ -0,0 +1,3625 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal GND121 +signal GND122 +signal GND123 +signal GND124 +signal GND125 +signal GND126 +signal GND127 +signal GND128 +signal GND129 +signal GND130 +signal GND131 +signal GND132 +signal GND133 +signal GND134 +signal GND135 +signal GND136 +signal GND137 +signal GND138 +signal GND139 +signal GND140 +signal GND141 +signal GND142 +signal GND143 +signal GND144 +signal GND145 +signal GND146 +signal GND147 +signal GND148 +signal GND149 +signal GND150 +signal GND151 +signal GND152 +signal GND153 +signal GND154 +signal GND155 +signal GND156 +signal GND157 +signal GND158 +signal GND159 +signal GND160 +signal GND161 +signal GND162 +signal GND163 +signal GND164 +signal GND165 +signal GND166 +signal GND167 +signal GND168 +signal GND169 +signal GND170 +signal GND171 +signal GND172 +signal GND173 +signal GND174 +signal GND175 +signal GND176 +signal GND177 +signal GND178 +signal GND179 +signal GND180 +signal GND181 +signal GND182 +signal GND183 +signal GND184 +signal CCLK_AL31 +signal DONE_AD24 +signal HSWAP_EN_L11 +signal M0_AL4 +signal M1_AK4 +signal M2_AG8 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCAUX25 +signal VCCAUX26 +signal VCCAUX27 +signal VCCAUX28 +signal VCCAUX29 +signal VCCAUX30 +signal VCCAUX31 +signal VCCAUX32 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCINT33 +signal VCCINT34 +signal VCCINT35 +signal VCCINT36 +signal VCCINT37 +signal VCCINT38 +signal VCCINT39 +signal VCCINT40 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO011 +signal VCCO012 +signal VCCO013 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO111 +signal VCCO112 +signal VCCO113 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO211 +signal VCCO212 +signal VCCO213 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO311 +signal VCCO312 +signal VCCO313 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO411 +signal VCCO412 +signal VCCO413 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO511 +signal VCCO512 +signal VCCO513 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO611 +signal VCCO612 +signal VCCO613 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal VCCO711 +signal VCCO712 +signal VCCO713 +signal IO_A3 +signal IO_A4 +signal IO_A6 +signal IO_A7 +signal IO_A8 +signal IO_A10 +signal IO_A11 +signal IO_A12 +signal IO_A14 +signal IO_A15 +signal IO_A17 +signal IO_A18 +signal IO_A20 +signal IO_A21 +signal IO_A23 +signal IO_A24 +signal IO_A25 +signal IO_A27 +signal IO_A28 +signal IO_A29 +signal IO_A31 +signal IO_A32 +signal IO_B3 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_B28 +signal IO_B29 +signal IO_B30 +signal IO_B31 +signal IO_B32 +signal IO_C1 +signal IO_C2 +signal IO_C5 +signal IO_C6 +signal IO_C7 +signal IO_C9 +signal IO_C10 +signal IO_C12 +signal IO_C13 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C18 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C22 +signal IO_C23 +signal IO_C25 +signal IO_C26 +signal IO_C28 +signal IO_C29 +signal IO_C30 +signal IO_C33 +signal IO_C34 +signal IO_D1 +signal IO_D2 +signal IO_D5 +signal IO_D6 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D10 +signal IO_D12 +signal IO_D13 +signal IO_D14 +signal IO_D15 +signal IO_D17 +signal IO_D18 +signal IO_D20 +signal IO_D21 +signal IO_D22 +signal IO_D23 +signal IO_D25 +signal IO_D26 +signal IO_D27 +signal IO_D28 +signal IO_D29 +signal IO_D30 +signal IO_D33 +signal IO_D34 +signal IO_E2 +signal IO_E3 +signal IO_E7 +signal IO_E8 +signal IO_E10 +signal IO_E12 +signal IO_E14 +signal IO_E17 +signal IO_E18 +signal IO_E21 +signal IO_E23 +signal IO_E25 +signal IO_E27 +signal IO_E28 +signal IO_E32 +signal IO_E33 +signal IO_F1 +signal IO_F2 +signal IO_F3 +signal IO_F4 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_F27 +signal IO_F28 +signal IO_F29 +signal IO_F31 +signal IO_F32 +signal IO_F33 +signal IO_F34 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G5 +signal IO_G6 +signal IO_G9 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G13 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G18 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G22 +signal IO_G23 +signal IO_G24 +signal IO_G25 +signal IO_G26 +signal IO_G29 +signal IO_G30 +signal IO_G31 +signal IO_G32 +signal IO_G33 +signal IO_G34 +signal IO_H1 +signal IO_H2 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H8 +signal IO_H9 +signal IO_H10 +signal IO_H12 +signal IO_H13 +signal IO_H14 +signal IO_H17 +signal IO_H18 +signal IO_H21 +signal IO_H22 +signal IO_H23 +signal IO_H25 +signal IO_H26 +signal IO_H29 +signal IO_H30 +signal IO_H31 +signal IO_H33 +signal IO_H34 +signal IO_J2 +signal IO_J3 +signal IO_J4 +signal IO_J6 +signal IO_J7 +signal IO_J8 +signal IO_J9 +signal IO_J10 +signal IO_J11 +signal IO_J12 +signal IO_J14 +signal IO_J15 +signal IO_J17 +signal IO_J18 +signal IO_J20 +signal IO_J21 +signal IO_J23 +signal IO_J24 +signal IO_J25 +signal IO_J26 +signal IO_J27 +signal IO_J28 +signal IO_J29 +signal IO_J31 +signal IO_J32 +signal IO_J33 +signal IO_K1 +signal IO_K2 +signal IO_K3 +signal IO_K4 +signal IO_K5 +signal IO_K6 +signal IO_K7 +signal IO_K8 +signal IO_K9 +signal IO_K11 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K23 +signal IO_K24 +signal IO_K26 +signal IO_K27 +signal IO_K28 +signal IO_K29 +signal IO_K30 +signal IO_K31 +signal IO_K32 +signal IO_K33 +signal IO_K34 +signal IO_L1 +signal IO_L2 +signal IO_L6 +signal IO_L7 +signal IO_L9 +signal IO_L10 +signal IO_L12 +signal IO_L13 +signal IO_L14 +signal IO_L15 +signal IO_L16 +signal IO_L17 +signal IO_L18 +signal IO_L19 +signal IO_L20 +signal IO_L21 +signal IO_L22 +signal IO_L23 +signal IO_L24 +signal IO_L25 +signal IO_L26 +signal IO_L28 +signal IO_L29 +signal IO_L33 +signal IO_L34 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M9 +signal IO_M10 +signal IO_M11 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_M29 +signal IO_M30 +signal IO_M31 +signal IO_M32 +signal IO_M33 +signal IO_M34 +signal IO_N3 +signal IO_N4 +signal IO_N7 +signal IO_N8 +signal IO_N10 +signal IO_N11 +signal IO_N24 +signal IO_N25 +signal IO_N27 +signal IO_N28 +signal IO_N31 +signal IO_N32 +signal IO_P1 +signal IO_P2 +signal IO_P3 +signal IO_P4 +signal IO_P5 +signal IO_P6 +signal IO_P7 +signal IO_P8 +signal IO_P9 +signal IO_P10 +signal IO_P11 +signal IO_P24 +signal IO_P25 +signal IO_P26 +signal IO_P27 +signal IO_P28 +signal IO_P29 +signal IO_P30 +signal IO_P31 +signal IO_P32 +signal IO_P33 +signal IO_P34 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R6 +signal IO_R7 +signal IO_R9 +signal IO_R10 +signal IO_R11 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R28 +signal IO_R29 +signal IO_R31 +signal IO_R32 +signal IO_R33 +signal IO_R34 +signal IO_T2 +signal IO_T3 +signal IO_T6 +signal IO_T7 +signal IO_T10 +signal IO_T11 +signal IO_T24 +signal IO_T25 +signal IO_T28 +signal IO_T29 +signal IO_T32 +signal IO_T33 +signal IO_U1 +signal IO_U2 +signal IO_U3 +signal IO_U4 +signal IO_U5 +signal IO_U6 +signal IO_U7 +signal IO_U8 +signal IO_U9 +signal IO_U10 +signal IO_U11 +signal IO_U24 +signal IO_U25 +signal IO_U26 +signal IO_U27 +signal IO_U28 +signal IO_U29 +signal IO_U30 +signal IO_U31 +signal IO_U32 +signal IO_U33 +signal IO_U34 +signal IO_V1 +signal IO_V2 +signal IO_V3 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V7 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V11 +signal IO_V24 +signal IO_V25 +signal IO_V26 +signal IO_V27 +signal IO_V28 +signal IO_V29 +signal IO_V30 +signal IO_V31 +signal IO_V32 +signal IO_V33 +signal IO_V34 +signal IO_W2 +signal IO_W3 +signal IO_W6 +signal IO_W7 +signal IO_W10 +signal IO_W11 +signal IO_W24 +signal IO_W25 +signal IO_W28 +signal IO_W29 +signal IO_W32 +signal IO_W33 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y6 +signal IO_Y7 +signal IO_Y9 +signal IO_Y10 +signal IO_Y11 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y28 +signal IO_Y29 +signal IO_Y31 +signal IO_Y32 +signal IO_Y33 +signal IO_Y34 +signal IO_AA1 +signal IO_AA2 +signal IO_AA3 +signal IO_AA4 +signal IO_AA5 +signal IO_AA6 +signal IO_AA7 +signal IO_AA8 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA24 +signal IO_AA25 +signal IO_AA26 +signal IO_AA27 +signal IO_AA28 +signal IO_AA29 +signal IO_AA30 +signal IO_AA31 +signal IO_AA32 +signal IO_AA33 +signal IO_AA34 +signal IO_AB3 +signal IO_AB4 +signal IO_AB7 +signal IO_AB8 +signal IO_AB10 +signal IO_AB11 +signal IO_AB24 +signal IO_AB25 +signal IO_AB27 +signal IO_AB28 +signal IO_AB31 +signal IO_AB32 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC10 +signal IO_AC11 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AC31 +signal IO_AC32 +signal IO_AC33 +signal IO_AC34 +signal IO_AD1 +signal IO_AD2 +signal IO_AD6 +signal IO_AD7 +signal IO_AD9 +signal IO_AD10 +signal IO_AD11 +signal IO_AD12 +signal IO_AD13 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD18 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD22 +signal IO_AD23 +signal IO_AD25 +signal IO_AD26 +signal IO_AD28 +signal IO_AD29 +signal IO_AD33 +signal IO_AD34 +signal IO_AE1 +signal IO_AE2 +signal IO_AE3 +signal IO_AE4 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AE26 +signal IO_AE27 +signal IO_AE28 +signal IO_AE29 +signal IO_AE30 +signal IO_AE31 +signal IO_AE32 +signal IO_AE33 +signal IO_AE34 +signal IO_AF2 +signal IO_AF3 +signal IO_AF4 +signal IO_AF6 +signal IO_AF7 +signal IO_AF8 +signal IO_AF9 +signal IO_AF10 +signal IO_AF11 +signal IO_AF12 +signal IO_AF14 +signal IO_AF15 +signal IO_AF17 +signal IO_AF18 +signal IO_AF20 +signal IO_AF21 +signal IO_AF23 +signal IO_AF24 +signal IO_AF25 +signal IO_AF26 +signal IO_AF27 +signal IO_AF28 +signal IO_AF29 +signal IO_AF31 +signal IO_AF32 +signal IO_AF33 +signal IO_AG1 +signal IO_AG2 +signal IO_AG4 +signal IO_AG5 +signal IO_AG6 +signal IO_AG9 +signal IO_AG10 +signal IO_AG12 +signal IO_AG13 +signal IO_AG14 +signal IO_AG17 +signal IO_AG18 +signal IO_AG21 +signal IO_AG22 +signal IO_AG23 +signal IO_AG25 +signal IO_AG26 +signal IO_AG27 +signal IO_AG29 +signal IO_AG30 +signal IO_AG31 +signal IO_AG33 +signal IO_AG34 +signal IO_AH1 +signal IO_AH2 +signal IO_AH3 +signal IO_AH4 +signal IO_AH5 +signal IO_AH6 +signal IO_AH9 +signal IO_AH10 +signal IO_AH11 +signal IO_AH12 +signal IO_AH13 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH18 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH22 +signal IO_AH23 +signal IO_AH24 +signal IO_AH25 +signal IO_AH26 +signal IO_AH29 +signal IO_AH30 +signal IO_AH31 +signal IO_AH32 +signal IO_AH33 +signal IO_AH34 +signal IO_AJ1 +signal IO_AJ2 +signal IO_AJ3 +signal IO_AJ4 +signal IO_AJ6 +signal IO_AJ7 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ11 +signal IO_AJ12 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ23 +signal IO_AJ24 +signal IO_AJ25 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AJ28 +signal IO_AJ29 +signal IO_AJ31 +signal IO_AJ32 +signal IO_AJ33 +signal IO_AJ34 +signal IO_AK2 +signal IO_AK3 +signal IO_AK7 +signal IO_AK8 +signal IO_AK10 +signal IO_AK12 +signal IO_AK14 +signal IO_AK17 +signal IO_AK18 +signal IO_AK21 +signal IO_AK23 +signal IO_AK25 +signal IO_AK27 +signal IO_AK28 +signal IO_AK32 +signal IO_AK33 +signal IO_AL1 +signal IO_AL2 +signal IO_AL5 +signal IO_AL6 +signal IO_AL7 +signal IO_AL8 +signal IO_AL9 +signal IO_AL10 +signal IO_AL12 +signal IO_AL13 +signal IO_AL14 +signal IO_AL15 +signal IO_AL17 +signal IO_AL18 +signal IO_AL20 +signal IO_AL21 +signal IO_AL22 +signal IO_AL23 +signal IO_AL25 +signal IO_AL26 +signal IO_AL27 +signal IO_AL28 +signal IO_AL29 +signal IO_AL30 +signal IO_AL33 +signal IO_AL34 +signal IO_AM1 +signal IO_AM2 +signal IO_AM5 +signal IO_AM6 +signal IO_AM7 +signal IO_AM9 +signal IO_AM10 +signal IO_AM12 +signal IO_AM13 +signal IO_AM14 +signal IO_AM15 +signal IO_AM16 +signal IO_AM17 +signal IO_AM18 +signal IO_AM19 +signal IO_AM20 +signal IO_AM21 +signal IO_AM22 +signal IO_AM23 +signal IO_AM25 +signal IO_AM26 +signal IO_AM28 +signal IO_AM29 +signal IO_AM30 +signal IO_AM33 +signal IO_AM34 +signal IO_AN3 +signal IO_AN4 +signal IO_AN5 +signal IO_AN6 +signal IO_AN7 +signal IO_AN8 +signal IO_AN9 +signal IO_AN10 +signal IO_AN11 +signal IO_AN12 +signal IO_AN14 +signal IO_AN15 +signal IO_AN16 +signal IO_AN17 +signal IO_AN18 +signal IO_AN19 +signal IO_AN20 +signal IO_AN21 +signal IO_AN23 +signal IO_AN24 +signal IO_AN25 +signal IO_AN26 +signal IO_AN27 +signal IO_AN28 +signal IO_AN29 +signal IO_AN30 +signal IO_AN31 +signal IO_AN32 +signal IO_AP3 +signal IO_AP4 +signal IO_AP6 +signal IO_AP7 +signal IO_AP8 +signal IO_AP10 +signal IO_AP11 +signal IO_AP12 +signal IO_AP14 +signal IO_AP15 +signal IO_AP17 +signal IO_AP18 +signal IO_AP20 +signal IO_AP21 +signal IO_AP23 +signal IO_AP24 +signal IO_AP25 +signal IO_AP27 +signal IO_AP28 +signal IO_AP29 +signal IO_AP31 + +register BSR 2459 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2458 I 1 IO_C33 +bit 2457 O 1 IO_C33 2456 1 Z +bit 2456 C 1 * +bit 2455 I 1 IO_C34 +bit 2454 O 1 IO_C34 2453 1 Z +bit 2453 C 1 * +bit 2452 I 1 IO_D33 +bit 2451 O 1 IO_D33 2450 1 Z +bit 2450 C 1 * +bit 2449 I 1 IO_D34 +bit 2448 O 1 IO_D34 2447 1 Z +bit 2447 C 1 * +bit 2446 I 1 IO_E32 +bit 2445 O 1 IO_E32 2444 1 Z +bit 2444 C 1 * +bit 2443 I 1 IO_E33 +bit 2442 O 1 IO_E33 2441 1 Z +bit 2441 C 1 * +bit 2440 I 1 IO_F31 +bit 2439 O 1 IO_F31 2438 1 Z +bit 2438 C 1 * +bit 2437 I 1 IO_F32 +bit 2436 O 1 IO_F32 2435 1 Z +bit 2435 C 1 * +bit 2434 I 1 IO_F33 +bit 2433 O 1 IO_F33 2432 1 Z +bit 2432 C 1 * +bit 2431 I 1 IO_F34 +bit 2430 O 1 IO_F34 2429 1 Z +bit 2429 C 1 * +bit 2428 I 1 IO_G29 +bit 2427 O 1 IO_G29 2426 1 Z +bit 2426 C 1 * +bit 2425 I 1 IO_G30 +bit 2424 O 1 IO_G30 2423 1 Z +bit 2423 C 1 * +bit 2422 I 1 IO_G31 +bit 2421 O 1 IO_G31 2420 1 Z +bit 2420 C 1 * +bit 2419 I 1 IO_G32 +bit 2418 O 1 IO_G32 2417 1 Z +bit 2417 C 1 * +bit 2416 I 1 IO_G33 +bit 2415 O 1 IO_G33 2414 1 Z +bit 2414 C 1 * +bit 2413 O 1 * +bit 2412 O 1 * +bit 2411 O 1 * +bit 2410 O 1 * +bit 2409 O 1 * +bit 2408 O 1 * +bit 2407 I 1 IO_G34 +bit 2406 O 1 IO_G34 2405 1 Z +bit 2405 C 1 * +bit 2404 I 1 IO_H29 +bit 2403 O 1 IO_H29 2402 1 Z +bit 2402 C 1 * +bit 2401 I 1 IO_H30 +bit 2400 O 1 IO_H30 2399 1 Z +bit 2399 C 1 * +bit 2398 I 1 IO_H33 +bit 2397 O 1 IO_H33 2396 1 Z +bit 2396 C 1 * +bit 2395 I 1 IO_H34 +bit 2394 O 1 IO_H34 2393 1 Z +bit 2393 C 1 * +bit 2392 I 1 IO_J28 +bit 2391 O 1 IO_J28 2390 1 Z +bit 2390 C 1 * +bit 2389 I 1 IO_J29 +bit 2388 O 1 IO_J29 2387 1 Z +bit 2387 C 1 * +bit 2386 I 1 IO_H31 +bit 2385 O 1 IO_H31 2384 1 Z +bit 2384 C 1 * +bit 2383 I 1 IO_J31 +bit 2382 O 1 IO_J31 2381 1 Z +bit 2381 C 1 * +bit 2380 I 1 IO_J32 +bit 2379 O 1 IO_J32 2378 1 Z +bit 2378 C 1 * +bit 2377 I 1 IO_J33 +bit 2376 O 1 IO_J33 2375 1 Z +bit 2375 C 1 * +bit 2374 I 1 IO_J27 +bit 2373 O 1 IO_J27 2372 1 Z +bit 2372 C 1 * +bit 2371 I 1 IO_K26 +bit 2370 O 1 IO_K26 2369 1 Z +bit 2369 C 1 * +bit 2368 I 1 IO_K27 +bit 2367 O 1 IO_K27 2366 1 Z +bit 2366 C 1 * +bit 2365 I 1 IO_K28 +bit 2364 O 1 IO_K28 2363 1 Z +bit 2363 C 1 * +bit 2362 I 1 IO_K29 +bit 2361 O 1 IO_K29 2360 1 Z +bit 2360 C 1 * +bit 2359 I 1 IO_K30 +bit 2358 O 1 IO_K30 2357 1 Z +bit 2357 C 1 * +bit 2356 I 1 IO_K31 +bit 2355 O 1 IO_K31 2354 1 Z +bit 2354 C 1 * +bit 2353 I 1 IO_K32 +bit 2352 O 1 IO_K32 2351 1 Z +bit 2351 C 1 * +bit 2350 I 1 IO_K33 +bit 2349 O 1 IO_K33 2348 1 Z +bit 2348 C 1 * +bit 2347 I 1 IO_K34 +bit 2346 O 1 IO_K34 2345 1 Z +bit 2345 C 1 * +bit 2344 I 1 IO_L25 +bit 2343 O 1 IO_L25 2342 1 Z +bit 2342 C 1 * +bit 2341 I 1 IO_L26 +bit 2340 O 1 IO_L26 2339 1 Z +bit 2339 C 1 * +bit 2338 I 1 IO_L28 +bit 2337 O 1 IO_L28 2336 1 Z +bit 2336 C 1 * +bit 2335 I 1 IO_L29 +bit 2334 O 1 IO_L29 2333 1 Z +bit 2333 C 1 * +bit 2332 O 1 * +bit 2331 O 1 * +bit 2330 O 1 * +bit 2329 O 1 * +bit 2328 O 1 * +bit 2327 O 1 * +bit 2326 I 1 IO_L33 +bit 2325 O 1 IO_L33 2324 1 Z +bit 2324 C 1 * +bit 2323 I 1 IO_L34 +bit 2322 O 1 IO_L34 2321 1 Z +bit 2321 C 1 * +bit 2320 I 1 IO_M24 +bit 2319 O 1 IO_M24 2318 1 Z +bit 2318 C 1 * +bit 2317 I 1 IO_M25 +bit 2316 O 1 IO_M25 2315 1 Z +bit 2315 C 1 * +bit 2314 I 1 IO_M27 +bit 2313 O 1 IO_M27 2312 1 Z +bit 2312 C 1 * +bit 2311 I 1 IO_M28 +bit 2310 O 1 IO_M28 2309 1 Z +bit 2309 C 1 * +bit 2308 I 1 IO_M29 +bit 2307 O 1 IO_M29 2306 1 Z +bit 2306 C 1 * +bit 2305 I 1 IO_M30 +bit 2304 O 1 IO_M30 2303 1 Z +bit 2303 C 1 * +bit 2302 I 1 IO_M31 +bit 2301 O 1 IO_M31 2300 1 Z +bit 2300 C 1 * +bit 2299 I 1 IO_M32 +bit 2298 O 1 IO_M32 2297 1 Z +bit 2297 C 1 * +bit 2296 I 1 IO_M33 +bit 2295 O 1 IO_M33 2294 1 Z +bit 2294 C 1 * +bit 2293 I 1 IO_M34 +bit 2292 O 1 IO_M34 2291 1 Z +bit 2291 C 1 * +bit 2290 I 1 IO_M26 +bit 2289 O 1 IO_M26 2288 1 Z +bit 2288 C 1 * +bit 2287 I 1 IO_N25 +bit 2286 O 1 IO_N25 2285 1 Z +bit 2285 C 1 * +bit 2284 I 1 IO_N27 +bit 2283 O 1 IO_N27 2282 1 Z +bit 2282 C 1 * +bit 2281 I 1 IO_N28 +bit 2280 O 1 IO_N28 2279 1 Z +bit 2279 C 1 * +bit 2278 I 1 IO_N31 +bit 2277 O 1 IO_N31 2276 1 Z +bit 2276 C 1 * +bit 2275 I 1 IO_N32 +bit 2274 O 1 IO_N32 2273 1 Z +bit 2273 C 1 * +bit 2272 I 1 IO_N24 +bit 2271 O 1 IO_N24 2270 1 Z +bit 2270 C 1 * +bit 2269 I 1 IO_P24 +bit 2268 O 1 IO_P24 2267 1 Z +bit 2267 C 1 * +bit 2266 I 1 IO_P25 +bit 2265 O 1 IO_P25 2264 1 Z +bit 2264 C 1 * +bit 2263 I 1 IO_P26 +bit 2262 O 1 IO_P26 2261 1 Z +bit 2261 C 1 * +bit 2260 O 1 * +bit 2259 O 1 * +bit 2258 O 1 * +bit 2257 O 1 * +bit 2256 O 1 * +bit 2255 O 1 * +bit 2254 I 1 IO_P27 +bit 2253 O 1 IO_P27 2252 1 Z +bit 2252 C 1 * +bit 2251 I 1 IO_P28 +bit 2250 O 1 IO_P28 2249 1 Z +bit 2249 C 1 * +bit 2248 I 1 IO_P29 +bit 2247 O 1 IO_P29 2246 1 Z +bit 2246 C 1 * +bit 2245 I 1 IO_P30 +bit 2244 O 1 IO_P30 2243 1 Z +bit 2243 C 1 * +bit 2242 I 1 IO_P31 +bit 2241 O 1 IO_P31 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_P32 +bit 2238 O 1 IO_P32 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_P33 +bit 2235 O 1 IO_P33 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_P34 +bit 2232 O 1 IO_P34 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_R24 +bit 2229 O 1 IO_R24 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_R25 +bit 2226 O 1 IO_R25 2225 1 Z +bit 2225 C 1 * +bit 2224 I 1 IO_R28 +bit 2223 O 1 IO_R28 2222 1 Z +bit 2222 C 1 * +bit 2221 I 1 IO_R29 +bit 2220 O 1 IO_R29 2219 1 Z +bit 2219 C 1 * +bit 2218 I 1 IO_R31 +bit 2217 O 1 IO_R31 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_R32 +bit 2214 O 1 IO_R32 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_R33 +bit 2211 O 1 IO_R33 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_R34 +bit 2208 O 1 IO_R34 2207 1 Z +bit 2207 C 1 * +bit 2206 I 1 IO_R26 +bit 2205 O 1 IO_R26 2204 1 Z +bit 2204 C 1 * +bit 2203 I 1 IO_T25 +bit 2202 O 1 IO_T25 2201 1 Z +bit 2201 C 1 * +bit 2200 I 1 IO_T28 +bit 2199 O 1 IO_T28 2198 1 Z +bit 2198 C 1 * +bit 2197 I 1 IO_T29 +bit 2196 O 1 IO_T29 2195 1 Z +bit 2195 C 1 * +bit 2194 I 1 IO_T32 +bit 2193 O 1 IO_T32 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_T33 +bit 2190 O 1 IO_T33 2189 1 Z +bit 2189 C 1 * +bit 2188 I 1 IO_T24 +bit 2187 O 1 IO_T24 2186 1 Z +bit 2186 C 1 * +bit 2185 I 1 IO_U24 +bit 2184 O 1 IO_U24 2183 1 Z +bit 2183 C 1 * +bit 2182 I 1 IO_U25 +bit 2181 O 1 IO_U25 2180 1 Z +bit 2180 C 1 * +bit 2179 O 1 * +bit 2178 O 1 * +bit 2177 O 1 * +bit 2176 O 1 * +bit 2175 O 1 * +bit 2174 O 1 * +bit 2173 I 1 IO_U26 +bit 2172 O 1 IO_U26 2171 1 Z +bit 2171 C 1 * +bit 2170 I 1 IO_U27 +bit 2169 O 1 IO_U27 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_U28 +bit 2166 O 1 IO_U28 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_U29 +bit 2163 O 1 IO_U29 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_U30 +bit 2160 O 1 IO_U30 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_U31 +bit 2157 O 1 IO_U31 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_U32 +bit 2154 O 1 IO_U32 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_U33 +bit 2151 O 1 IO_U33 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_U34 +bit 2148 O 1 IO_U34 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_V34 +bit 2145 O 1 IO_V34 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_V33 +bit 2142 O 1 IO_V33 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_V32 +bit 2139 O 1 IO_V32 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_V31 +bit 2136 O 1 IO_V31 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_V30 +bit 2133 O 1 IO_V30 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_V29 +bit 2130 O 1 IO_V29 2129 1 Z +bit 2129 C 1 * +bit 2128 I 1 IO_V28 +bit 2127 O 1 IO_V28 2126 1 Z +bit 2126 C 1 * +bit 2125 I 1 IO_V27 +bit 2124 O 1 IO_V27 2123 1 Z +bit 2123 C 1 * +bit 2122 I 1 IO_V26 +bit 2121 O 1 IO_V26 2120 1 Z +bit 2120 C 1 * +bit 2119 O 1 * +bit 2118 O 1 * +bit 2117 O 1 * +bit 2116 O 1 * +bit 2115 O 1 * +bit 2114 O 1 * +bit 2113 I 1 IO_V25 +bit 2112 O 1 IO_V25 2111 1 Z +bit 2111 C 1 * +bit 2110 I 1 IO_V24 +bit 2109 O 1 IO_V24 2108 1 Z +bit 2108 C 1 * +bit 2107 I 1 IO_W24 +bit 2106 O 1 IO_W24 2105 1 Z +bit 2105 C 1 * +bit 2104 I 1 IO_W33 +bit 2103 O 1 IO_W33 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_W32 +bit 2100 O 1 IO_W32 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_W29 +bit 2097 O 1 IO_W29 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_W28 +bit 2094 O 1 IO_W28 2093 1 Z +bit 2093 C 1 * +bit 2092 I 1 IO_W25 +bit 2091 O 1 IO_W25 2090 1 Z +bit 2090 C 1 * +bit 2089 I 1 IO_Y26 +bit 2088 O 1 IO_Y26 2087 1 Z +bit 2087 C 1 * +bit 2086 I 1 IO_Y34 +bit 2085 O 1 IO_Y34 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_Y33 +bit 2082 O 1 IO_Y33 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_Y32 +bit 2079 O 1 IO_Y32 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_Y31 +bit 2076 O 1 IO_Y31 2075 1 Z +bit 2075 C 1 * +bit 2074 I 1 IO_Y29 +bit 2073 O 1 IO_Y29 2072 1 Z +bit 2072 C 1 * +bit 2071 I 1 IO_Y28 +bit 2070 O 1 IO_Y28 2069 1 Z +bit 2069 C 1 * +bit 2068 I 1 IO_Y25 +bit 2067 O 1 IO_Y25 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_Y24 +bit 2064 O 1 IO_Y24 2063 1 Z +bit 2063 C 1 * +bit 2062 I 1 IO_AA34 +bit 2061 O 1 IO_AA34 2060 1 Z +bit 2060 C 1 * +bit 2059 I 1 IO_AA33 +bit 2058 O 1 IO_AA33 2057 1 Z +bit 2057 C 1 * +bit 2056 I 1 IO_AA32 +bit 2055 O 1 IO_AA32 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_AA31 +bit 2052 O 1 IO_AA31 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_AA30 +bit 2049 O 1 IO_AA30 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_AA29 +bit 2046 O 1 IO_AA29 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_AA28 +bit 2043 O 1 IO_AA28 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_AA27 +bit 2040 O 1 IO_AA27 2039 1 Z +bit 2039 C 1 * +bit 2038 O 1 * +bit 2037 O 1 * +bit 2036 O 1 * +bit 2035 O 1 * +bit 2034 O 1 * +bit 2033 O 1 * +bit 2032 I 1 IO_AA26 +bit 2031 O 1 IO_AA26 2030 1 Z +bit 2030 C 1 * +bit 2029 I 1 IO_AA25 +bit 2028 O 1 IO_AA25 2027 1 Z +bit 2027 C 1 * +bit 2026 I 1 IO_AA24 +bit 2025 O 1 IO_AA24 2024 1 Z +bit 2024 C 1 * +bit 2023 I 1 IO_AB24 +bit 2022 O 1 IO_AB24 2021 1 Z +bit 2021 C 1 * +bit 2020 I 1 IO_AB32 +bit 2019 O 1 IO_AB32 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_AB31 +bit 2016 O 1 IO_AB31 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_AB28 +bit 2013 O 1 IO_AB28 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_AB27 +bit 2010 O 1 IO_AB27 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_AB25 +bit 2007 O 1 IO_AB25 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_AC26 +bit 2004 O 1 IO_AC26 2003 1 Z +bit 2003 C 1 * +bit 2002 I 1 IO_AC34 +bit 2001 O 1 IO_AC34 2000 1 Z +bit 2000 C 1 * +bit 1999 I 1 IO_AC33 +bit 1998 O 1 IO_AC33 1997 1 Z +bit 1997 C 1 * +bit 1996 I 1 IO_AC32 +bit 1995 O 1 IO_AC32 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_AC31 +bit 1992 O 1 IO_AC31 1991 1 Z +bit 1991 C 1 * +bit 1990 I 1 IO_AC30 +bit 1989 O 1 IO_AC30 1988 1 Z +bit 1988 C 1 * +bit 1987 I 1 IO_AC29 +bit 1986 O 1 IO_AC29 1985 1 Z +bit 1985 C 1 * +bit 1984 I 1 IO_AC28 +bit 1983 O 1 IO_AC28 1982 1 Z +bit 1982 C 1 * +bit 1981 I 1 IO_AC27 +bit 1980 O 1 IO_AC27 1979 1 Z +bit 1979 C 1 * +bit 1978 I 1 IO_AC25 +bit 1977 O 1 IO_AC25 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_AC24 +bit 1974 O 1 IO_AC24 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_AD34 +bit 1971 O 1 IO_AD34 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_AD33 +bit 1968 O 1 IO_AD33 1967 1 Z +bit 1967 C 1 * +bit 1966 O 1 * +bit 1965 O 1 * +bit 1964 O 1 * +bit 1963 O 1 * +bit 1962 O 1 * +bit 1961 O 1 * +bit 1960 I 1 IO_AD29 +bit 1959 O 1 IO_AD29 1958 1 Z +bit 1958 C 1 * +bit 1957 I 1 IO_AD28 +bit 1956 O 1 IO_AD28 1955 1 Z +bit 1955 C 1 * +bit 1954 I 1 IO_AD26 +bit 1953 O 1 IO_AD26 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_AD25 +bit 1950 O 1 IO_AD25 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_AE34 +bit 1947 O 1 IO_AE34 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_AE33 +bit 1944 O 1 IO_AE33 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_AE32 +bit 1941 O 1 IO_AE32 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_AE31 +bit 1938 O 1 IO_AE31 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_AE30 +bit 1935 O 1 IO_AE30 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_AE29 +bit 1932 O 1 IO_AE29 1931 1 Z +bit 1931 C 1 * +bit 1930 I 1 IO_AE28 +bit 1929 O 1 IO_AE28 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_AE27 +bit 1926 O 1 IO_AE27 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_AE26 +bit 1923 O 1 IO_AE26 1922 1 Z +bit 1922 C 1 * +bit 1921 I 1 IO_AF27 +bit 1920 O 1 IO_AF27 1919 1 Z +bit 1919 C 1 * +bit 1918 I 1 IO_AF33 +bit 1917 O 1 IO_AF33 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_AF32 +bit 1914 O 1 IO_AF32 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_AF31 +bit 1911 O 1 IO_AF31 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_AG31 +bit 1908 O 1 IO_AG31 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_AF29 +bit 1905 O 1 IO_AF29 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_AF28 +bit 1902 O 1 IO_AF28 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_AG34 +bit 1899 O 1 IO_AG34 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_AG33 +bit 1896 O 1 IO_AG33 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_AG30 +bit 1893 O 1 IO_AG30 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_AG29 +bit 1890 O 1 IO_AG29 1889 1 Z +bit 1889 C 1 * +bit 1888 I 1 IO_AH34 +bit 1887 O 1 IO_AH34 1886 1 Z +bit 1886 C 1 * +bit 1885 O 1 * +bit 1884 O 1 * +bit 1883 O 1 * +bit 1882 O 1 * +bit 1881 O 1 * +bit 1880 O 1 * +bit 1879 I 1 IO_AH33 +bit 1878 O 1 IO_AH33 1877 1 Z +bit 1877 C 1 * +bit 1876 I 1 IO_AH32 +bit 1875 O 1 IO_AH32 1874 1 Z +bit 1874 C 1 * +bit 1873 I 1 IO_AH31 +bit 1872 O 1 IO_AH31 1871 1 Z +bit 1871 C 1 * +bit 1870 I 1 IO_AH30 +bit 1869 O 1 IO_AH30 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_AH29 +bit 1866 O 1 IO_AH29 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_AJ34 +bit 1863 O 1 IO_AJ34 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_AJ33 +bit 1860 O 1 IO_AJ33 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_AJ32 +bit 1857 O 1 IO_AJ32 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_AJ31 +bit 1854 O 1 IO_AJ31 1853 1 Z +bit 1853 C 1 * +bit 1852 I 1 IO_AK33 +bit 1851 O 1 IO_AK33 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_AK32 +bit 1848 O 1 IO_AK32 1847 1 Z +bit 1847 C 1 * +bit 1846 I 1 IO_AL34 +bit 1845 O 1 IO_AL34 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_AL33 +bit 1842 O 1 IO_AL33 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_AM34 +bit 1839 O 1 IO_AM34 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_AM33 +bit 1836 O 1 IO_AM33 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 CCLK_AL31 +bit 1833 O 1 CCLK_AL31 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 DONE_AD24 +bit 1830 O 1 DONE_AD24 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_AL30 +bit 1827 O 1 IO_AL30 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_AN32 +bit 1824 O 1 IO_AN32 1823 1 Z +bit 1823 C 1 * +bit 1822 I 1 IO_AP32 +bit 1821 O 1 IO_AP32 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_AN31 +bit 1818 O 1 IO_AN31 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_AP31 +bit 1815 O 1 IO_AP31 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_AJ29 +bit 1812 O 1 IO_AJ29 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_AM30 +bit 1809 O 1 IO_AM30 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_AN30 +bit 1806 O 1 IO_AN30 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_AL29 +bit 1803 O 1 IO_AL29 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_AM29 +bit 1800 O 1 IO_AM29 1799 1 Z +bit 1799 C 1 * +bit 1798 I 1 IO_AG27 +bit 1797 O 1 IO_AG27 1796 1 Z +bit 1796 C 1 * +bit 1795 I 1 IO_AN29 +bit 1794 O 1 IO_AN29 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_AP29 +bit 1791 O 1 IO_AP29 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_AJ28 +bit 1788 O 1 IO_AJ28 1787 1 Z +bit 1787 C 1 * +bit 1786 I 1 IO_AK28 +bit 1785 O 1 IO_AK28 1784 1 Z +bit 1784 C 1 * +bit 1783 I 1 IO_AJ27 +bit 1782 O 1 IO_AJ27 1781 1 Z +bit 1781 C 1 * +bit 1780 I 1 IO_AL28 +bit 1779 O 1 IO_AL28 1778 1 Z +bit 1778 C 1 * +bit 1777 I 1 IO_AM28 +bit 1776 O 1 IO_AM28 1775 1 Z +bit 1775 C 1 * +bit 1774 I 1 IO_AN28 +bit 1773 O 1 IO_AN28 1772 1 Z +bit 1772 C 1 * +bit 1771 I 1 IO_AP28 +bit 1770 O 1 IO_AP28 1769 1 Z +bit 1769 C 1 * +bit 1768 I 1 IO_AF26 +bit 1767 O 1 IO_AF26 1766 1 Z +bit 1766 C 1 * +bit 1765 I 1 IO_AK27 +bit 1764 O 1 IO_AK27 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_AL27 +bit 1761 O 1 IO_AL27 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_AN27 +bit 1758 O 1 IO_AN27 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_AP27 +bit 1755 O 1 IO_AP27 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_AG26 +bit 1752 O 1 IO_AG26 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_AH26 +bit 1749 O 1 IO_AH26 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_AJ26 +bit 1746 O 1 IO_AJ26 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_AL26 +bit 1743 O 1 IO_AL26 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_AM26 +bit 1740 O 1 IO_AM26 1739 1 Z +bit 1739 C 1 * +bit 1738 I 1 IO_AN26 +bit 1737 O 1 IO_AN26 1736 1 Z +bit 1736 C 1 * +bit 1735 I 1 IO_AF25 +bit 1734 O 1 IO_AF25 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_AG25 +bit 1731 O 1 IO_AG25 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_AH25 +bit 1728 O 1 IO_AH25 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_AJ25 +bit 1725 O 1 IO_AJ25 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_AK25 +bit 1722 O 1 IO_AK25 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_AL25 +bit 1719 O 1 IO_AL25 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_AM25 +bit 1716 O 1 IO_AM25 1715 1 Z +bit 1715 C 1 * +bit 1714 I 1 IO_AN25 +bit 1713 O 1 IO_AN25 1712 1 Z +bit 1712 C 1 * +bit 1711 I 1 IO_AP25 +bit 1710 O 1 IO_AP25 1709 1 Z +bit 1709 C 1 * +bit 1708 I 1 IO_AE24 +bit 1707 O 1 IO_AE24 1706 1 Z +bit 1706 C 1 * +bit 1705 I 1 IO_AH24 +bit 1704 O 1 IO_AH24 1703 1 Z +bit 1703 C 1 * +bit 1702 I 1 IO_AJ24 +bit 1701 O 1 IO_AJ24 1700 1 Z +bit 1700 C 1 * +bit 1699 I 1 IO_AN24 +bit 1698 O 1 IO_AN24 1697 1 Z +bit 1697 C 1 * +bit 1696 I 1 IO_AP24 +bit 1695 O 1 IO_AP24 1694 1 Z +bit 1694 C 1 * +bit 1693 I 1 IO_AF24 +bit 1692 O 1 IO_AF24 1691 1 Z +bit 1691 C 1 * +bit 1690 I 1 IO_AD23 +bit 1689 O 1 IO_AD23 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_AE23 +bit 1686 O 1 IO_AE23 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_AF23 +bit 1683 O 1 IO_AF23 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_AG23 +bit 1680 O 1 IO_AG23 1679 1 Z +bit 1679 C 1 * +bit 1678 I 1 IO_AH23 +bit 1677 O 1 IO_AH23 1676 1 Z +bit 1676 C 1 * +bit 1675 I 1 IO_AJ23 +bit 1674 O 1 IO_AJ23 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_AK23 +bit 1671 O 1 IO_AK23 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_AL23 +bit 1668 O 1 IO_AL23 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 IO_AM23 +bit 1665 O 1 IO_AM23 1664 1 Z +bit 1664 C 1 * +bit 1663 I 1 IO_AD22 +bit 1662 O 1 IO_AD22 1661 1 Z +bit 1661 C 1 * +bit 1660 I 1 IO_AN23 +bit 1659 O 1 IO_AN23 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_AP23 +bit 1656 O 1 IO_AP23 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_AG22 +bit 1653 O 1 IO_AG22 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_AH22 +bit 1650 O 1 IO_AH22 1649 1 Z +bit 1649 C 1 * +bit 1648 I 1 IO_AE22 +bit 1647 O 1 IO_AE22 1646 1 Z +bit 1646 C 1 * +bit 1645 I 1 IO_AL22 +bit 1644 O 1 IO_AL22 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_AM22 +bit 1641 O 1 IO_AM22 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_AD21 +bit 1638 O 1 IO_AD21 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_AE21 +bit 1635 O 1 IO_AE21 1634 1 Z +bit 1634 C 1 * +bit 1633 I 1 IO_AF21 +bit 1632 O 1 IO_AF21 1631 1 Z +bit 1631 C 1 * +bit 1630 I 1 IO_AG21 +bit 1629 O 1 IO_AG21 1628 1 Z +bit 1628 C 1 * +bit 1627 I 1 IO_AH21 +bit 1626 O 1 IO_AH21 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_AJ21 +bit 1623 O 1 IO_AJ21 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_AK21 +bit 1620 O 1 IO_AK21 1619 1 Z +bit 1619 C 1 * +bit 1618 I 1 IO_AD20 +bit 1617 O 1 IO_AD20 1616 1 Z +bit 1616 C 1 * +bit 1615 I 1 IO_AL21 +bit 1614 O 1 IO_AL21 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_AM21 +bit 1611 O 1 IO_AM21 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_AN21 +bit 1608 O 1 IO_AN21 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_AP21 +bit 1605 O 1 IO_AP21 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_AD19 +bit 1602 O 1 IO_AD19 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_AE20 +bit 1599 O 1 IO_AE20 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_AF20 +bit 1596 O 1 IO_AF20 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_AH20 +bit 1593 O 1 IO_AH20 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_AJ20 +bit 1590 O 1 IO_AJ20 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_AE19 +bit 1587 O 1 IO_AE19 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_AL20 +bit 1584 O 1 IO_AL20 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_AM20 +bit 1581 O 1 IO_AM20 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_AN20 +bit 1578 O 1 IO_AN20 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_AP20 +bit 1575 O 1 IO_AP20 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_AD18 +bit 1572 O 1 IO_AD18 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_AH19 +bit 1569 O 1 IO_AH19 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AJ19 +bit 1566 O 1 IO_AJ19 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AM19 +bit 1563 O 1 IO_AM19 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AN19 +bit 1560 O 1 IO_AN19 1559 1 Z +bit 1559 C 1 * +bit 1558 I 1 IO_AE18 +bit 1557 O 1 IO_AE18 1556 1 Z +bit 1556 C 1 * +bit 1555 I 1 IO_AF18 +bit 1554 O 1 IO_AF18 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_AG18 +bit 1551 O 1 IO_AG18 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AH18 +bit 1548 O 1 IO_AH18 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AJ18 +bit 1545 O 1 IO_AJ18 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AK18 +bit 1542 O 1 IO_AK18 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_AL18 +bit 1539 O 1 IO_AL18 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_AM18 +bit 1536 O 1 IO_AM18 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AN18 +bit 1533 O 1 IO_AN18 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AP18 +bit 1530 O 1 IO_AP18 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AP17 +bit 1527 O 1 IO_AP17 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_AN17 +bit 1524 O 1 IO_AN17 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_AM17 +bit 1521 O 1 IO_AM17 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_AL17 +bit 1518 O 1 IO_AL17 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_AK17 +bit 1515 O 1 IO_AK17 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AJ17 +bit 1512 O 1 IO_AJ17 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_AH17 +bit 1509 O 1 IO_AH17 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_AG17 +bit 1506 O 1 IO_AG17 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AF17 +bit 1503 O 1 IO_AF17 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AE17 +bit 1500 O 1 IO_AE17 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AD17 +bit 1497 O 1 IO_AD17 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_AN16 +bit 1494 O 1 IO_AN16 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AM16 +bit 1491 O 1 IO_AM16 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AJ16 +bit 1488 O 1 IO_AJ16 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AH16 +bit 1485 O 1 IO_AH16 1484 1 Z +bit 1484 C 1 * +bit 1483 I 1 IO_AE16 +bit 1482 O 1 IO_AE16 1481 1 Z +bit 1481 C 1 * +bit 1480 I 1 IO_AP15 +bit 1479 O 1 IO_AP15 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_AN15 +bit 1476 O 1 IO_AN15 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AM15 +bit 1473 O 1 IO_AM15 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AL15 +bit 1470 O 1 IO_AL15 1469 1 Z +bit 1469 C 1 * +bit 1468 I 1 IO_AD16 +bit 1467 O 1 IO_AD16 1466 1 Z +bit 1466 C 1 * +bit 1465 I 1 IO_AJ15 +bit 1464 O 1 IO_AJ15 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AH15 +bit 1461 O 1 IO_AH15 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AF15 +bit 1458 O 1 IO_AF15 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AE15 +bit 1455 O 1 IO_AE15 1454 1 Z +bit 1454 C 1 * +bit 1453 I 1 IO_AD15 +bit 1452 O 1 IO_AD15 1451 1 Z +bit 1451 C 1 * +bit 1450 I 1 IO_AP14 +bit 1449 O 1 IO_AP14 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_AN14 +bit 1446 O 1 IO_AN14 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AM14 +bit 1443 O 1 IO_AM14 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AL14 +bit 1440 O 1 IO_AL14 1439 1 Z +bit 1439 C 1 * +bit 1438 I 1 IO_AK14 +bit 1437 O 1 IO_AK14 1436 1 Z +bit 1436 C 1 * +bit 1435 I 1 IO_AJ14 +bit 1434 O 1 IO_AJ14 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AH14 +bit 1431 O 1 IO_AH14 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AG14 +bit 1428 O 1 IO_AG14 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AF14 +bit 1425 O 1 IO_AF14 1424 1 Z +bit 1424 C 1 * +bit 1423 I 1 IO_AE14 +bit 1422 O 1 IO_AE14 1421 1 Z +bit 1421 C 1 * +bit 1420 I 1 IO_AM13 +bit 1419 O 1 IO_AM13 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AL13 +bit 1416 O 1 IO_AL13 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AH13 +bit 1413 O 1 IO_AH13 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AG13 +bit 1410 O 1 IO_AG13 1409 1 Z +bit 1409 C 1 * +bit 1408 I 1 IO_AD14 +bit 1407 O 1 IO_AD14 1406 1 Z +bit 1406 C 1 * +bit 1405 I 1 IO_AE13 +bit 1404 O 1 IO_AE13 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AD13 +bit 1401 O 1 IO_AD13 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AP12 +bit 1398 O 1 IO_AP12 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AN12 +bit 1395 O 1 IO_AN12 1394 1 Z +bit 1394 C 1 * +bit 1393 I 1 IO_AM12 +bit 1392 O 1 IO_AM12 1391 1 Z +bit 1391 C 1 * +bit 1390 I 1 IO_AL12 +bit 1389 O 1 IO_AL12 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AK12 +bit 1386 O 1 IO_AK12 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AJ12 +bit 1383 O 1 IO_AJ12 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AH12 +bit 1380 O 1 IO_AH12 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AG12 +bit 1377 O 1 IO_AG12 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AF12 +bit 1374 O 1 IO_AF12 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AE12 +bit 1371 O 1 IO_AE12 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AP11 +bit 1368 O 1 IO_AP11 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_AN11 +bit 1365 O 1 IO_AN11 1364 1 Z +bit 1364 C 1 * +bit 1363 I 1 IO_AD12 +bit 1362 O 1 IO_AD12 1361 1 Z +bit 1361 C 1 * +bit 1360 I 1 IO_AJ11 +bit 1359 O 1 IO_AJ11 1358 1 Z +bit 1358 C 1 * +bit 1357 I 1 IO_AH11 +bit 1356 O 1 IO_AH11 1355 1 Z +bit 1355 C 1 * +bit 1354 I 1 IO_AF11 +bit 1353 O 1 IO_AF11 1352 1 Z +bit 1352 C 1 * +bit 1351 I 1 IO_AE11 +bit 1350 O 1 IO_AE11 1349 1 Z +bit 1349 C 1 * +bit 1348 I 1 IO_AD11 +bit 1347 O 1 IO_AD11 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_AP10 +bit 1344 O 1 IO_AP10 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_AN10 +bit 1341 O 1 IO_AN10 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_AM10 +bit 1338 O 1 IO_AM10 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AL10 +bit 1335 O 1 IO_AL10 1334 1 Z +bit 1334 C 1 * +bit 1333 I 1 IO_AK10 +bit 1332 O 1 IO_AK10 1331 1 Z +bit 1331 C 1 * +bit 1330 I 1 IO_AJ10 +bit 1329 O 1 IO_AJ10 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AH10 +bit 1326 O 1 IO_AH10 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_AG10 +bit 1323 O 1 IO_AG10 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_AF10 +bit 1320 O 1 IO_AF10 1319 1 Z +bit 1319 C 1 * +bit 1318 I 1 IO_AN9 +bit 1317 O 1 IO_AN9 1316 1 Z +bit 1316 C 1 * +bit 1315 I 1 IO_AM9 +bit 1314 O 1 IO_AM9 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AL9 +bit 1311 O 1 IO_AL9 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_AJ9 +bit 1308 O 1 IO_AJ9 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AH9 +bit 1305 O 1 IO_AH9 1304 1 Z +bit 1304 C 1 * +bit 1303 I 1 IO_AG9 +bit 1302 O 1 IO_AG9 1301 1 Z +bit 1301 C 1 * +bit 1300 I 1 IO_AP8 +bit 1299 O 1 IO_AP8 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_AN8 +bit 1296 O 1 IO_AN8 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_AL8 +bit 1293 O 1 IO_AL8 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_AK8 +bit 1290 O 1 IO_AK8 1289 1 Z +bit 1289 C 1 * +bit 1288 I 1 IO_AF9 +bit 1287 O 1 IO_AF9 1286 1 Z +bit 1286 C 1 * +bit 1285 I 1 IO_AP7 +bit 1284 O 1 IO_AP7 1283 1 Z +bit 1283 C 1 * +bit 1282 I 1 IO_AN7 +bit 1281 O 1 IO_AN7 1280 1 Z +bit 1280 C 1 * +bit 1279 I 1 IO_AM7 +bit 1278 O 1 IO_AM7 1277 1 Z +bit 1277 C 1 * +bit 1276 I 1 IO_AL7 +bit 1275 O 1 IO_AL7 1274 1 Z +bit 1274 C 1 * +bit 1273 I 1 IO_AJ8 +bit 1272 O 1 IO_AJ8 1271 1 Z +bit 1271 C 1 * +bit 1270 I 1 IO_AK7 +bit 1269 O 1 IO_AK7 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AJ7 +bit 1266 O 1 IO_AJ7 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AP6 +bit 1263 O 1 IO_AP6 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AN6 +bit 1260 O 1 IO_AN6 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AJ6 +bit 1257 O 1 IO_AJ6 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AM6 +bit 1254 O 1 IO_AM6 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AL6 +bit 1251 O 1 IO_AL6 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AN5 +bit 1248 O 1 IO_AN5 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AM5 +bit 1245 O 1 IO_AM5 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AL5 +bit 1242 O 1 IO_AL5 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AP4 +bit 1239 O 1 IO_AP4 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AN4 +bit 1236 O 1 IO_AN4 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AP3 +bit 1233 O 1 IO_AP3 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AN3 +bit 1230 O 1 IO_AN3 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 M2_AG8 +bit 1227 I 1 M0_AL4 +bit 1226 I 1 M1_AK4 +bit 1225 I 1 IO_AM2 +bit 1224 O 1 IO_AM2 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AM1 +bit 1221 O 1 IO_AM1 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AL2 +bit 1218 O 1 IO_AL2 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AL1 +bit 1215 O 1 IO_AL1 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AK3 +bit 1212 O 1 IO_AK3 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AK2 +bit 1209 O 1 IO_AK2 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AJ4 +bit 1206 O 1 IO_AJ4 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AJ3 +bit 1203 O 1 IO_AJ3 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AJ2 +bit 1200 O 1 IO_AJ2 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AJ1 +bit 1197 O 1 IO_AJ1 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AH6 +bit 1194 O 1 IO_AH6 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AH5 +bit 1191 O 1 IO_AH5 1190 1 Z +bit 1190 C 1 * +bit 1189 I 1 IO_AH4 +bit 1188 O 1 IO_AH4 1187 1 Z +bit 1187 C 1 * +bit 1186 I 1 IO_AH3 +bit 1185 O 1 IO_AH3 1184 1 Z +bit 1184 C 1 * +bit 1183 I 1 IO_AH2 +bit 1182 O 1 IO_AH2 1181 1 Z +bit 1181 C 1 * +bit 1180 O 1 * +bit 1179 O 1 * +bit 1178 O 1 * +bit 1177 O 1 * +bit 1176 O 1 * +bit 1175 O 1 * +bit 1174 I 1 IO_AH1 +bit 1173 O 1 IO_AH1 1172 1 Z +bit 1172 C 1 * +bit 1171 I 1 IO_AG6 +bit 1170 O 1 IO_AG6 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AG5 +bit 1167 O 1 IO_AG5 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AG2 +bit 1164 O 1 IO_AG2 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AG1 +bit 1161 O 1 IO_AG1 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AF7 +bit 1158 O 1 IO_AF7 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AF6 +bit 1155 O 1 IO_AF6 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AG4 +bit 1152 O 1 IO_AG4 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AF4 +bit 1149 O 1 IO_AF4 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AF3 +bit 1146 O 1 IO_AF3 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AF2 +bit 1143 O 1 IO_AF2 1142 1 Z +bit 1142 C 1 * +bit 1141 I 1 IO_AF8 +bit 1140 O 1 IO_AF8 1139 1 Z +bit 1139 C 1 * +bit 1138 I 1 IO_AE9 +bit 1137 O 1 IO_AE9 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AE8 +bit 1134 O 1 IO_AE8 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AE7 +bit 1131 O 1 IO_AE7 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AE6 +bit 1128 O 1 IO_AE6 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AE5 +bit 1125 O 1 IO_AE5 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AE4 +bit 1122 O 1 IO_AE4 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AE3 +bit 1119 O 1 IO_AE3 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AE2 +bit 1116 O 1 IO_AE2 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AE1 +bit 1113 O 1 IO_AE1 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AD10 +bit 1110 O 1 IO_AD10 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AD9 +bit 1107 O 1 IO_AD9 1106 1 Z +bit 1106 C 1 * +bit 1105 I 1 IO_AD7 +bit 1104 O 1 IO_AD7 1103 1 Z +bit 1103 C 1 * +bit 1102 I 1 IO_AD6 +bit 1101 O 1 IO_AD6 1100 1 Z +bit 1100 C 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 I 1 IO_AD2 +bit 1092 O 1 IO_AD2 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AD1 +bit 1089 O 1 IO_AD1 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AC11 +bit 1086 O 1 IO_AC11 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_AC10 +bit 1083 O 1 IO_AC10 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_AC8 +bit 1080 O 1 IO_AC8 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_AC7 +bit 1077 O 1 IO_AC7 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_AC6 +bit 1074 O 1 IO_AC6 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_AC5 +bit 1071 O 1 IO_AC5 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_AC4 +bit 1068 O 1 IO_AC4 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_AC3 +bit 1065 O 1 IO_AC3 1064 1 Z +bit 1064 C 1 * +bit 1063 I 1 IO_AC2 +bit 1062 O 1 IO_AC2 1061 1 Z +bit 1061 C 1 * +bit 1060 I 1 IO_AC1 +bit 1059 O 1 IO_AC1 1058 1 Z +bit 1058 C 1 * +bit 1057 I 1 IO_AC9 +bit 1056 O 1 IO_AC9 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_AB10 +bit 1053 O 1 IO_AB10 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_AB8 +bit 1050 O 1 IO_AB8 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_AB7 +bit 1047 O 1 IO_AB7 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_AB4 +bit 1044 O 1 IO_AB4 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_AB3 +bit 1041 O 1 IO_AB3 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_AB11 +bit 1038 O 1 IO_AB11 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_AA11 +bit 1035 O 1 IO_AA11 1034 1 Z +bit 1034 C 1 * +bit 1033 I 1 IO_AA10 +bit 1032 O 1 IO_AA10 1031 1 Z +bit 1031 C 1 * +bit 1030 I 1 IO_AA9 +bit 1029 O 1 IO_AA9 1028 1 Z +bit 1028 C 1 * +bit 1027 O 1 * +bit 1026 O 1 * +bit 1025 O 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 I 1 IO_AA8 +bit 1020 O 1 IO_AA8 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_AA7 +bit 1017 O 1 IO_AA7 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_AA6 +bit 1014 O 1 IO_AA6 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_AA5 +bit 1011 O 1 IO_AA5 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_AA4 +bit 1008 O 1 IO_AA4 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_AA3 +bit 1005 O 1 IO_AA3 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_AA2 +bit 1002 O 1 IO_AA2 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_AA1 +bit 999 O 1 IO_AA1 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_Y11 +bit 996 O 1 IO_Y11 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_Y10 +bit 993 O 1 IO_Y10 992 1 Z +bit 992 C 1 * +bit 991 I 1 IO_Y7 +bit 990 O 1 IO_Y7 989 1 Z +bit 989 C 1 * +bit 988 I 1 IO_Y6 +bit 987 O 1 IO_Y6 986 1 Z +bit 986 C 1 * +bit 985 I 1 IO_Y4 +bit 984 O 1 IO_Y4 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_Y3 +bit 981 O 1 IO_Y3 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_Y2 +bit 978 O 1 IO_Y2 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_Y1 +bit 975 O 1 IO_Y1 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_Y9 +bit 972 O 1 IO_Y9 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_W10 +bit 969 O 1 IO_W10 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_W7 +bit 966 O 1 IO_W7 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_W6 +bit 963 O 1 IO_W6 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_W3 +bit 960 O 1 IO_W3 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_W2 +bit 957 O 1 IO_W2 956 1 Z +bit 956 C 1 * +bit 955 I 1 IO_W11 +bit 954 O 1 IO_W11 953 1 Z +bit 953 C 1 * +bit 952 I 1 IO_V11 +bit 951 O 1 IO_V11 950 1 Z +bit 950 C 1 * +bit 949 I 1 IO_V10 +bit 948 O 1 IO_V10 947 1 Z +bit 947 C 1 * +bit 946 O 1 * +bit 945 O 1 * +bit 944 O 1 * +bit 943 O 1 * +bit 942 O 1 * +bit 941 O 1 * +bit 940 I 1 IO_V9 +bit 939 O 1 IO_V9 938 1 Z +bit 938 C 1 * +bit 937 I 1 IO_V8 +bit 936 O 1 IO_V8 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_V7 +bit 933 O 1 IO_V7 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_V6 +bit 930 O 1 IO_V6 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_V5 +bit 927 O 1 IO_V5 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_V4 +bit 924 O 1 IO_V4 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_V3 +bit 921 O 1 IO_V3 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_V2 +bit 918 O 1 IO_V2 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_V1 +bit 915 O 1 IO_V1 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_U1 +bit 912 O 1 IO_U1 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_U2 +bit 909 O 1 IO_U2 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_U3 +bit 906 O 1 IO_U3 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_U4 +bit 903 O 1 IO_U4 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_U5 +bit 900 O 1 IO_U5 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_U6 +bit 897 O 1 IO_U6 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_U7 +bit 894 O 1 IO_U7 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_U8 +bit 891 O 1 IO_U8 890 1 Z +bit 890 C 1 * +bit 889 I 1 IO_U9 +bit 888 O 1 IO_U9 887 1 Z +bit 887 C 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 I 1 IO_U10 +bit 879 O 1 IO_U10 878 1 Z +bit 878 C 1 * +bit 877 I 1 IO_U11 +bit 876 O 1 IO_U11 875 1 Z +bit 875 C 1 * +bit 874 I 1 IO_T11 +bit 873 O 1 IO_T11 872 1 Z +bit 872 C 1 * +bit 871 I 1 IO_T2 +bit 870 O 1 IO_T2 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_T3 +bit 867 O 1 IO_T3 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_T6 +bit 864 O 1 IO_T6 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_T7 +bit 861 O 1 IO_T7 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_T10 +bit 858 O 1 IO_T10 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_R9 +bit 855 O 1 IO_R9 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_R1 +bit 852 O 1 IO_R1 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_R2 +bit 849 O 1 IO_R2 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_R3 +bit 846 O 1 IO_R3 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_R4 +bit 843 O 1 IO_R4 842 1 Z +bit 842 C 1 * +bit 841 I 1 IO_R6 +bit 840 O 1 IO_R6 839 1 Z +bit 839 C 1 * +bit 838 I 1 IO_R7 +bit 837 O 1 IO_R7 836 1 Z +bit 836 C 1 * +bit 835 I 1 IO_R10 +bit 834 O 1 IO_R10 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_R11 +bit 831 O 1 IO_R11 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_P1 +bit 828 O 1 IO_P1 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_P2 +bit 825 O 1 IO_P2 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_P3 +bit 822 O 1 IO_P3 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_P4 +bit 819 O 1 IO_P4 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_P5 +bit 816 O 1 IO_P5 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_P6 +bit 813 O 1 IO_P6 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_P7 +bit 810 O 1 IO_P7 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_P8 +bit 807 O 1 IO_P8 806 1 Z +bit 806 C 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 I 1 IO_P9 +bit 798 O 1 IO_P9 797 1 Z +bit 797 C 1 * +bit 796 I 1 IO_P10 +bit 795 O 1 IO_P10 794 1 Z +bit 794 C 1 * +bit 793 I 1 IO_P11 +bit 792 O 1 IO_P11 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_N11 +bit 789 O 1 IO_N11 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_N3 +bit 786 O 1 IO_N3 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_N4 +bit 783 O 1 IO_N4 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_N7 +bit 780 O 1 IO_N7 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_N8 +bit 777 O 1 IO_N8 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_N10 +bit 774 O 1 IO_N10 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_M9 +bit 771 O 1 IO_M9 770 1 Z +bit 770 C 1 * +bit 769 I 1 IO_M1 +bit 768 O 1 IO_M1 767 1 Z +bit 767 C 1 * +bit 766 I 1 IO_M2 +bit 765 O 1 IO_M2 764 1 Z +bit 764 C 1 * +bit 763 I 1 IO_M3 +bit 762 O 1 IO_M3 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_M4 +bit 759 O 1 IO_M4 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_M5 +bit 756 O 1 IO_M5 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_M6 +bit 753 O 1 IO_M6 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_M7 +bit 750 O 1 IO_M7 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_M8 +bit 747 O 1 IO_M8 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_M10 +bit 744 O 1 IO_M10 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_M11 +bit 741 O 1 IO_M11 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_L1 +bit 738 O 1 IO_L1 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_L2 +bit 735 O 1 IO_L2 734 1 Z +bit 734 C 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 I 1 IO_L6 +bit 726 O 1 IO_L6 725 1 Z +bit 725 C 1 * +bit 724 I 1 IO_L7 +bit 723 O 1 IO_L7 722 1 Z +bit 722 C 1 * +bit 721 I 1 IO_L9 +bit 720 O 1 IO_L9 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_L10 +bit 717 O 1 IO_L10 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_K1 +bit 714 O 1 IO_K1 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_K2 +bit 711 O 1 IO_K2 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_K3 +bit 708 O 1 IO_K3 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_K4 +bit 705 O 1 IO_K4 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_K5 +bit 702 O 1 IO_K5 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_K6 +bit 699 O 1 IO_K6 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_K7 +bit 696 O 1 IO_K7 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_K8 +bit 693 O 1 IO_K8 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_K9 +bit 690 O 1 IO_K9 689 1 Z +bit 689 C 1 * +bit 688 I 1 IO_J8 +bit 687 O 1 IO_J8 686 1 Z +bit 686 C 1 * +bit 685 I 1 IO_J2 +bit 684 O 1 IO_J2 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_J3 +bit 681 O 1 IO_J3 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_J4 +bit 678 O 1 IO_J4 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_H4 +bit 675 O 1 IO_H4 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_J6 +bit 672 O 1 IO_J6 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_J7 +bit 669 O 1 IO_J7 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_H1 +bit 666 O 1 IO_H1 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_H2 +bit 663 O 1 IO_H2 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_H5 +bit 660 O 1 IO_H5 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_H6 +bit 657 O 1 IO_H6 656 1 Z +bit 656 C 1 * +bit 655 I 1 IO_G1 +bit 654 O 1 IO_G1 653 1 Z +bit 653 C 1 * +bit 652 O 1 * +bit 651 O 1 * +bit 650 O 1 * +bit 649 O 1 * +bit 648 O 1 * +bit 647 O 1 * +bit 646 I 1 IO_G2 +bit 645 O 1 IO_G2 644 1 Z +bit 644 C 1 * +bit 643 I 1 IO_G3 +bit 642 O 1 IO_G3 641 1 Z +bit 641 C 1 * +bit 640 I 1 IO_G4 +bit 639 O 1 IO_G4 638 1 Z +bit 638 C 1 * +bit 637 I 1 IO_G5 +bit 636 O 1 IO_G5 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_G6 +bit 633 O 1 IO_G6 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_F1 +bit 630 O 1 IO_F1 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_F2 +bit 627 O 1 IO_F2 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_F3 +bit 624 O 1 IO_F3 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_F4 +bit 621 O 1 IO_F4 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_E2 +bit 618 O 1 IO_E2 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_E3 +bit 615 O 1 IO_E3 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_D1 +bit 612 O 1 IO_D1 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_D2 +bit 609 O 1 IO_D2 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_C1 +bit 606 O 1 IO_C1 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_C2 +bit 603 O 1 IO_C2 602 1 Z +bit 602 C 1 * +bit 601 O 1 * +bit 600 I 1 HSWAP_EN_L11 +bit 599 I 1 IO_D5 +bit 598 O 1 IO_D5 597 1 Z +bit 597 C 1 * +bit 596 I 1 IO_B3 +bit 595 O 1 IO_B3 594 1 Z +bit 594 C 1 * +bit 593 I 1 IO_A3 +bit 592 O 1 IO_A3 591 1 Z +bit 591 C 1 * +bit 590 I 1 IO_B4 +bit 589 O 1 IO_B4 588 1 Z +bit 588 C 1 * +bit 587 I 1 IO_A4 +bit 586 O 1 IO_A4 585 1 Z +bit 585 C 1 * +bit 584 I 1 IO_F6 +bit 583 O 1 IO_F6 582 1 Z +bit 582 C 1 * +bit 581 I 1 IO_C5 +bit 580 O 1 IO_C5 579 1 Z +bit 579 C 1 * +bit 578 I 1 IO_B5 +bit 577 O 1 IO_B5 576 1 Z +bit 576 C 1 * +bit 575 I 1 IO_D6 +bit 574 O 1 IO_D6 573 1 Z +bit 573 C 1 * +bit 572 I 1 IO_C6 +bit 571 O 1 IO_C6 570 1 Z +bit 570 C 1 * +bit 569 I 1 IO_H8 +bit 568 O 1 IO_H8 567 1 Z +bit 567 C 1 * +bit 566 I 1 IO_B6 +bit 565 O 1 IO_B6 564 1 Z +bit 564 C 1 * +bit 563 I 1 IO_A6 +bit 562 O 1 IO_A6 561 1 Z +bit 561 C 1 * +bit 560 I 1 IO_F7 +bit 559 O 1 IO_F7 558 1 Z +bit 558 C 1 * +bit 557 I 1 IO_E7 +bit 556 O 1 IO_E7 555 1 Z +bit 555 C 1 * +bit 554 I 1 IO_F8 +bit 553 O 1 IO_F8 552 1 Z +bit 552 C 1 * +bit 551 I 1 IO_D7 +bit 550 O 1 IO_D7 549 1 Z +bit 549 C 1 * +bit 548 I 1 IO_C7 +bit 547 O 1 IO_C7 546 1 Z +bit 546 C 1 * +bit 545 I 1 IO_B7 +bit 544 O 1 IO_B7 543 1 Z +bit 543 C 1 * +bit 542 I 1 IO_A7 +bit 541 O 1 IO_A7 540 1 Z +bit 540 C 1 * +bit 539 I 1 IO_J9 +bit 538 O 1 IO_J9 537 1 Z +bit 537 C 1 * +bit 536 I 1 IO_E8 +bit 535 O 1 IO_E8 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_D8 +bit 532 O 1 IO_D8 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_B8 +bit 529 O 1 IO_B8 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_A8 +bit 526 O 1 IO_A8 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_H9 +bit 523 O 1 IO_H9 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_G9 +bit 520 O 1 IO_G9 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_F9 +bit 517 O 1 IO_F9 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_D9 +bit 514 O 1 IO_D9 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_C9 +bit 511 O 1 IO_C9 510 1 Z +bit 510 C 1 * +bit 509 I 1 IO_B9 +bit 508 O 1 IO_B9 507 1 Z +bit 507 C 1 * +bit 506 I 1 IO_J10 +bit 505 O 1 IO_J10 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_H10 +bit 502 O 1 IO_H10 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_G10 +bit 499 O 1 IO_G10 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_F10 +bit 496 O 1 IO_F10 495 1 Z +bit 495 C 1 * +bit 494 I 1 IO_E10 +bit 493 O 1 IO_E10 492 1 Z +bit 492 C 1 * +bit 491 I 1 IO_D10 +bit 490 O 1 IO_D10 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_C10 +bit 487 O 1 IO_C10 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_B10 +bit 484 O 1 IO_B10 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_A10 +bit 481 O 1 IO_A10 480 1 Z +bit 480 C 1 * +bit 479 I 1 IO_K11 +bit 478 O 1 IO_K11 477 1 Z +bit 477 C 1 * +bit 476 I 1 IO_G11 +bit 475 O 1 IO_G11 474 1 Z +bit 474 C 1 * +bit 473 I 1 IO_F11 +bit 472 O 1 IO_F11 471 1 Z +bit 471 C 1 * +bit 470 I 1 IO_B11 +bit 469 O 1 IO_B11 468 1 Z +bit 468 C 1 * +bit 467 I 1 IO_A11 +bit 466 O 1 IO_A11 465 1 Z +bit 465 C 1 * +bit 464 I 1 IO_J11 +bit 463 O 1 IO_J11 462 1 Z +bit 462 C 1 * +bit 461 I 1 IO_L12 +bit 460 O 1 IO_L12 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_K12 +bit 457 O 1 IO_K12 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_J12 +bit 454 O 1 IO_J12 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_H12 +bit 451 O 1 IO_H12 450 1 Z +bit 450 C 1 * +bit 449 I 1 IO_G12 +bit 448 O 1 IO_G12 447 1 Z +bit 447 C 1 * +bit 446 I 1 IO_F12 +bit 445 O 1 IO_F12 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_E12 +bit 442 O 1 IO_E12 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_D12 +bit 439 O 1 IO_D12 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_C12 +bit 436 O 1 IO_C12 435 1 Z +bit 435 C 1 * +bit 434 I 1 IO_L13 +bit 433 O 1 IO_L13 432 1 Z +bit 432 C 1 * +bit 431 I 1 IO_B12 +bit 430 O 1 IO_B12 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_A12 +bit 427 O 1 IO_A12 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_H13 +bit 424 O 1 IO_H13 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_G13 +bit 421 O 1 IO_G13 420 1 Z +bit 420 C 1 * +bit 419 I 1 IO_K13 +bit 418 O 1 IO_K13 417 1 Z +bit 417 C 1 * +bit 416 I 1 IO_D13 +bit 415 O 1 IO_D13 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_C13 +bit 412 O 1 IO_C13 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_L14 +bit 409 O 1 IO_L14 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_K14 +bit 406 O 1 IO_K14 405 1 Z +bit 405 C 1 * +bit 404 I 1 IO_J14 +bit 403 O 1 IO_J14 402 1 Z +bit 402 C 1 * +bit 401 I 1 IO_H14 +bit 400 O 1 IO_H14 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_G14 +bit 397 O 1 IO_G14 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_F14 +bit 394 O 1 IO_F14 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_E14 +bit 391 O 1 IO_E14 390 1 Z +bit 390 C 1 * +bit 389 I 1 IO_L15 +bit 388 O 1 IO_L15 387 1 Z +bit 387 C 1 * +bit 386 I 1 IO_D14 +bit 385 O 1 IO_D14 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_C14 +bit 382 O 1 IO_C14 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_B14 +bit 379 O 1 IO_B14 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_A14 +bit 376 O 1 IO_A14 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_L16 +bit 373 O 1 IO_L16 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_K15 +bit 370 O 1 IO_K15 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_J15 +bit 367 O 1 IO_J15 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_G15 +bit 364 O 1 IO_G15 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_F15 +bit 361 O 1 IO_F15 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_K16 +bit 358 O 1 IO_K16 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_D15 +bit 355 O 1 IO_D15 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_C15 +bit 352 O 1 IO_C15 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_B15 +bit 349 O 1 IO_B15 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_A15 +bit 346 O 1 IO_A15 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_L17 +bit 343 O 1 IO_L17 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_G16 +bit 340 O 1 IO_G16 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_F16 +bit 337 O 1 IO_F16 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_C16 +bit 334 O 1 IO_C16 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_B16 +bit 331 O 1 IO_B16 330 1 Z +bit 330 C 1 * +bit 329 I 1 IO_K17 +bit 328 O 1 IO_K17 327 1 Z +bit 327 C 1 * +bit 326 I 1 IO_J17 +bit 325 O 1 IO_J17 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_H17 +bit 322 O 1 IO_H17 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_G17 +bit 319 O 1 IO_G17 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_F17 +bit 316 O 1 IO_F17 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_E17 +bit 313 O 1 IO_E17 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_D17 +bit 310 O 1 IO_D17 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_C17 +bit 307 O 1 IO_C17 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_B17 +bit 304 O 1 IO_B17 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_A17 +bit 301 O 1 IO_A17 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_A18 +bit 298 O 1 IO_A18 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_B18 +bit 295 O 1 IO_B18 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_C18 +bit 292 O 1 IO_C18 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_D18 +bit 289 O 1 IO_D18 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_E18 +bit 286 O 1 IO_E18 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_F18 +bit 283 O 1 IO_F18 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_G18 +bit 280 O 1 IO_G18 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_H18 +bit 277 O 1 IO_H18 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_J18 +bit 274 O 1 IO_J18 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_K18 +bit 271 O 1 IO_K18 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_L18 +bit 268 O 1 IO_L18 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B19 +bit 265 O 1 IO_B19 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_C19 +bit 262 O 1 IO_C19 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_F19 +bit 259 O 1 IO_F19 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_G19 +bit 256 O 1 IO_G19 255 1 Z +bit 255 C 1 * +bit 254 I 1 IO_K19 +bit 253 O 1 IO_K19 252 1 Z +bit 252 C 1 * +bit 251 I 1 IO_A20 +bit 250 O 1 IO_A20 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_B20 +bit 247 O 1 IO_B20 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_C20 +bit 244 O 1 IO_C20 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_D20 +bit 241 O 1 IO_D20 240 1 Z +bit 240 C 1 * +bit 239 I 1 IO_L19 +bit 238 O 1 IO_L19 237 1 Z +bit 237 C 1 * +bit 236 I 1 IO_F20 +bit 235 O 1 IO_F20 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_G20 +bit 232 O 1 IO_G20 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_J20 +bit 229 O 1 IO_J20 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_K20 +bit 226 O 1 IO_K20 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_L20 +bit 223 O 1 IO_L20 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_A21 +bit 220 O 1 IO_A21 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_B21 +bit 217 O 1 IO_B21 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_C21 +bit 214 O 1 IO_C21 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_D21 +bit 211 O 1 IO_D21 210 1 Z +bit 210 C 1 * +bit 209 I 1 IO_E21 +bit 208 O 1 IO_E21 207 1 Z +bit 207 C 1 * +bit 206 I 1 IO_F21 +bit 205 O 1 IO_F21 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_G21 +bit 202 O 1 IO_G21 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_H21 +bit 199 O 1 IO_H21 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_J21 +bit 196 O 1 IO_J21 195 1 Z +bit 195 C 1 * +bit 194 I 1 IO_K21 +bit 193 O 1 IO_K21 192 1 Z +bit 192 C 1 * +bit 191 I 1 IO_C22 +bit 190 O 1 IO_C22 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_D22 +bit 187 O 1 IO_D22 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_G22 +bit 184 O 1 IO_G22 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_H22 +bit 181 O 1 IO_H22 180 1 Z +bit 180 C 1 * +bit 179 I 1 IO_L21 +bit 178 O 1 IO_L21 177 1 Z +bit 177 C 1 * +bit 176 I 1 IO_K22 +bit 175 O 1 IO_K22 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_L22 +bit 172 O 1 IO_L22 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_A23 +bit 169 O 1 IO_A23 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_B23 +bit 166 O 1 IO_B23 165 1 Z +bit 165 C 1 * +bit 164 I 1 IO_C23 +bit 163 O 1 IO_C23 162 1 Z +bit 162 C 1 * +bit 161 I 1 IO_D23 +bit 160 O 1 IO_D23 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_E23 +bit 157 O 1 IO_E23 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_F23 +bit 154 O 1 IO_F23 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_G23 +bit 151 O 1 IO_G23 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_H23 +bit 148 O 1 IO_H23 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_J23 +bit 145 O 1 IO_J23 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_K23 +bit 142 O 1 IO_K23 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_A24 +bit 139 O 1 IO_A24 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_B24 +bit 136 O 1 IO_B24 135 1 Z +bit 135 C 1 * +bit 134 I 1 IO_L23 +bit 133 O 1 IO_L23 132 1 Z +bit 132 C 1 * +bit 131 I 1 IO_F24 +bit 130 O 1 IO_F24 129 1 Z +bit 129 C 1 * +bit 128 I 1 IO_G24 +bit 127 O 1 IO_G24 126 1 Z +bit 126 C 1 * +bit 125 I 1 IO_J24 +bit 124 O 1 IO_J24 123 1 Z +bit 123 C 1 * +bit 122 I 1 IO_K24 +bit 121 O 1 IO_K24 120 1 Z +bit 120 C 1 * +bit 119 I 1 IO_L24 +bit 118 O 1 IO_L24 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_A25 +bit 115 O 1 IO_A25 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_B25 +bit 112 O 1 IO_B25 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_C25 +bit 109 O 1 IO_C25 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_D25 +bit 106 O 1 IO_D25 105 1 Z +bit 105 C 1 * +bit 104 I 1 IO_E25 +bit 103 O 1 IO_E25 102 1 Z +bit 102 C 1 * +bit 101 I 1 IO_F25 +bit 100 O 1 IO_F25 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_G25 +bit 97 O 1 IO_G25 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_H25 +bit 94 O 1 IO_H25 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_J25 +bit 91 O 1 IO_J25 90 1 Z +bit 90 C 1 * +bit 89 I 1 IO_B26 +bit 88 O 1 IO_B26 87 1 Z +bit 87 C 1 * +bit 86 I 1 IO_C26 +bit 85 O 1 IO_C26 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_D26 +bit 82 O 1 IO_D26 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_F26 +bit 79 O 1 IO_F26 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_G26 +bit 76 O 1 IO_G26 75 1 Z +bit 75 C 1 * +bit 74 I 1 IO_H26 +bit 73 O 1 IO_H26 72 1 Z +bit 72 C 1 * +bit 71 I 1 IO_A27 +bit 70 O 1 IO_A27 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_B27 +bit 67 O 1 IO_B27 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_D27 +bit 64 O 1 IO_D27 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_E27 +bit 61 O 1 IO_E27 60 1 Z +bit 60 C 1 * +bit 59 I 1 IO_J26 +bit 58 O 1 IO_J26 57 1 Z +bit 57 C 1 * +bit 56 I 1 IO_A28 +bit 55 O 1 IO_A28 54 1 Z +bit 54 C 1 * +bit 53 I 1 IO_B28 +bit 52 O 1 IO_B28 51 1 Z +bit 51 C 1 * +bit 50 I 1 IO_C28 +bit 49 O 1 IO_C28 48 1 Z +bit 48 C 1 * +bit 47 I 1 IO_D28 +bit 46 O 1 IO_D28 45 1 Z +bit 45 C 1 * +bit 44 I 1 IO_F27 +bit 43 O 1 IO_F27 42 1 Z +bit 42 C 1 * +bit 41 I 1 IO_E28 +bit 40 O 1 IO_E28 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_F28 +bit 37 O 1 IO_F28 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_A29 +bit 34 O 1 IO_A29 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_B29 +bit 31 O 1 IO_B29 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_F29 +bit 28 O 1 IO_F29 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_C29 +bit 25 O 1 IO_C29 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_D29 +bit 22 O 1 IO_D29 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_B30 +bit 19 O 1 IO_B30 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_C30 +bit 16 O 1 IO_C30 15 1 Z +bit 15 C 1 * +bit 14 I 1 IO_D30 +bit 13 O 1 IO_D30 12 1 Z +bit 12 C 1 * +bit 11 I 1 IO_A31 +bit 10 O 1 IO_A31 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_B31 +bit 7 O 1 IO_B31 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A32 +bit 4 O 1 IO_A32 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B32 +bit 1 O 1 IO_B32 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000_fg900 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000_fg900 new file mode 100644 index 0000000..a63931a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s5000/xc3s5000_fg900 @@ -0,0 +1,3370 @@ +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal GND27 +signal GND28 +signal GND29 +signal GND30 +signal GND31 +signal GND32 +signal GND33 +signal GND34 +signal GND35 +signal GND36 +signal GND37 +signal GND38 +signal GND39 +signal GND40 +signal GND41 +signal GND42 +signal GND43 +signal GND44 +signal GND45 +signal GND46 +signal GND47 +signal GND48 +signal GND49 +signal GND50 +signal GND51 +signal GND52 +signal GND53 +signal GND54 +signal GND55 +signal GND56 +signal GND57 +signal GND58 +signal GND59 +signal GND60 +signal GND61 +signal GND62 +signal GND63 +signal GND64 +signal GND65 +signal GND66 +signal GND67 +signal GND68 +signal GND69 +signal GND70 +signal GND71 +signal GND72 +signal GND73 +signal GND74 +signal GND75 +signal GND76 +signal GND77 +signal GND78 +signal GND79 +signal GND80 +signal GND81 +signal GND82 +signal GND83 +signal GND84 +signal GND85 +signal GND86 +signal GND87 +signal GND88 +signal GND89 +signal GND90 +signal GND91 +signal GND92 +signal GND93 +signal GND94 +signal GND95 +signal GND96 +signal GND97 +signal GND98 +signal GND99 +signal GND100 +signal GND101 +signal GND102 +signal GND103 +signal GND104 +signal GND105 +signal GND106 +signal GND107 +signal GND108 +signal GND109 +signal GND110 +signal GND111 +signal GND112 +signal GND113 +signal GND114 +signal GND115 +signal GND116 +signal GND117 +signal GND118 +signal GND119 +signal GND120 +signal CCLK_AH28 +signal DONE_AJ28 +signal HSWAP_EN_A3 +signal M0_AJ3 +signal M1_AH3 +signal M2_AK3 +signal PROG_B +signal TCK +signal TDI +signal TDO +signal TMS +signal VCCAUX1 +signal VCCAUX2 +signal VCCAUX3 +signal VCCAUX4 +signal VCCAUX5 +signal VCCAUX6 +signal VCCAUX7 +signal VCCAUX8 +signal VCCAUX9 +signal VCCAUX10 +signal VCCAUX11 +signal VCCAUX12 +signal VCCAUX13 +signal VCCAUX14 +signal VCCAUX15 +signal VCCAUX16 +signal VCCAUX17 +signal VCCAUX18 +signal VCCAUX19 +signal VCCAUX20 +signal VCCAUX21 +signal VCCAUX22 +signal VCCAUX23 +signal VCCAUX24 +signal VCCINT1 +signal VCCINT2 +signal VCCINT3 +signal VCCINT4 +signal VCCINT5 +signal VCCINT6 +signal VCCINT7 +signal VCCINT8 +signal VCCINT9 +signal VCCINT10 +signal VCCINT11 +signal VCCINT12 +signal VCCINT13 +signal VCCINT14 +signal VCCINT15 +signal VCCINT16 +signal VCCINT17 +signal VCCINT18 +signal VCCINT19 +signal VCCINT20 +signal VCCINT21 +signal VCCINT22 +signal VCCINT23 +signal VCCINT24 +signal VCCINT25 +signal VCCINT26 +signal VCCINT27 +signal VCCINT28 +signal VCCINT29 +signal VCCINT30 +signal VCCINT31 +signal VCCINT32 +signal VCCO01 +signal VCCO02 +signal VCCO03 +signal VCCO04 +signal VCCO05 +signal VCCO06 +signal VCCO07 +signal VCCO08 +signal VCCO09 +signal VCCO010 +signal VCCO11 +signal VCCO12 +signal VCCO13 +signal VCCO14 +signal VCCO15 +signal VCCO16 +signal VCCO17 +signal VCCO18 +signal VCCO19 +signal VCCO110 +signal VCCO21 +signal VCCO22 +signal VCCO23 +signal VCCO24 +signal VCCO25 +signal VCCO26 +signal VCCO27 +signal VCCO28 +signal VCCO29 +signal VCCO210 +signal VCCO31 +signal VCCO32 +signal VCCO33 +signal VCCO34 +signal VCCO35 +signal VCCO36 +signal VCCO37 +signal VCCO38 +signal VCCO39 +signal VCCO310 +signal VCCO41 +signal VCCO42 +signal VCCO43 +signal VCCO44 +signal VCCO45 +signal VCCO46 +signal VCCO47 +signal VCCO48 +signal VCCO49 +signal VCCO410 +signal VCCO51 +signal VCCO52 +signal VCCO53 +signal VCCO54 +signal VCCO55 +signal VCCO56 +signal VCCO57 +signal VCCO58 +signal VCCO59 +signal VCCO510 +signal VCCO61 +signal VCCO62 +signal VCCO63 +signal VCCO64 +signal VCCO65 +signal VCCO66 +signal VCCO67 +signal VCCO68 +signal VCCO69 +signal VCCO610 +signal VCCO71 +signal VCCO72 +signal VCCO73 +signal VCCO74 +signal VCCO75 +signal VCCO76 +signal VCCO77 +signal VCCO78 +signal VCCO79 +signal VCCO710 +signal IO_A4 +signal IO_A5 +signal IO_A7 +signal IO_A8 +signal IO_A9 +signal IO_A11 +signal IO_A12 +signal IO_A13 +signal IO_A15 +signal IO_A16 +signal IO_A18 +signal IO_A19 +signal IO_A20 +signal IO_A22 +signal IO_A23 +signal IO_A24 +signal IO_A26 +signal IO_A27 +signal IO_B4 +signal IO_B5 +signal IO_B6 +signal IO_B7 +signal IO_B8 +signal IO_B9 +signal IO_B10 +signal IO_B11 +signal IO_B12 +signal IO_B13 +signal IO_B14 +signal IO_B15 +signal IO_B16 +signal IO_B17 +signal IO_B18 +signal IO_B19 +signal IO_B20 +signal IO_B21 +signal IO_B22 +signal IO_B23 +signal IO_B24 +signal IO_B25 +signal IO_B26 +signal IO_B27 +signal IO_C1 +signal IO_C2 +signal IO_C4 +signal IO_C6 +signal IO_C7 +signal IO_C8 +signal IO_C10 +signal IO_C11 +signal IO_C12 +signal IO_C14 +signal IO_C15 +signal IO_C16 +signal IO_C17 +signal IO_C19 +signal IO_C20 +signal IO_C21 +signal IO_C23 +signal IO_C24 +signal IO_C25 +signal IO_C27 +signal IO_C29 +signal IO_C30 +signal IO_D1 +signal IO_D2 +signal IO_D3 +signal IO_D4 +signal IO_D5 +signal IO_D7 +signal IO_D8 +signal IO_D9 +signal IO_D11 +signal IO_D12 +signal IO_D13 +signal IO_D15 +signal IO_D16 +signal IO_D18 +signal IO_D19 +signal IO_D20 +signal IO_D22 +signal IO_D23 +signal IO_D24 +signal IO_D26 +signal IO_D27 +signal IO_D28 +signal IO_D29 +signal IO_D30 +signal IO_E1 +signal IO_E2 +signal IO_E4 +signal IO_E6 +signal IO_E8 +signal IO_E9 +signal IO_E11 +signal IO_E12 +signal IO_E13 +signal IO_E15 +signal IO_E16 +signal IO_E18 +signal IO_E19 +signal IO_E20 +signal IO_E22 +signal IO_E23 +signal IO_E25 +signal IO_E27 +signal IO_E29 +signal IO_E30 +signal IO_F2 +signal IO_F3 +signal IO_F5 +signal IO_F6 +signal IO_F7 +signal IO_F8 +signal IO_F9 +signal IO_F10 +signal IO_F11 +signal IO_F12 +signal IO_F13 +signal IO_F14 +signal IO_F15 +signal IO_F16 +signal IO_F17 +signal IO_F18 +signal IO_F19 +signal IO_F20 +signal IO_F21 +signal IO_F22 +signal IO_F23 +signal IO_F24 +signal IO_F25 +signal IO_F26 +signal IO_F28 +signal IO_F29 +signal IO_G1 +signal IO_G2 +signal IO_G3 +signal IO_G4 +signal IO_G6 +signal IO_G7 +signal IO_G8 +signal IO_G10 +signal IO_G11 +signal IO_G12 +signal IO_G14 +signal IO_G15 +signal IO_G16 +signal IO_G17 +signal IO_G19 +signal IO_G20 +signal IO_G21 +signal IO_G23 +signal IO_G24 +signal IO_G25 +signal IO_G27 +signal IO_G28 +signal IO_G29 +signal IO_G30 +signal IO_H1 +signal IO_H2 +signal IO_H3 +signal IO_H4 +signal IO_H5 +signal IO_H6 +signal IO_H7 +signal IO_H8 +signal IO_H9 +signal IO_H11 +signal IO_H12 +signal IO_H13 +signal IO_H15 +signal IO_H16 +signal IO_H18 +signal IO_H19 +signal IO_H20 +signal IO_H22 +signal IO_H23 +signal IO_H24 +signal IO_H25 +signal IO_H26 +signal IO_H27 +signal IO_H28 +signal IO_H29 +signal IO_H30 +signal IO_J1 +signal IO_J2 +signal IO_J4 +signal IO_J5 +signal IO_J6 +signal IO_J8 +signal IO_J9 +signal IO_J10 +signal IO_J12 +signal IO_J13 +signal IO_J14 +signal IO_J15 +signal IO_J16 +signal IO_J17 +signal IO_J18 +signal IO_J19 +signal IO_J21 +signal IO_J22 +signal IO_J23 +signal IO_J25 +signal IO_J26 +signal IO_J27 +signal IO_J29 +signal IO_J30 +signal IO_K2 +signal IO_K3 +signal IO_K6 +signal IO_K7 +signal IO_K9 +signal IO_K10 +signal IO_K11 +signal IO_K12 +signal IO_K13 +signal IO_K14 +signal IO_K15 +signal IO_K16 +signal IO_K17 +signal IO_K18 +signal IO_K19 +signal IO_K20 +signal IO_K21 +signal IO_K22 +signal IO_K24 +signal IO_K25 +signal IO_K28 +signal IO_K29 +signal IO_L1 +signal IO_L2 +signal IO_L3 +signal IO_L4 +signal IO_L5 +signal IO_L6 +signal IO_L7 +signal IO_L8 +signal IO_L10 +signal IO_L21 +signal IO_L23 +signal IO_L24 +signal IO_L25 +signal IO_L26 +signal IO_L27 +signal IO_L28 +signal IO_L29 +signal IO_L30 +signal IO_M1 +signal IO_M2 +signal IO_M3 +signal IO_M4 +signal IO_M5 +signal IO_M6 +signal IO_M7 +signal IO_M8 +signal IO_M9 +signal IO_M10 +signal IO_M21 +signal IO_M22 +signal IO_M23 +signal IO_M24 +signal IO_M25 +signal IO_M26 +signal IO_M27 +signal IO_M28 +signal IO_M29 +signal IO_M30 +signal IO_N1 +signal IO_N2 +signal IO_N4 +signal IO_N5 +signal IO_N6 +signal IO_N8 +signal IO_N9 +signal IO_N10 +signal IO_N21 +signal IO_N22 +signal IO_N23 +signal IO_N25 +signal IO_N26 +signal IO_N27 +signal IO_N29 +signal IO_N30 +signal IO_P2 +signal IO_P3 +signal IO_P6 +signal IO_P7 +signal IO_P9 +signal IO_P10 +signal IO_P21 +signal IO_P22 +signal IO_P24 +signal IO_P25 +signal IO_P28 +signal IO_P29 +signal IO_R1 +signal IO_R2 +signal IO_R3 +signal IO_R4 +signal IO_R5 +signal IO_R6 +signal IO_R7 +signal IO_R8 +signal IO_R9 +signal IO_R10 +signal IO_R21 +signal IO_R22 +signal IO_R23 +signal IO_R24 +signal IO_R25 +signal IO_R26 +signal IO_R27 +signal IO_R28 +signal IO_R29 +signal IO_R30 +signal IO_T1 +signal IO_T2 +signal IO_T3 +signal IO_T4 +signal IO_T5 +signal IO_T6 +signal IO_T7 +signal IO_T8 +signal IO_T9 +signal IO_T10 +signal IO_T21 +signal IO_T22 +signal IO_T23 +signal IO_T24 +signal IO_T25 +signal IO_T26 +signal IO_T27 +signal IO_T28 +signal IO_T29 +signal IO_T30 +signal IO_U2 +signal IO_U3 +signal IO_U6 +signal IO_U7 +signal IO_U9 +signal IO_U10 +signal IO_U21 +signal IO_U22 +signal IO_U24 +signal IO_U25 +signal IO_U28 +signal IO_U29 +signal IO_V1 +signal IO_V2 +signal IO_V4 +signal IO_V5 +signal IO_V6 +signal IO_V8 +signal IO_V9 +signal IO_V10 +signal IO_V21 +signal IO_V22 +signal IO_V23 +signal IO_V25 +signal IO_V26 +signal IO_V27 +signal IO_V29 +signal IO_V30 +signal IO_W1 +signal IO_W2 +signal IO_W3 +signal IO_W4 +signal IO_W5 +signal IO_W6 +signal IO_W7 +signal IO_W8 +signal IO_W9 +signal IO_W10 +signal IO_W21 +signal IO_W22 +signal IO_W23 +signal IO_W24 +signal IO_W25 +signal IO_W26 +signal IO_W27 +signal IO_W28 +signal IO_W29 +signal IO_W30 +signal IO_Y1 +signal IO_Y2 +signal IO_Y3 +signal IO_Y4 +signal IO_Y5 +signal IO_Y6 +signal IO_Y7 +signal IO_Y8 +signal IO_Y10 +signal IO_Y21 +signal IO_Y23 +signal IO_Y24 +signal IO_Y25 +signal IO_Y26 +signal IO_Y27 +signal IO_Y28 +signal IO_Y29 +signal IO_Y30 +signal IO_AA2 +signal IO_AA3 +signal IO_AA6 +signal IO_AA7 +signal IO_AA9 +signal IO_AA10 +signal IO_AA11 +signal IO_AA12 +signal IO_AA13 +signal IO_AA14 +signal IO_AA15 +signal IO_AA16 +signal IO_AA17 +signal IO_AA18 +signal IO_AA19 +signal IO_AA20 +signal IO_AA21 +signal IO_AA22 +signal IO_AA24 +signal IO_AA25 +signal IO_AA28 +signal IO_AA29 +signal IO_AB1 +signal IO_AB2 +signal IO_AB4 +signal IO_AB5 +signal IO_AB6 +signal IO_AB8 +signal IO_AB9 +signal IO_AB10 +signal IO_AB12 +signal IO_AB13 +signal IO_AB14 +signal IO_AB15 +signal IO_AB16 +signal IO_AB17 +signal IO_AB18 +signal IO_AB19 +signal IO_AB21 +signal IO_AB22 +signal IO_AB23 +signal IO_AB25 +signal IO_AB26 +signal IO_AB27 +signal IO_AB29 +signal IO_AB30 +signal IO_AC1 +signal IO_AC2 +signal IO_AC3 +signal IO_AC4 +signal IO_AC5 +signal IO_AC6 +signal IO_AC7 +signal IO_AC8 +signal IO_AC9 +signal IO_AC11 +signal IO_AC12 +signal IO_AC13 +signal IO_AC15 +signal IO_AC16 +signal IO_AC18 +signal IO_AC19 +signal IO_AC20 +signal IO_AC22 +signal IO_AC23 +signal IO_AC24 +signal IO_AC25 +signal IO_AC26 +signal IO_AC27 +signal IO_AC28 +signal IO_AC29 +signal IO_AC30 +signal IO_AD1 +signal IO_AD2 +signal IO_AD3 +signal IO_AD4 +signal IO_AD6 +signal IO_AD7 +signal IO_AD8 +signal IO_AD10 +signal IO_AD11 +signal IO_AD12 +signal IO_AD14 +signal IO_AD15 +signal IO_AD16 +signal IO_AD17 +signal IO_AD19 +signal IO_AD20 +signal IO_AD21 +signal IO_AD23 +signal IO_AD24 +signal IO_AD25 +signal IO_AD27 +signal IO_AD28 +signal IO_AD29 +signal IO_AD30 +signal IO_AE2 +signal IO_AE3 +signal IO_AE5 +signal IO_AE6 +signal IO_AE7 +signal IO_AE8 +signal IO_AE9 +signal IO_AE10 +signal IO_AE11 +signal IO_AE12 +signal IO_AE13 +signal IO_AE14 +signal IO_AE15 +signal IO_AE16 +signal IO_AE17 +signal IO_AE18 +signal IO_AE19 +signal IO_AE20 +signal IO_AE21 +signal IO_AE22 +signal IO_AE23 +signal IO_AE24 +signal IO_AE25 +signal IO_AE26 +signal IO_AE28 +signal IO_AE29 +signal IO_AF1 +signal IO_AF2 +signal IO_AF4 +signal IO_AF6 +signal IO_AF8 +signal IO_AF9 +signal IO_AF11 +signal IO_AF12 +signal IO_AF13 +signal IO_AF15 +signal IO_AF16 +signal IO_AF18 +signal IO_AF19 +signal IO_AF20 +signal IO_AF22 +signal IO_AF23 +signal IO_AF25 +signal IO_AF27 +signal IO_AF29 +signal IO_AF30 +signal IO_AG1 +signal IO_AG2 +signal IO_AG3 +signal IO_AG4 +signal IO_AG5 +signal IO_AG7 +signal IO_AG8 +signal IO_AG9 +signal IO_AG11 +signal IO_AG12 +signal IO_AG13 +signal IO_AG15 +signal IO_AG16 +signal IO_AG18 +signal IO_AG19 +signal IO_AG20 +signal IO_AG22 +signal IO_AG23 +signal IO_AG24 +signal IO_AG26 +signal IO_AG27 +signal IO_AG28 +signal IO_AG29 +signal IO_AG30 +signal IO_AH1 +signal IO_AH2 +signal IO_AH4 +signal IO_AH6 +signal IO_AH7 +signal IO_AH8 +signal IO_AH10 +signal IO_AH11 +signal IO_AH12 +signal IO_AH14 +signal IO_AH15 +signal IO_AH16 +signal IO_AH17 +signal IO_AH19 +signal IO_AH20 +signal IO_AH21 +signal IO_AH23 +signal IO_AH24 +signal IO_AH25 +signal IO_AH27 +signal IO_AH29 +signal IO_AH30 +signal IO_AJ4 +signal IO_AJ5 +signal IO_AJ6 +signal IO_AJ7 +signal IO_AJ8 +signal IO_AJ9 +signal IO_AJ10 +signal IO_AJ11 +signal IO_AJ12 +signal IO_AJ13 +signal IO_AJ14 +signal IO_AJ15 +signal IO_AJ16 +signal IO_AJ17 +signal IO_AJ18 +signal IO_AJ19 +signal IO_AJ20 +signal IO_AJ21 +signal IO_AJ22 +signal IO_AJ23 +signal IO_AJ24 +signal IO_AJ25 +signal IO_AJ26 +signal IO_AJ27 +signal IO_AK4 +signal IO_AK5 +signal IO_AK7 +signal IO_AK8 +signal IO_AK9 +signal IO_AK11 +signal IO_AK12 +signal IO_AK13 +signal IO_AK15 +signal IO_AK16 +signal IO_AK18 +signal IO_AK19 +signal IO_AK20 +signal IO_AK22 +signal IO_AK23 +signal IO_AK24 +signal IO_AK26 +signal IO_AK27 + +register BSR 2459 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction EXTEST 000000 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction IDCODE 001001 DIR +instruction BYPASS 111111 BR + +bit 2458 I 1 IO_C29 +bit 2457 O 1 IO_C29 2456 1 Z +bit 2456 C 1 * +bit 2455 I 1 IO_C30 +bit 2454 O 1 IO_C30 2453 1 Z +bit 2453 C 1 * +bit 2452 I 1 IO_D27 +bit 2451 O 1 IO_D27 2450 1 Z +bit 2450 C 1 * +bit 2449 I 1 IO_D28 +bit 2448 O 1 IO_D28 2447 1 Z +bit 2447 C 1 * +bit 2446 I 1 IO_D29 +bit 2445 O 1 IO_D29 2444 1 Z +bit 2444 C 1 * +bit 2443 I 1 IO_D30 +bit 2442 O 1 IO_D30 2441 1 Z +bit 2441 C 1 * +bit 2440 I 1 IO_E29 +bit 2439 O 1 IO_E29 2438 1 Z +bit 2438 C 1 * +bit 2437 I 1 IO_E30 +bit 2436 O 1 IO_E30 2435 1 Z +bit 2435 C 1 * +bit 2434 I 1 IO_E27 +bit 2433 O 1 IO_E27 2432 1 Z +bit 2432 C 1 * +bit 2431 I 1 IO_F26 +bit 2430 O 1 IO_F26 2429 1 Z +bit 2429 C 1 * +bit 2428 I 1 IO_F28 +bit 2427 O 1 IO_F28 2426 1 Z +bit 2426 C 1 * +bit 2425 I 1 IO_F29 +bit 2424 O 1 IO_F29 2423 1 Z +bit 2423 C 1 * +bit 2422 O 1 * +bit 2421 O 1 * +bit 2420 O 1 * +bit 2419 O 1 * +bit 2418 O 1 * +bit 2417 O 1 * +bit 2416 O 1 * +bit 2415 O 1 * +bit 2414 O 1 * +bit 2413 O 1 * +bit 2412 O 1 * +bit 2411 O 1 * +bit 2410 O 1 * +bit 2409 O 1 * +bit 2408 O 1 * +bit 2407 O 1 * +bit 2406 O 1 * +bit 2405 O 1 * +bit 2404 I 1 IO_G27 +bit 2403 O 1 IO_G27 2402 1 Z +bit 2402 C 1 * +bit 2401 I 1 IO_G28 +bit 2400 O 1 IO_G28 2399 1 Z +bit 2399 C 1 * +bit 2398 I 1 IO_G29 +bit 2397 O 1 IO_G29 2396 1 Z +bit 2396 C 1 * +bit 2395 I 1 IO_G30 +bit 2394 O 1 IO_G30 2393 1 Z +bit 2393 C 1 * +bit 2392 I 1 IO_G25 +bit 2391 O 1 IO_G25 2390 1 Z +bit 2390 C 1 * +bit 2389 I 1 IO_H24 +bit 2388 O 1 IO_H24 2387 1 Z +bit 2387 C 1 * +bit 2386 I 1 IO_H25 +bit 2385 O 1 IO_H25 2384 1 Z +bit 2384 C 1 * +bit 2383 I 1 IO_H26 +bit 2382 O 1 IO_H26 2381 1 Z +bit 2381 C 1 * +bit 2380 I 1 IO_H27 +bit 2379 O 1 IO_H27 2378 1 Z +bit 2378 C 1 * +bit 2377 I 1 IO_H28 +bit 2376 O 1 IO_H28 2375 1 Z +bit 2375 C 1 * +bit 2374 O 1 * +bit 2373 O 1 * +bit 2372 O 1 * +bit 2371 I 1 IO_J25 +bit 2370 O 1 IO_J25 2369 1 Z +bit 2369 C 1 * +bit 2368 I 1 IO_H29 +bit 2367 O 1 IO_H29 2366 1 Z +bit 2366 C 1 * +bit 2365 I 1 IO_H30 +bit 2364 O 1 IO_H30 2363 1 Z +bit 2363 C 1 * +bit 2362 I 1 IO_J26 +bit 2361 O 1 IO_J26 2360 1 Z +bit 2360 C 1 * +bit 2359 I 1 IO_J27 +bit 2358 O 1 IO_J27 2357 1 Z +bit 2357 C 1 * +bit 2356 I 1 IO_J29 +bit 2355 O 1 IO_J29 2354 1 Z +bit 2354 C 1 * +bit 2353 I 1 IO_J30 +bit 2352 O 1 IO_J30 2351 1 Z +bit 2351 C 1 * +bit 2350 I 1 IO_J23 +bit 2349 O 1 IO_J23 2348 1 Z +bit 2348 C 1 * +bit 2347 I 1 IO_K22 +bit 2346 O 1 IO_K22 2345 1 Z +bit 2345 C 1 * +bit 2344 I 1 IO_K24 +bit 2343 O 1 IO_K24 2342 1 Z +bit 2342 C 1 * +bit 2341 I 1 IO_K25 +bit 2340 O 1 IO_K25 2339 1 Z +bit 2339 C 1 * +bit 2338 O 1 * +bit 2337 O 1 * +bit 2336 O 1 * +bit 2335 O 1 * +bit 2334 O 1 * +bit 2333 O 1 * +bit 2332 O 1 * +bit 2331 O 1 * +bit 2330 O 1 * +bit 2329 O 1 * +bit 2328 O 1 * +bit 2327 O 1 * +bit 2326 I 1 IO_K28 +bit 2325 O 1 IO_K28 2324 1 Z +bit 2324 C 1 * +bit 2323 I 1 IO_K29 +bit 2322 O 1 IO_K29 2321 1 Z +bit 2321 C 1 * +bit 2320 I 1 IO_K21 +bit 2319 O 1 IO_K21 2318 1 Z +bit 2318 C 1 * +bit 2317 I 1 IO_L21 +bit 2316 O 1 IO_L21 2315 1 Z +bit 2315 C 1 * +bit 2314 I 1 IO_L23 +bit 2313 O 1 IO_L23 2312 1 Z +bit 2312 C 1 * +bit 2311 I 1 IO_L24 +bit 2310 O 1 IO_L24 2309 1 Z +bit 2309 C 1 * +bit 2308 I 1 IO_L25 +bit 2307 O 1 IO_L25 2306 1 Z +bit 2306 C 1 * +bit 2305 I 1 IO_L26 +bit 2304 O 1 IO_L26 2303 1 Z +bit 2303 C 1 * +bit 2302 I 1 IO_L27 +bit 2301 O 1 IO_L27 2300 1 Z +bit 2300 C 1 * +bit 2299 I 1 IO_L28 +bit 2298 O 1 IO_L28 2297 1 Z +bit 2297 C 1 * +bit 2296 O 1 * +bit 2295 O 1 * +bit 2294 O 1 * +bit 2293 O 1 * +bit 2292 O 1 * +bit 2291 O 1 * +bit 2290 I 1 IO_L29 +bit 2289 O 1 IO_L29 2288 1 Z +bit 2288 C 1 * +bit 2287 I 1 IO_L30 +bit 2286 O 1 IO_L30 2285 1 Z +bit 2285 C 1 * +bit 2284 I 1 IO_M22 +bit 2283 O 1 IO_M22 2282 1 Z +bit 2282 C 1 * +bit 2281 I 1 IO_M23 +bit 2280 O 1 IO_M23 2279 1 Z +bit 2279 C 1 * +bit 2278 I 1 IO_M24 +bit 2277 O 1 IO_M24 2276 1 Z +bit 2276 C 1 * +bit 2275 I 1 IO_M25 +bit 2274 O 1 IO_M25 2273 1 Z +bit 2273 C 1 * +bit 2272 I 1 IO_M27 +bit 2271 O 1 IO_M27 2270 1 Z +bit 2270 C 1 * +bit 2269 I 1 IO_M28 +bit 2268 O 1 IO_M28 2267 1 Z +bit 2267 C 1 * +bit 2266 O 1 * +bit 2265 O 1 * +bit 2264 O 1 * +bit 2263 O 1 * +bit 2262 O 1 * +bit 2261 O 1 * +bit 2260 O 1 * +bit 2259 O 1 * +bit 2258 O 1 * +bit 2257 O 1 * +bit 2256 O 1 * +bit 2255 O 1 * +bit 2254 I 1 IO_M29 +bit 2253 O 1 IO_M29 2252 1 Z +bit 2252 C 1 * +bit 2251 I 1 IO_M30 +bit 2250 O 1 IO_M30 2249 1 Z +bit 2249 C 1 * +bit 2248 I 1 IO_M21 +bit 2247 O 1 IO_M21 2246 1 Z +bit 2246 C 1 * +bit 2245 I 1 IO_N21 +bit 2244 O 1 IO_N21 2243 1 Z +bit 2243 C 1 * +bit 2242 I 1 IO_N22 +bit 2241 O 1 IO_N22 2240 1 Z +bit 2240 C 1 * +bit 2239 I 1 IO_N23 +bit 2238 O 1 IO_N23 2237 1 Z +bit 2237 C 1 * +bit 2236 I 1 IO_M26 +bit 2235 O 1 IO_M26 2234 1 Z +bit 2234 C 1 * +bit 2233 I 1 IO_N25 +bit 2232 O 1 IO_N25 2231 1 Z +bit 2231 C 1 * +bit 2230 I 1 IO_N26 +bit 2229 O 1 IO_N26 2228 1 Z +bit 2228 C 1 * +bit 2227 I 1 IO_N27 +bit 2226 O 1 IO_N27 2225 1 Z +bit 2225 C 1 * +bit 2224 O 1 * +bit 2223 O 1 * +bit 2222 O 1 * +bit 2221 O 1 * +bit 2220 O 1 * +bit 2219 O 1 * +bit 2218 I 1 IO_N29 +bit 2217 O 1 IO_N29 2216 1 Z +bit 2216 C 1 * +bit 2215 I 1 IO_N30 +bit 2214 O 1 IO_N30 2213 1 Z +bit 2213 C 1 * +bit 2212 I 1 IO_P21 +bit 2211 O 1 IO_P21 2210 1 Z +bit 2210 C 1 * +bit 2209 I 1 IO_P22 +bit 2208 O 1 IO_P22 2207 1 Z +bit 2207 C 1 * +bit 2206 I 1 IO_P24 +bit 2205 O 1 IO_P24 2204 1 Z +bit 2204 C 1 * +bit 2203 I 1 IO_P25 +bit 2202 O 1 IO_P25 2201 1 Z +bit 2201 C 1 * +bit 2200 I 1 IO_P28 +bit 2199 O 1 IO_P28 2198 1 Z +bit 2198 C 1 * +bit 2197 I 1 IO_P29 +bit 2196 O 1 IO_P29 2195 1 Z +bit 2195 C 1 * +bit 2194 I 1 IO_R21 +bit 2193 O 1 IO_R21 2192 1 Z +bit 2192 C 1 * +bit 2191 I 1 IO_R22 +bit 2190 O 1 IO_R22 2189 1 Z +bit 2189 C 1 * +bit 2188 O 1 * +bit 2187 O 1 * +bit 2186 O 1 * +bit 2185 O 1 * +bit 2184 O 1 * +bit 2183 O 1 * +bit 2182 O 1 * +bit 2181 O 1 * +bit 2180 O 1 * +bit 2179 O 1 * +bit 2178 O 1 * +bit 2177 O 1 * +bit 2176 O 1 * +bit 2175 O 1 * +bit 2174 O 1 * +bit 2173 O 1 * +bit 2172 O 1 * +bit 2171 O 1 * +bit 2170 I 1 IO_R23 +bit 2169 O 1 IO_R23 2168 1 Z +bit 2168 C 1 * +bit 2167 I 1 IO_R24 +bit 2166 O 1 IO_R24 2165 1 Z +bit 2165 C 1 * +bit 2164 I 1 IO_R25 +bit 2163 O 1 IO_R25 2162 1 Z +bit 2162 C 1 * +bit 2161 I 1 IO_R26 +bit 2160 O 1 IO_R26 2159 1 Z +bit 2159 C 1 * +bit 2158 I 1 IO_R27 +bit 2157 O 1 IO_R27 2156 1 Z +bit 2156 C 1 * +bit 2155 I 1 IO_R28 +bit 2154 O 1 IO_R28 2153 1 Z +bit 2153 C 1 * +bit 2152 I 1 IO_R29 +bit 2151 O 1 IO_R29 2150 1 Z +bit 2150 C 1 * +bit 2149 I 1 IO_R30 +bit 2148 O 1 IO_R30 2147 1 Z +bit 2147 C 1 * +bit 2146 I 1 IO_T30 +bit 2145 O 1 IO_T30 2144 1 Z +bit 2144 C 1 * +bit 2143 I 1 IO_T29 +bit 2142 O 1 IO_T29 2141 1 Z +bit 2141 C 1 * +bit 2140 I 1 IO_T28 +bit 2139 O 1 IO_T28 2138 1 Z +bit 2138 C 1 * +bit 2137 I 1 IO_T27 +bit 2136 O 1 IO_T27 2135 1 Z +bit 2135 C 1 * +bit 2134 I 1 IO_T26 +bit 2133 O 1 IO_T26 2132 1 Z +bit 2132 C 1 * +bit 2131 I 1 IO_T25 +bit 2130 O 1 IO_T25 2129 1 Z +bit 2129 C 1 * +bit 2128 I 1 IO_T24 +bit 2127 O 1 IO_T24 2126 1 Z +bit 2126 C 1 * +bit 2125 I 1 IO_T23 +bit 2124 O 1 IO_T23 2123 1 Z +bit 2123 C 1 * +bit 2122 O 1 * +bit 2121 O 1 * +bit 2120 O 1 * +bit 2119 O 1 * +bit 2118 O 1 * +bit 2117 O 1 * +bit 2116 O 1 * +bit 2115 O 1 * +bit 2114 O 1 * +bit 2113 O 1 * +bit 2112 O 1 * +bit 2111 O 1 * +bit 2110 O 1 * +bit 2109 O 1 * +bit 2108 O 1 * +bit 2107 O 1 * +bit 2106 O 1 * +bit 2105 O 1 * +bit 2104 I 1 IO_T22 +bit 2103 O 1 IO_T22 2102 1 Z +bit 2102 C 1 * +bit 2101 I 1 IO_T21 +bit 2100 O 1 IO_T21 2099 1 Z +bit 2099 C 1 * +bit 2098 I 1 IO_U29 +bit 2097 O 1 IO_U29 2096 1 Z +bit 2096 C 1 * +bit 2095 I 1 IO_U28 +bit 2094 O 1 IO_U28 2093 1 Z +bit 2093 C 1 * +bit 2092 I 1 IO_U25 +bit 2091 O 1 IO_U25 2090 1 Z +bit 2090 C 1 * +bit 2089 I 1 IO_U24 +bit 2088 O 1 IO_U24 2087 1 Z +bit 2087 C 1 * +bit 2086 I 1 IO_U22 +bit 2085 O 1 IO_U22 2084 1 Z +bit 2084 C 1 * +bit 2083 I 1 IO_U21 +bit 2082 O 1 IO_U21 2081 1 Z +bit 2081 C 1 * +bit 2080 I 1 IO_V30 +bit 2079 O 1 IO_V30 2078 1 Z +bit 2078 C 1 * +bit 2077 I 1 IO_V29 +bit 2076 O 1 IO_V29 2075 1 Z +bit 2075 C 1 * +bit 2074 O 1 * +bit 2073 O 1 * +bit 2072 O 1 * +bit 2071 O 1 * +bit 2070 O 1 * +bit 2069 O 1 * +bit 2068 I 1 IO_V27 +bit 2067 O 1 IO_V27 2066 1 Z +bit 2066 C 1 * +bit 2065 I 1 IO_V26 +bit 2064 O 1 IO_V26 2063 1 Z +bit 2063 C 1 * +bit 2062 I 1 IO_V25 +bit 2061 O 1 IO_V25 2060 1 Z +bit 2060 C 1 * +bit 2059 I 1 IO_W26 +bit 2058 O 1 IO_W26 2057 1 Z +bit 2057 C 1 * +bit 2056 I 1 IO_V23 +bit 2055 O 1 IO_V23 2054 1 Z +bit 2054 C 1 * +bit 2053 I 1 IO_V22 +bit 2052 O 1 IO_V22 2051 1 Z +bit 2051 C 1 * +bit 2050 I 1 IO_V21 +bit 2049 O 1 IO_V21 2048 1 Z +bit 2048 C 1 * +bit 2047 I 1 IO_W21 +bit 2046 O 1 IO_W21 2045 1 Z +bit 2045 C 1 * +bit 2044 I 1 IO_W30 +bit 2043 O 1 IO_W30 2042 1 Z +bit 2042 C 1 * +bit 2041 I 1 IO_W29 +bit 2040 O 1 IO_W29 2039 1 Z +bit 2039 C 1 * +bit 2038 O 1 * +bit 2037 O 1 * +bit 2036 O 1 * +bit 2035 O 1 * +bit 2034 O 1 * +bit 2033 O 1 * +bit 2032 O 1 * +bit 2031 O 1 * +bit 2030 O 1 * +bit 2029 O 1 * +bit 2028 O 1 * +bit 2027 O 1 * +bit 2026 I 1 IO_W28 +bit 2025 O 1 IO_W28 2024 1 Z +bit 2024 C 1 * +bit 2023 I 1 IO_W27 +bit 2022 O 1 IO_W27 2021 1 Z +bit 2021 C 1 * +bit 2020 I 1 IO_W25 +bit 2019 O 1 IO_W25 2018 1 Z +bit 2018 C 1 * +bit 2017 I 1 IO_W24 +bit 2016 O 1 IO_W24 2015 1 Z +bit 2015 C 1 * +bit 2014 I 1 IO_W23 +bit 2013 O 1 IO_W23 2012 1 Z +bit 2012 C 1 * +bit 2011 I 1 IO_W22 +bit 2010 O 1 IO_W22 2009 1 Z +bit 2009 C 1 * +bit 2008 I 1 IO_Y30 +bit 2007 O 1 IO_Y30 2006 1 Z +bit 2006 C 1 * +bit 2005 I 1 IO_Y29 +bit 2004 O 1 IO_Y29 2003 1 Z +bit 2003 C 1 * +bit 2002 O 1 * +bit 2001 O 1 * +bit 2000 O 1 * +bit 1999 O 1 * +bit 1998 O 1 * +bit 1997 O 1 * +bit 1996 I 1 IO_Y28 +bit 1995 O 1 IO_Y28 1994 1 Z +bit 1994 C 1 * +bit 1993 I 1 IO_Y27 +bit 1992 O 1 IO_Y27 1991 1 Z +bit 1991 C 1 * +bit 1990 I 1 IO_Y26 +bit 1989 O 1 IO_Y26 1988 1 Z +bit 1988 C 1 * +bit 1987 I 1 IO_Y25 +bit 1986 O 1 IO_Y25 1985 1 Z +bit 1985 C 1 * +bit 1984 I 1 IO_Y24 +bit 1983 O 1 IO_Y24 1982 1 Z +bit 1982 C 1 * +bit 1981 I 1 IO_Y23 +bit 1980 O 1 IO_Y23 1979 1 Z +bit 1979 C 1 * +bit 1978 I 1 IO_Y21 +bit 1977 O 1 IO_Y21 1976 1 Z +bit 1976 C 1 * +bit 1975 I 1 IO_AA21 +bit 1974 O 1 IO_AA21 1973 1 Z +bit 1973 C 1 * +bit 1972 I 1 IO_AA29 +bit 1971 O 1 IO_AA29 1970 1 Z +bit 1970 C 1 * +bit 1969 I 1 IO_AA28 +bit 1968 O 1 IO_AA28 1967 1 Z +bit 1967 C 1 * +bit 1966 O 1 * +bit 1965 O 1 * +bit 1964 O 1 * +bit 1963 O 1 * +bit 1962 O 1 * +bit 1961 O 1 * +bit 1960 O 1 * +bit 1959 O 1 * +bit 1958 O 1 * +bit 1957 O 1 * +bit 1956 O 1 * +bit 1955 O 1 * +bit 1954 I 1 IO_AA25 +bit 1953 O 1 IO_AA25 1952 1 Z +bit 1952 C 1 * +bit 1951 I 1 IO_AA24 +bit 1950 O 1 IO_AA24 1949 1 Z +bit 1949 C 1 * +bit 1948 I 1 IO_AA22 +bit 1947 O 1 IO_AA22 1946 1 Z +bit 1946 C 1 * +bit 1945 I 1 IO_AB23 +bit 1944 O 1 IO_AB23 1943 1 Z +bit 1943 C 1 * +bit 1942 I 1 IO_AB30 +bit 1941 O 1 IO_AB30 1940 1 Z +bit 1940 C 1 * +bit 1939 I 1 IO_AB29 +bit 1938 O 1 IO_AB29 1937 1 Z +bit 1937 C 1 * +bit 1936 I 1 IO_AB27 +bit 1935 O 1 IO_AB27 1934 1 Z +bit 1934 C 1 * +bit 1933 I 1 IO_AB26 +bit 1932 O 1 IO_AB26 1931 1 Z +bit 1931 C 1 * +bit 1930 I 1 IO_AC30 +bit 1929 O 1 IO_AC30 1928 1 Z +bit 1928 C 1 * +bit 1927 I 1 IO_AC29 +bit 1926 O 1 IO_AC29 1925 1 Z +bit 1925 C 1 * +bit 1924 I 1 IO_AB25 +bit 1923 O 1 IO_AB25 1922 1 Z +bit 1922 C 1 * +bit 1921 O 1 * +bit 1920 O 1 * +bit 1919 O 1 * +bit 1918 I 1 IO_AC28 +bit 1917 O 1 IO_AC28 1916 1 Z +bit 1916 C 1 * +bit 1915 I 1 IO_AC27 +bit 1914 O 1 IO_AC27 1913 1 Z +bit 1913 C 1 * +bit 1912 I 1 IO_AC26 +bit 1911 O 1 IO_AC26 1910 1 Z +bit 1910 C 1 * +bit 1909 I 1 IO_AC25 +bit 1908 O 1 IO_AC25 1907 1 Z +bit 1907 C 1 * +bit 1906 I 1 IO_AC24 +bit 1905 O 1 IO_AC24 1904 1 Z +bit 1904 C 1 * +bit 1903 I 1 IO_AD25 +bit 1902 O 1 IO_AD25 1901 1 Z +bit 1901 C 1 * +bit 1900 I 1 IO_AD30 +bit 1899 O 1 IO_AD30 1898 1 Z +bit 1898 C 1 * +bit 1897 I 1 IO_AD29 +bit 1896 O 1 IO_AD29 1895 1 Z +bit 1895 C 1 * +bit 1894 I 1 IO_AD28 +bit 1893 O 1 IO_AD28 1892 1 Z +bit 1892 C 1 * +bit 1891 I 1 IO_AD27 +bit 1890 O 1 IO_AD27 1889 1 Z +bit 1889 C 1 * +bit 1888 O 1 * +bit 1887 O 1 * +bit 1886 O 1 * +bit 1885 O 1 * +bit 1884 O 1 * +bit 1883 O 1 * +bit 1882 O 1 * +bit 1881 O 1 * +bit 1880 O 1 * +bit 1879 O 1 * +bit 1878 O 1 * +bit 1877 O 1 * +bit 1876 O 1 * +bit 1875 O 1 * +bit 1874 O 1 * +bit 1873 O 1 * +bit 1872 O 1 * +bit 1871 O 1 * +bit 1870 I 1 IO_AE29 +bit 1869 O 1 IO_AE29 1868 1 Z +bit 1868 C 1 * +bit 1867 I 1 IO_AE28 +bit 1866 O 1 IO_AE28 1865 1 Z +bit 1865 C 1 * +bit 1864 I 1 IO_AE26 +bit 1863 O 1 IO_AE26 1862 1 Z +bit 1862 C 1 * +bit 1861 I 1 IO_AF27 +bit 1860 O 1 IO_AF27 1859 1 Z +bit 1859 C 1 * +bit 1858 I 1 IO_AF30 +bit 1857 O 1 IO_AF30 1856 1 Z +bit 1856 C 1 * +bit 1855 I 1 IO_AF29 +bit 1854 O 1 IO_AF29 1853 1 Z +bit 1853 C 1 * +bit 1852 I 1 IO_AG30 +bit 1851 O 1 IO_AG30 1850 1 Z +bit 1850 C 1 * +bit 1849 I 1 IO_AG29 +bit 1848 O 1 IO_AG29 1847 1 Z +bit 1847 C 1 * +bit 1846 I 1 IO_AG28 +bit 1845 O 1 IO_AG28 1844 1 Z +bit 1844 C 1 * +bit 1843 I 1 IO_AG27 +bit 1842 O 1 IO_AG27 1841 1 Z +bit 1841 C 1 * +bit 1840 I 1 IO_AH30 +bit 1839 O 1 IO_AH30 1838 1 Z +bit 1838 C 1 * +bit 1837 I 1 IO_AH29 +bit 1836 O 1 IO_AH29 1835 1 Z +bit 1835 C 1 * +bit 1834 I 1 CCLK_AH28 +bit 1833 O 1 CCLK_AH28 1832 1 Z +bit 1832 C 1 * +bit 1831 I 1 DONE_AJ28 +bit 1830 O 1 DONE_AJ28 1829 1 Z +bit 1829 C 1 * +bit 1828 I 1 IO_AK28 +bit 1827 O 1 IO_AK28 1826 1 Z +bit 1826 C 1 * +bit 1825 I 1 IO_AJ27 +bit 1824 O 1 IO_AJ27 1823 1 Z +bit 1823 C 1 * +bit 1822 I 1 IO_AK27 +bit 1821 O 1 IO_AK27 1820 1 Z +bit 1820 C 1 * +bit 1819 I 1 IO_AJ26 +bit 1818 O 1 IO_AJ26 1817 1 Z +bit 1817 C 1 * +bit 1816 I 1 IO_AK26 +bit 1815 O 1 IO_AK26 1814 1 Z +bit 1814 C 1 * +bit 1813 I 1 IO_AH27 +bit 1812 O 1 IO_AH27 1811 1 Z +bit 1811 C 1 * +bit 1810 I 1 IO_AG26 +bit 1809 O 1 IO_AG26 1808 1 Z +bit 1808 C 1 * +bit 1807 I 1 IO_AF25 +bit 1806 O 1 IO_AF25 1805 1 Z +bit 1805 C 1 * +bit 1804 I 1 IO_AH25 +bit 1803 O 1 IO_AH25 1802 1 Z +bit 1802 C 1 * +bit 1801 I 1 IO_AJ25 +bit 1800 O 1 IO_AJ25 1799 1 Z +bit 1799 C 1 * +bit 1798 O 1 * +bit 1797 O 1 * +bit 1796 O 1 * +bit 1795 I 1 IO_AE25 +bit 1794 O 1 IO_AE25 1793 1 Z +bit 1793 C 1 * +bit 1792 I 1 IO_AE24 +bit 1791 O 1 IO_AE24 1790 1 Z +bit 1790 C 1 * +bit 1789 I 1 IO_AG24 +bit 1788 O 1 IO_AG24 1787 1 Z +bit 1787 C 1 * +bit 1786 I 1 IO_AH24 +bit 1785 O 1 IO_AH24 1784 1 Z +bit 1784 C 1 * +bit 1783 O 1 * +bit 1782 O 1 * +bit 1781 O 1 * +bit 1780 O 1 * +bit 1779 O 1 * +bit 1778 O 1 * +bit 1777 O 1 * +bit 1776 O 1 * +bit 1775 O 1 * +bit 1774 O 1 * +bit 1773 O 1 * +bit 1772 O 1 * +bit 1771 O 1 * +bit 1770 O 1 * +bit 1769 O 1 * +bit 1768 O 1 * +bit 1767 O 1 * +bit 1766 O 1 * +bit 1765 I 1 IO_AJ24 +bit 1764 O 1 IO_AJ24 1763 1 Z +bit 1763 C 1 * +bit 1762 I 1 IO_AK24 +bit 1761 O 1 IO_AK24 1760 1 Z +bit 1760 C 1 * +bit 1759 I 1 IO_AD24 +bit 1758 O 1 IO_AD24 1757 1 Z +bit 1757 C 1 * +bit 1756 I 1 IO_AC23 +bit 1755 O 1 IO_AC23 1754 1 Z +bit 1754 C 1 * +bit 1753 I 1 IO_AD23 +bit 1752 O 1 IO_AD23 1751 1 Z +bit 1751 C 1 * +bit 1750 I 1 IO_AE23 +bit 1749 O 1 IO_AE23 1748 1 Z +bit 1748 C 1 * +bit 1747 I 1 IO_AF23 +bit 1746 O 1 IO_AF23 1745 1 Z +bit 1745 C 1 * +bit 1744 I 1 IO_AG23 +bit 1743 O 1 IO_AG23 1742 1 Z +bit 1742 C 1 * +bit 1741 I 1 IO_AH23 +bit 1740 O 1 IO_AH23 1739 1 Z +bit 1739 C 1 * +bit 1738 O 1 * +bit 1737 O 1 * +bit 1736 O 1 * +bit 1735 I 1 IO_AJ23 +bit 1734 O 1 IO_AJ23 1733 1 Z +bit 1733 C 1 * +bit 1732 I 1 IO_AK23 +bit 1731 O 1 IO_AK23 1730 1 Z +bit 1730 C 1 * +bit 1729 I 1 IO_AB22 +bit 1728 O 1 IO_AB22 1727 1 Z +bit 1727 C 1 * +bit 1726 I 1 IO_AC22 +bit 1725 O 1 IO_AC22 1724 1 Z +bit 1724 C 1 * +bit 1723 I 1 IO_AE22 +bit 1722 O 1 IO_AE22 1721 1 Z +bit 1721 C 1 * +bit 1720 I 1 IO_AF22 +bit 1719 O 1 IO_AF22 1718 1 Z +bit 1718 C 1 * +bit 1717 I 1 IO_AG22 +bit 1716 O 1 IO_AG22 1715 1 Z +bit 1715 C 1 * +bit 1714 I 1 IO_AJ22 +bit 1713 O 1 IO_AJ22 1712 1 Z +bit 1712 C 1 * +bit 1711 I 1 IO_AK22 +bit 1710 O 1 IO_AK22 1709 1 Z +bit 1709 C 1 * +bit 1708 O 1 * +bit 1707 O 1 * +bit 1706 O 1 * +bit 1705 O 1 * +bit 1704 O 1 * +bit 1703 O 1 * +bit 1702 O 1 * +bit 1701 O 1 * +bit 1700 O 1 * +bit 1699 O 1 * +bit 1698 O 1 * +bit 1697 O 1 * +bit 1696 O 1 * +bit 1695 O 1 * +bit 1694 O 1 * +bit 1693 O 1 * +bit 1692 O 1 * +bit 1691 O 1 * +bit 1690 I 1 IO_AD21 +bit 1689 O 1 IO_AD21 1688 1 Z +bit 1688 C 1 * +bit 1687 I 1 IO_AE21 +bit 1686 O 1 IO_AE21 1685 1 Z +bit 1685 C 1 * +bit 1684 I 1 IO_AH21 +bit 1683 O 1 IO_AH21 1682 1 Z +bit 1682 C 1 * +bit 1681 I 1 IO_AJ21 +bit 1680 O 1 IO_AJ21 1679 1 Z +bit 1679 C 1 * +bit 1678 O 1 * +bit 1677 O 1 * +bit 1676 O 1 * +bit 1675 I 1 IO_AB21 +bit 1674 O 1 IO_AB21 1673 1 Z +bit 1673 C 1 * +bit 1672 I 1 IO_AA20 +bit 1671 O 1 IO_AA20 1670 1 Z +bit 1670 C 1 * +bit 1669 I 1 IO_AC20 +bit 1668 O 1 IO_AC20 1667 1 Z +bit 1667 C 1 * +bit 1666 I 1 IO_AD20 +bit 1665 O 1 IO_AD20 1664 1 Z +bit 1664 C 1 * +bit 1663 O 1 * +bit 1662 O 1 * +bit 1661 O 1 * +bit 1660 I 1 IO_AE20 +bit 1659 O 1 IO_AE20 1658 1 Z +bit 1658 C 1 * +bit 1657 I 1 IO_AF20 +bit 1656 O 1 IO_AF20 1655 1 Z +bit 1655 C 1 * +bit 1654 I 1 IO_AG20 +bit 1653 O 1 IO_AG20 1652 1 Z +bit 1652 C 1 * +bit 1651 I 1 IO_AH20 +bit 1650 O 1 IO_AH20 1649 1 Z +bit 1649 C 1 * +bit 1648 O 1 * +bit 1647 O 1 * +bit 1646 O 1 * +bit 1645 I 1 IO_AJ20 +bit 1644 O 1 IO_AJ20 1643 1 Z +bit 1643 C 1 * +bit 1642 I 1 IO_AK20 +bit 1641 O 1 IO_AK20 1640 1 Z +bit 1640 C 1 * +bit 1639 I 1 IO_AA19 +bit 1638 O 1 IO_AA19 1637 1 Z +bit 1637 C 1 * +bit 1636 I 1 IO_AB19 +bit 1635 O 1 IO_AB19 1634 1 Z +bit 1634 C 1 * +bit 1633 O 1 * +bit 1632 O 1 * +bit 1631 O 1 * +bit 1630 I 1 IO_AC19 +bit 1629 O 1 IO_AC19 1628 1 Z +bit 1628 C 1 * +bit 1627 I 1 IO_AD19 +bit 1626 O 1 IO_AD19 1625 1 Z +bit 1625 C 1 * +bit 1624 I 1 IO_AE19 +bit 1623 O 1 IO_AE19 1622 1 Z +bit 1622 C 1 * +bit 1621 I 1 IO_AF19 +bit 1620 O 1 IO_AF19 1619 1 Z +bit 1619 C 1 * +bit 1618 O 1 * +bit 1617 O 1 * +bit 1616 O 1 * +bit 1615 I 1 IO_AG19 +bit 1614 O 1 IO_AG19 1613 1 Z +bit 1613 C 1 * +bit 1612 I 1 IO_AH19 +bit 1611 O 1 IO_AH19 1610 1 Z +bit 1610 C 1 * +bit 1609 I 1 IO_AJ19 +bit 1608 O 1 IO_AJ19 1607 1 Z +bit 1607 C 1 * +bit 1606 I 1 IO_AK19 +bit 1605 O 1 IO_AK19 1604 1 Z +bit 1604 C 1 * +bit 1603 I 1 IO_AA18 +bit 1602 O 1 IO_AA18 1601 1 Z +bit 1601 C 1 * +bit 1600 I 1 IO_AB18 +bit 1599 O 1 IO_AB18 1598 1 Z +bit 1598 C 1 * +bit 1597 I 1 IO_AC18 +bit 1596 O 1 IO_AC18 1595 1 Z +bit 1595 C 1 * +bit 1594 I 1 IO_AE18 +bit 1593 O 1 IO_AE18 1592 1 Z +bit 1592 C 1 * +bit 1591 I 1 IO_AF18 +bit 1590 O 1 IO_AF18 1589 1 Z +bit 1589 C 1 * +bit 1588 I 1 IO_AG18 +bit 1587 O 1 IO_AG18 1586 1 Z +bit 1586 C 1 * +bit 1585 I 1 IO_AJ18 +bit 1584 O 1 IO_AJ18 1583 1 Z +bit 1583 C 1 * +bit 1582 I 1 IO_AK18 +bit 1581 O 1 IO_AK18 1580 1 Z +bit 1580 C 1 * +bit 1579 I 1 IO_AA17 +bit 1578 O 1 IO_AA17 1577 1 Z +bit 1577 C 1 * +bit 1576 I 1 IO_AB17 +bit 1575 O 1 IO_AB17 1574 1 Z +bit 1574 C 1 * +bit 1573 I 1 IO_AA16 +bit 1572 O 1 IO_AA16 1571 1 Z +bit 1571 C 1 * +bit 1570 I 1 IO_AD17 +bit 1569 O 1 IO_AD17 1568 1 Z +bit 1568 C 1 * +bit 1567 I 1 IO_AE17 +bit 1566 O 1 IO_AE17 1565 1 Z +bit 1565 C 1 * +bit 1564 I 1 IO_AH17 +bit 1563 O 1 IO_AH17 1562 1 Z +bit 1562 C 1 * +bit 1561 I 1 IO_AJ17 +bit 1560 O 1 IO_AJ17 1559 1 Z +bit 1559 C 1 * +bit 1558 O 1 * +bit 1557 O 1 * +bit 1556 O 1 * +bit 1555 I 1 IO_AB16 +bit 1554 O 1 IO_AB16 1553 1 Z +bit 1553 C 1 * +bit 1552 I 1 IO_AC16 +bit 1551 O 1 IO_AC16 1550 1 Z +bit 1550 C 1 * +bit 1549 I 1 IO_AD16 +bit 1548 O 1 IO_AD16 1547 1 Z +bit 1547 C 1 * +bit 1546 I 1 IO_AE16 +bit 1545 O 1 IO_AE16 1544 1 Z +bit 1544 C 1 * +bit 1543 I 1 IO_AF16 +bit 1542 O 1 IO_AF16 1541 1 Z +bit 1541 C 1 * +bit 1540 I 1 IO_AG16 +bit 1539 O 1 IO_AG16 1538 1 Z +bit 1538 C 1 * +bit 1537 I 1 IO_AH16 +bit 1536 O 1 IO_AH16 1535 1 Z +bit 1535 C 1 * +bit 1534 I 1 IO_AJ16 +bit 1533 O 1 IO_AJ16 1532 1 Z +bit 1532 C 1 * +bit 1531 I 1 IO_AK16 +bit 1530 O 1 IO_AK16 1529 1 Z +bit 1529 C 1 * +bit 1528 I 1 IO_AK15 +bit 1527 O 1 IO_AK15 1526 1 Z +bit 1526 C 1 * +bit 1525 I 1 IO_AJ15 +bit 1524 O 1 IO_AJ15 1523 1 Z +bit 1523 C 1 * +bit 1522 I 1 IO_AH15 +bit 1521 O 1 IO_AH15 1520 1 Z +bit 1520 C 1 * +bit 1519 I 1 IO_AG15 +bit 1518 O 1 IO_AG15 1517 1 Z +bit 1517 C 1 * +bit 1516 I 1 IO_AF15 +bit 1515 O 1 IO_AF15 1514 1 Z +bit 1514 C 1 * +bit 1513 I 1 IO_AE15 +bit 1512 O 1 IO_AE15 1511 1 Z +bit 1511 C 1 * +bit 1510 I 1 IO_AD15 +bit 1509 O 1 IO_AD15 1508 1 Z +bit 1508 C 1 * +bit 1507 I 1 IO_AD14 +bit 1506 O 1 IO_AD14 1505 1 Z +bit 1505 C 1 * +bit 1504 I 1 IO_AC15 +bit 1503 O 1 IO_AC15 1502 1 Z +bit 1502 C 1 * +bit 1501 I 1 IO_AB15 +bit 1500 O 1 IO_AB15 1499 1 Z +bit 1499 C 1 * +bit 1498 I 1 IO_AA15 +bit 1497 O 1 IO_AA15 1496 1 Z +bit 1496 C 1 * +bit 1495 I 1 IO_AJ14 +bit 1494 O 1 IO_AJ14 1493 1 Z +bit 1493 C 1 * +bit 1492 I 1 IO_AH14 +bit 1491 O 1 IO_AH14 1490 1 Z +bit 1490 C 1 * +bit 1489 I 1 IO_AE14 +bit 1488 O 1 IO_AE14 1487 1 Z +bit 1487 C 1 * +bit 1486 I 1 IO_AE13 +bit 1485 O 1 IO_AE13 1484 1 Z +bit 1484 C 1 * +bit 1483 O 1 * +bit 1482 O 1 * +bit 1481 O 1 * +bit 1480 I 1 IO_AB14 +bit 1479 O 1 IO_AB14 1478 1 Z +bit 1478 C 1 * +bit 1477 I 1 IO_AA14 +bit 1476 O 1 IO_AA14 1475 1 Z +bit 1475 C 1 * +bit 1474 I 1 IO_AK13 +bit 1473 O 1 IO_AK13 1472 1 Z +bit 1472 C 1 * +bit 1471 I 1 IO_AJ13 +bit 1470 O 1 IO_AJ13 1469 1 Z +bit 1469 C 1 * +bit 1468 O 1 * +bit 1467 O 1 * +bit 1466 O 1 * +bit 1465 I 1 IO_AG13 +bit 1464 O 1 IO_AG13 1463 1 Z +bit 1463 C 1 * +bit 1462 I 1 IO_AF13 +bit 1461 O 1 IO_AF13 1460 1 Z +bit 1460 C 1 * +bit 1459 I 1 IO_AC13 +bit 1458 O 1 IO_AC13 1457 1 Z +bit 1457 C 1 * +bit 1456 I 1 IO_AB13 +bit 1455 O 1 IO_AB13 1454 1 Z +bit 1454 C 1 * +bit 1453 O 1 * +bit 1452 O 1 * +bit 1451 O 1 * +bit 1450 I 1 IO_AA13 +bit 1449 O 1 IO_AA13 1448 1 Z +bit 1448 C 1 * +bit 1447 I 1 IO_AA12 +bit 1446 O 1 IO_AA12 1445 1 Z +bit 1445 C 1 * +bit 1444 I 1 IO_AK12 +bit 1443 O 1 IO_AK12 1442 1 Z +bit 1442 C 1 * +bit 1441 I 1 IO_AJ12 +bit 1440 O 1 IO_AJ12 1439 1 Z +bit 1439 C 1 * +bit 1438 O 1 * +bit 1437 O 1 * +bit 1436 O 1 * +bit 1435 I 1 IO_AH12 +bit 1434 O 1 IO_AH12 1433 1 Z +bit 1433 C 1 * +bit 1432 I 1 IO_AG12 +bit 1431 O 1 IO_AG12 1430 1 Z +bit 1430 C 1 * +bit 1429 I 1 IO_AF12 +bit 1428 O 1 IO_AF12 1427 1 Z +bit 1427 C 1 * +bit 1426 I 1 IO_AE12 +bit 1425 O 1 IO_AE12 1424 1 Z +bit 1424 C 1 * +bit 1423 O 1 * +bit 1422 O 1 * +bit 1421 O 1 * +bit 1420 I 1 IO_AD12 +bit 1419 O 1 IO_AD12 1418 1 Z +bit 1418 C 1 * +bit 1417 I 1 IO_AC12 +bit 1416 O 1 IO_AC12 1415 1 Z +bit 1415 C 1 * +bit 1414 I 1 IO_AB12 +bit 1413 O 1 IO_AB12 1412 1 Z +bit 1412 C 1 * +bit 1411 I 1 IO_AC11 +bit 1410 O 1 IO_AC11 1409 1 Z +bit 1409 C 1 * +bit 1408 O 1 * +bit 1407 O 1 * +bit 1406 O 1 * +bit 1405 I 1 IO_AK11 +bit 1404 O 1 IO_AK11 1403 1 Z +bit 1403 C 1 * +bit 1402 I 1 IO_AJ11 +bit 1401 O 1 IO_AJ11 1400 1 Z +bit 1400 C 1 * +bit 1399 I 1 IO_AH11 +bit 1398 O 1 IO_AH11 1397 1 Z +bit 1397 C 1 * +bit 1396 I 1 IO_AG11 +bit 1395 O 1 IO_AG11 1394 1 Z +bit 1394 C 1 * +bit 1393 O 1 * +bit 1392 O 1 * +bit 1391 O 1 * +bit 1390 I 1 IO_AF11 +bit 1389 O 1 IO_AF11 1388 1 Z +bit 1388 C 1 * +bit 1387 I 1 IO_AE11 +bit 1386 O 1 IO_AE11 1385 1 Z +bit 1385 C 1 * +bit 1384 I 1 IO_AD11 +bit 1383 O 1 IO_AD11 1382 1 Z +bit 1382 C 1 * +bit 1381 I 1 IO_AD10 +bit 1380 O 1 IO_AD10 1379 1 Z +bit 1379 C 1 * +bit 1378 I 1 IO_AA11 +bit 1377 O 1 IO_AA11 1376 1 Z +bit 1376 C 1 * +bit 1375 I 1 IO_AJ10 +bit 1374 O 1 IO_AJ10 1373 1 Z +bit 1373 C 1 * +bit 1372 I 1 IO_AH10 +bit 1371 O 1 IO_AH10 1370 1 Z +bit 1370 C 1 * +bit 1369 I 1 IO_AE10 +bit 1368 O 1 IO_AE10 1367 1 Z +bit 1367 C 1 * +bit 1366 I 1 IO_AE9 +bit 1365 O 1 IO_AE9 1364 1 Z +bit 1364 C 1 * +bit 1363 O 1 * +bit 1362 O 1 * +bit 1361 O 1 * +bit 1360 O 1 * +bit 1359 O 1 * +bit 1358 O 1 * +bit 1357 O 1 * +bit 1356 O 1 * +bit 1355 O 1 * +bit 1354 O 1 * +bit 1353 O 1 * +bit 1352 O 1 * +bit 1351 O 1 * +bit 1350 O 1 * +bit 1349 O 1 * +bit 1348 I 1 IO_AB10 +bit 1347 O 1 IO_AB10 1346 1 Z +bit 1346 C 1 * +bit 1345 I 1 IO_AK9 +bit 1344 O 1 IO_AK9 1343 1 Z +bit 1343 C 1 * +bit 1342 I 1 IO_AJ9 +bit 1341 O 1 IO_AJ9 1340 1 Z +bit 1340 C 1 * +bit 1339 I 1 IO_AG9 +bit 1338 O 1 IO_AG9 1337 1 Z +bit 1337 C 1 * +bit 1336 I 1 IO_AF9 +bit 1335 O 1 IO_AF9 1334 1 Z +bit 1334 C 1 * +bit 1333 O 1 * +bit 1332 O 1 * +bit 1331 O 1 * +bit 1330 I 1 IO_AC9 +bit 1329 O 1 IO_AC9 1328 1 Z +bit 1328 C 1 * +bit 1327 I 1 IO_AB9 +bit 1326 O 1 IO_AB9 1325 1 Z +bit 1325 C 1 * +bit 1324 I 1 IO_AK8 +bit 1323 O 1 IO_AK8 1322 1 Z +bit 1322 C 1 * +bit 1321 I 1 IO_AJ8 +bit 1320 O 1 IO_AJ8 1319 1 Z +bit 1319 C 1 * +bit 1318 O 1 * +bit 1317 O 1 * +bit 1316 O 1 * +bit 1315 I 1 IO_AH8 +bit 1314 O 1 IO_AH8 1313 1 Z +bit 1313 C 1 * +bit 1312 I 1 IO_AG8 +bit 1311 O 1 IO_AG8 1310 1 Z +bit 1310 C 1 * +bit 1309 I 1 IO_AF8 +bit 1308 O 1 IO_AF8 1307 1 Z +bit 1307 C 1 * +bit 1306 I 1 IO_AE8 +bit 1305 O 1 IO_AE8 1304 1 Z +bit 1304 C 1 * +bit 1303 O 1 * +bit 1302 O 1 * +bit 1301 O 1 * +bit 1300 I 1 IO_AD8 +bit 1299 O 1 IO_AD8 1298 1 Z +bit 1298 C 1 * +bit 1297 I 1 IO_AC8 +bit 1296 O 1 IO_AC8 1295 1 Z +bit 1295 C 1 * +bit 1294 I 1 IO_AK7 +bit 1293 O 1 IO_AK7 1292 1 Z +bit 1292 C 1 * +bit 1291 I 1 IO_AJ7 +bit 1290 O 1 IO_AJ7 1289 1 Z +bit 1289 C 1 * +bit 1288 O 1 * +bit 1287 O 1 * +bit 1286 O 1 * +bit 1285 O 1 * +bit 1284 O 1 * +bit 1283 O 1 * +bit 1282 O 1 * +bit 1281 O 1 * +bit 1280 O 1 * +bit 1279 O 1 * +bit 1278 O 1 * +bit 1277 O 1 * +bit 1276 O 1 * +bit 1275 O 1 * +bit 1274 O 1 * +bit 1273 O 1 * +bit 1272 O 1 * +bit 1271 O 1 * +bit 1270 I 1 IO_AH7 +bit 1269 O 1 IO_AH7 1268 1 Z +bit 1268 C 1 * +bit 1267 I 1 IO_AG7 +bit 1266 O 1 IO_AG7 1265 1 Z +bit 1265 C 1 * +bit 1264 I 1 IO_AE7 +bit 1263 O 1 IO_AE7 1262 1 Z +bit 1262 C 1 * +bit 1261 I 1 IO_AD7 +bit 1260 O 1 IO_AD7 1259 1 Z +bit 1259 C 1 * +bit 1258 I 1 IO_AE6 +bit 1257 O 1 IO_AE6 1256 1 Z +bit 1256 C 1 * +bit 1255 I 1 IO_AJ6 +bit 1254 O 1 IO_AJ6 1253 1 Z +bit 1253 C 1 * +bit 1252 I 1 IO_AH6 +bit 1251 O 1 IO_AH6 1250 1 Z +bit 1250 C 1 * +bit 1249 I 1 IO_AF6 +bit 1248 O 1 IO_AF6 1247 1 Z +bit 1247 C 1 * +bit 1246 I 1 IO_AG5 +bit 1245 O 1 IO_AG5 1244 1 Z +bit 1244 C 1 * +bit 1243 I 1 IO_AH4 +bit 1242 O 1 IO_AH4 1241 1 Z +bit 1241 C 1 * +bit 1240 I 1 IO_AK5 +bit 1239 O 1 IO_AK5 1238 1 Z +bit 1238 C 1 * +bit 1237 I 1 IO_AJ5 +bit 1236 O 1 IO_AJ5 1235 1 Z +bit 1235 C 1 * +bit 1234 I 1 IO_AK4 +bit 1233 O 1 IO_AK4 1232 1 Z +bit 1232 C 1 * +bit 1231 I 1 IO_AJ4 +bit 1230 O 1 IO_AJ4 1229 1 Z +bit 1229 C 1 * +bit 1228 I 1 M2_AK3 +bit 1227 I 1 M0_AJ3 +bit 1226 I 1 M1_AH3 +bit 1225 I 1 IO_AH2 +bit 1224 O 1 IO_AH2 1223 1 Z +bit 1223 C 1 * +bit 1222 I 1 IO_AH1 +bit 1221 O 1 IO_AH1 1220 1 Z +bit 1220 C 1 * +bit 1219 I 1 IO_AG4 +bit 1218 O 1 IO_AG4 1217 1 Z +bit 1217 C 1 * +bit 1216 I 1 IO_AG3 +bit 1215 O 1 IO_AG3 1214 1 Z +bit 1214 C 1 * +bit 1213 I 1 IO_AG2 +bit 1212 O 1 IO_AG2 1211 1 Z +bit 1211 C 1 * +bit 1210 I 1 IO_AG1 +bit 1209 O 1 IO_AG1 1208 1 Z +bit 1208 C 1 * +bit 1207 I 1 IO_AF2 +bit 1206 O 1 IO_AF2 1205 1 Z +bit 1205 C 1 * +bit 1204 I 1 IO_AF1 +bit 1203 O 1 IO_AF1 1202 1 Z +bit 1202 C 1 * +bit 1201 I 1 IO_AF4 +bit 1200 O 1 IO_AF4 1199 1 Z +bit 1199 C 1 * +bit 1198 I 1 IO_AE5 +bit 1197 O 1 IO_AE5 1196 1 Z +bit 1196 C 1 * +bit 1195 I 1 IO_AE3 +bit 1194 O 1 IO_AE3 1193 1 Z +bit 1193 C 1 * +bit 1192 I 1 IO_AE2 +bit 1191 O 1 IO_AE2 1190 1 Z +bit 1190 C 1 * +bit 1189 O 1 * +bit 1188 O 1 * +bit 1187 O 1 * +bit 1186 O 1 * +bit 1185 O 1 * +bit 1184 O 1 * +bit 1183 O 1 * +bit 1182 O 1 * +bit 1181 O 1 * +bit 1180 O 1 * +bit 1179 O 1 * +bit 1178 O 1 * +bit 1177 O 1 * +bit 1176 O 1 * +bit 1175 O 1 * +bit 1174 O 1 * +bit 1173 O 1 * +bit 1172 O 1 * +bit 1171 I 1 IO_AD4 +bit 1170 O 1 IO_AD4 1169 1 Z +bit 1169 C 1 * +bit 1168 I 1 IO_AD3 +bit 1167 O 1 IO_AD3 1166 1 Z +bit 1166 C 1 * +bit 1165 I 1 IO_AD2 +bit 1164 O 1 IO_AD2 1163 1 Z +bit 1163 C 1 * +bit 1162 I 1 IO_AD1 +bit 1161 O 1 IO_AD1 1160 1 Z +bit 1160 C 1 * +bit 1159 I 1 IO_AD6 +bit 1158 O 1 IO_AD6 1157 1 Z +bit 1157 C 1 * +bit 1156 I 1 IO_AC7 +bit 1155 O 1 IO_AC7 1154 1 Z +bit 1154 C 1 * +bit 1153 I 1 IO_AC6 +bit 1152 O 1 IO_AC6 1151 1 Z +bit 1151 C 1 * +bit 1150 I 1 IO_AC5 +bit 1149 O 1 IO_AC5 1148 1 Z +bit 1148 C 1 * +bit 1147 I 1 IO_AC4 +bit 1146 O 1 IO_AC4 1145 1 Z +bit 1145 C 1 * +bit 1144 I 1 IO_AC3 +bit 1143 O 1 IO_AC3 1142 1 Z +bit 1142 C 1 * +bit 1141 O 1 * +bit 1140 O 1 * +bit 1139 O 1 * +bit 1138 I 1 IO_AB6 +bit 1137 O 1 IO_AB6 1136 1 Z +bit 1136 C 1 * +bit 1135 I 1 IO_AC2 +bit 1134 O 1 IO_AC2 1133 1 Z +bit 1133 C 1 * +bit 1132 I 1 IO_AC1 +bit 1131 O 1 IO_AC1 1130 1 Z +bit 1130 C 1 * +bit 1129 I 1 IO_AB5 +bit 1128 O 1 IO_AB5 1127 1 Z +bit 1127 C 1 * +bit 1126 I 1 IO_AB4 +bit 1125 O 1 IO_AB4 1124 1 Z +bit 1124 C 1 * +bit 1123 I 1 IO_AB2 +bit 1122 O 1 IO_AB2 1121 1 Z +bit 1121 C 1 * +bit 1120 I 1 IO_AB1 +bit 1119 O 1 IO_AB1 1118 1 Z +bit 1118 C 1 * +bit 1117 I 1 IO_AB8 +bit 1116 O 1 IO_AB8 1115 1 Z +bit 1115 C 1 * +bit 1114 I 1 IO_AA9 +bit 1113 O 1 IO_AA9 1112 1 Z +bit 1112 C 1 * +bit 1111 I 1 IO_AA7 +bit 1110 O 1 IO_AA7 1109 1 Z +bit 1109 C 1 * +bit 1108 I 1 IO_AA6 +bit 1107 O 1 IO_AA6 1106 1 Z +bit 1106 C 1 * +bit 1105 O 1 * +bit 1104 O 1 * +bit 1103 O 1 * +bit 1102 O 1 * +bit 1101 O 1 * +bit 1100 O 1 * +bit 1099 O 1 * +bit 1098 O 1 * +bit 1097 O 1 * +bit 1096 O 1 * +bit 1095 O 1 * +bit 1094 O 1 * +bit 1093 I 1 IO_AA3 +bit 1092 O 1 IO_AA3 1091 1 Z +bit 1091 C 1 * +bit 1090 I 1 IO_AA2 +bit 1089 O 1 IO_AA2 1088 1 Z +bit 1088 C 1 * +bit 1087 I 1 IO_AA10 +bit 1086 O 1 IO_AA10 1085 1 Z +bit 1085 C 1 * +bit 1084 I 1 IO_Y10 +bit 1083 O 1 IO_Y10 1082 1 Z +bit 1082 C 1 * +bit 1081 I 1 IO_Y8 +bit 1080 O 1 IO_Y8 1079 1 Z +bit 1079 C 1 * +bit 1078 I 1 IO_Y7 +bit 1077 O 1 IO_Y7 1076 1 Z +bit 1076 C 1 * +bit 1075 I 1 IO_Y6 +bit 1074 O 1 IO_Y6 1073 1 Z +bit 1073 C 1 * +bit 1072 I 1 IO_Y5 +bit 1071 O 1 IO_Y5 1070 1 Z +bit 1070 C 1 * +bit 1069 I 1 IO_Y4 +bit 1068 O 1 IO_Y4 1067 1 Z +bit 1067 C 1 * +bit 1066 I 1 IO_Y3 +bit 1065 O 1 IO_Y3 1064 1 Z +bit 1064 C 1 * +bit 1063 O 1 * +bit 1062 O 1 * +bit 1061 O 1 * +bit 1060 O 1 * +bit 1059 O 1 * +bit 1058 O 1 * +bit 1057 I 1 IO_Y2 +bit 1056 O 1 IO_Y2 1055 1 Z +bit 1055 C 1 * +bit 1054 I 1 IO_Y1 +bit 1053 O 1 IO_Y1 1052 1 Z +bit 1052 C 1 * +bit 1051 I 1 IO_W9 +bit 1050 O 1 IO_W9 1049 1 Z +bit 1049 C 1 * +bit 1048 I 1 IO_W8 +bit 1047 O 1 IO_W8 1046 1 Z +bit 1046 C 1 * +bit 1045 I 1 IO_W7 +bit 1044 O 1 IO_W7 1043 1 Z +bit 1043 C 1 * +bit 1042 I 1 IO_W6 +bit 1041 O 1 IO_W6 1040 1 Z +bit 1040 C 1 * +bit 1039 I 1 IO_W4 +bit 1038 O 1 IO_W4 1037 1 Z +bit 1037 C 1 * +bit 1036 I 1 IO_W3 +bit 1035 O 1 IO_W3 1034 1 Z +bit 1034 C 1 * +bit 1033 O 1 * +bit 1032 O 1 * +bit 1031 O 1 * +bit 1030 O 1 * +bit 1029 O 1 * +bit 1028 O 1 * +bit 1027 O 1 * +bit 1026 O 1 * +bit 1025 O 1 * +bit 1024 O 1 * +bit 1023 O 1 * +bit 1022 O 1 * +bit 1021 I 1 IO_W2 +bit 1020 O 1 IO_W2 1019 1 Z +bit 1019 C 1 * +bit 1018 I 1 IO_W1 +bit 1017 O 1 IO_W1 1016 1 Z +bit 1016 C 1 * +bit 1015 I 1 IO_W10 +bit 1014 O 1 IO_W10 1013 1 Z +bit 1013 C 1 * +bit 1012 I 1 IO_V10 +bit 1011 O 1 IO_V10 1010 1 Z +bit 1010 C 1 * +bit 1009 I 1 IO_V9 +bit 1008 O 1 IO_V9 1007 1 Z +bit 1007 C 1 * +bit 1006 I 1 IO_V8 +bit 1005 O 1 IO_V8 1004 1 Z +bit 1004 C 1 * +bit 1003 I 1 IO_W5 +bit 1002 O 1 IO_W5 1001 1 Z +bit 1001 C 1 * +bit 1000 I 1 IO_V6 +bit 999 O 1 IO_V6 998 1 Z +bit 998 C 1 * +bit 997 I 1 IO_V5 +bit 996 O 1 IO_V5 995 1 Z +bit 995 C 1 * +bit 994 I 1 IO_V4 +bit 993 O 1 IO_V4 992 1 Z +bit 992 C 1 * +bit 991 O 1 * +bit 990 O 1 * +bit 989 O 1 * +bit 988 O 1 * +bit 987 O 1 * +bit 986 O 1 * +bit 985 I 1 IO_V2 +bit 984 O 1 IO_V2 983 1 Z +bit 983 C 1 * +bit 982 I 1 IO_V1 +bit 981 O 1 IO_V1 980 1 Z +bit 980 C 1 * +bit 979 I 1 IO_U10 +bit 978 O 1 IO_U10 977 1 Z +bit 977 C 1 * +bit 976 I 1 IO_U9 +bit 975 O 1 IO_U9 974 1 Z +bit 974 C 1 * +bit 973 I 1 IO_U7 +bit 972 O 1 IO_U7 971 1 Z +bit 971 C 1 * +bit 970 I 1 IO_U6 +bit 969 O 1 IO_U6 968 1 Z +bit 968 C 1 * +bit 967 I 1 IO_U3 +bit 966 O 1 IO_U3 965 1 Z +bit 965 C 1 * +bit 964 I 1 IO_U2 +bit 963 O 1 IO_U2 962 1 Z +bit 962 C 1 * +bit 961 I 1 IO_T10 +bit 960 O 1 IO_T10 959 1 Z +bit 959 C 1 * +bit 958 I 1 IO_T9 +bit 957 O 1 IO_T9 956 1 Z +bit 956 C 1 * +bit 955 O 1 * +bit 954 O 1 * +bit 953 O 1 * +bit 952 O 1 * +bit 951 O 1 * +bit 950 O 1 * +bit 949 O 1 * +bit 948 O 1 * +bit 947 O 1 * +bit 946 O 1 * +bit 945 O 1 * +bit 944 O 1 * +bit 943 O 1 * +bit 942 O 1 * +bit 941 O 1 * +bit 940 O 1 * +bit 939 O 1 * +bit 938 O 1 * +bit 937 I 1 IO_T8 +bit 936 O 1 IO_T8 935 1 Z +bit 935 C 1 * +bit 934 I 1 IO_T7 +bit 933 O 1 IO_T7 932 1 Z +bit 932 C 1 * +bit 931 I 1 IO_T6 +bit 930 O 1 IO_T6 929 1 Z +bit 929 C 1 * +bit 928 I 1 IO_T5 +bit 927 O 1 IO_T5 926 1 Z +bit 926 C 1 * +bit 925 I 1 IO_T4 +bit 924 O 1 IO_T4 923 1 Z +bit 923 C 1 * +bit 922 I 1 IO_T3 +bit 921 O 1 IO_T3 920 1 Z +bit 920 C 1 * +bit 919 I 1 IO_T2 +bit 918 O 1 IO_T2 917 1 Z +bit 917 C 1 * +bit 916 I 1 IO_T1 +bit 915 O 1 IO_T1 914 1 Z +bit 914 C 1 * +bit 913 I 1 IO_R1 +bit 912 O 1 IO_R1 911 1 Z +bit 911 C 1 * +bit 910 I 1 IO_R2 +bit 909 O 1 IO_R2 908 1 Z +bit 908 C 1 * +bit 907 I 1 IO_R3 +bit 906 O 1 IO_R3 905 1 Z +bit 905 C 1 * +bit 904 I 1 IO_R4 +bit 903 O 1 IO_R4 902 1 Z +bit 902 C 1 * +bit 901 I 1 IO_R5 +bit 900 O 1 IO_R5 899 1 Z +bit 899 C 1 * +bit 898 I 1 IO_R6 +bit 897 O 1 IO_R6 896 1 Z +bit 896 C 1 * +bit 895 I 1 IO_R7 +bit 894 O 1 IO_R7 893 1 Z +bit 893 C 1 * +bit 892 I 1 IO_R8 +bit 891 O 1 IO_R8 890 1 Z +bit 890 C 1 * +bit 889 O 1 * +bit 888 O 1 * +bit 887 O 1 * +bit 886 O 1 * +bit 885 O 1 * +bit 884 O 1 * +bit 883 O 1 * +bit 882 O 1 * +bit 881 O 1 * +bit 880 O 1 * +bit 879 O 1 * +bit 878 O 1 * +bit 877 O 1 * +bit 876 O 1 * +bit 875 O 1 * +bit 874 O 1 * +bit 873 O 1 * +bit 872 O 1 * +bit 871 I 1 IO_R9 +bit 870 O 1 IO_R9 869 1 Z +bit 869 C 1 * +bit 868 I 1 IO_R10 +bit 867 O 1 IO_R10 866 1 Z +bit 866 C 1 * +bit 865 I 1 IO_P2 +bit 864 O 1 IO_P2 863 1 Z +bit 863 C 1 * +bit 862 I 1 IO_P3 +bit 861 O 1 IO_P3 860 1 Z +bit 860 C 1 * +bit 859 I 1 IO_P6 +bit 858 O 1 IO_P6 857 1 Z +bit 857 C 1 * +bit 856 I 1 IO_P7 +bit 855 O 1 IO_P7 854 1 Z +bit 854 C 1 * +bit 853 I 1 IO_P9 +bit 852 O 1 IO_P9 851 1 Z +bit 851 C 1 * +bit 850 I 1 IO_P10 +bit 849 O 1 IO_P10 848 1 Z +bit 848 C 1 * +bit 847 I 1 IO_N1 +bit 846 O 1 IO_N1 845 1 Z +bit 845 C 1 * +bit 844 I 1 IO_N2 +bit 843 O 1 IO_N2 842 1 Z +bit 842 C 1 * +bit 841 O 1 * +bit 840 O 1 * +bit 839 O 1 * +bit 838 O 1 * +bit 837 O 1 * +bit 836 O 1 * +bit 835 I 1 IO_N4 +bit 834 O 1 IO_N4 833 1 Z +bit 833 C 1 * +bit 832 I 1 IO_N5 +bit 831 O 1 IO_N5 830 1 Z +bit 830 C 1 * +bit 829 I 1 IO_N6 +bit 828 O 1 IO_N6 827 1 Z +bit 827 C 1 * +bit 826 I 1 IO_M5 +bit 825 O 1 IO_M5 824 1 Z +bit 824 C 1 * +bit 823 I 1 IO_N8 +bit 822 O 1 IO_N8 821 1 Z +bit 821 C 1 * +bit 820 I 1 IO_N9 +bit 819 O 1 IO_N9 818 1 Z +bit 818 C 1 * +bit 817 I 1 IO_N10 +bit 816 O 1 IO_N10 815 1 Z +bit 815 C 1 * +bit 814 I 1 IO_M10 +bit 813 O 1 IO_M10 812 1 Z +bit 812 C 1 * +bit 811 I 1 IO_M1 +bit 810 O 1 IO_M1 809 1 Z +bit 809 C 1 * +bit 808 I 1 IO_M2 +bit 807 O 1 IO_M2 806 1 Z +bit 806 C 1 * +bit 805 O 1 * +bit 804 O 1 * +bit 803 O 1 * +bit 802 O 1 * +bit 801 O 1 * +bit 800 O 1 * +bit 799 O 1 * +bit 798 O 1 * +bit 797 O 1 * +bit 796 O 1 * +bit 795 O 1 * +bit 794 O 1 * +bit 793 I 1 IO_M3 +bit 792 O 1 IO_M3 791 1 Z +bit 791 C 1 * +bit 790 I 1 IO_M4 +bit 789 O 1 IO_M4 788 1 Z +bit 788 C 1 * +bit 787 I 1 IO_M6 +bit 786 O 1 IO_M6 785 1 Z +bit 785 C 1 * +bit 784 I 1 IO_M7 +bit 783 O 1 IO_M7 782 1 Z +bit 782 C 1 * +bit 781 I 1 IO_M8 +bit 780 O 1 IO_M8 779 1 Z +bit 779 C 1 * +bit 778 I 1 IO_M9 +bit 777 O 1 IO_M9 776 1 Z +bit 776 C 1 * +bit 775 I 1 IO_L1 +bit 774 O 1 IO_L1 773 1 Z +bit 773 C 1 * +bit 772 I 1 IO_L2 +bit 771 O 1 IO_L2 770 1 Z +bit 770 C 1 * +bit 769 O 1 * +bit 768 O 1 * +bit 767 O 1 * +bit 766 O 1 * +bit 765 O 1 * +bit 764 O 1 * +bit 763 I 1 IO_L3 +bit 762 O 1 IO_L3 761 1 Z +bit 761 C 1 * +bit 760 I 1 IO_L4 +bit 759 O 1 IO_L4 758 1 Z +bit 758 C 1 * +bit 757 I 1 IO_L5 +bit 756 O 1 IO_L5 755 1 Z +bit 755 C 1 * +bit 754 I 1 IO_L6 +bit 753 O 1 IO_L6 752 1 Z +bit 752 C 1 * +bit 751 I 1 IO_L7 +bit 750 O 1 IO_L7 749 1 Z +bit 749 C 1 * +bit 748 I 1 IO_L8 +bit 747 O 1 IO_L8 746 1 Z +bit 746 C 1 * +bit 745 I 1 IO_L10 +bit 744 O 1 IO_L10 743 1 Z +bit 743 C 1 * +bit 742 I 1 IO_K10 +bit 741 O 1 IO_K10 740 1 Z +bit 740 C 1 * +bit 739 I 1 IO_K2 +bit 738 O 1 IO_K2 737 1 Z +bit 737 C 1 * +bit 736 I 1 IO_K3 +bit 735 O 1 IO_K3 734 1 Z +bit 734 C 1 * +bit 733 O 1 * +bit 732 O 1 * +bit 731 O 1 * +bit 730 O 1 * +bit 729 O 1 * +bit 728 O 1 * +bit 727 O 1 * +bit 726 O 1 * +bit 725 O 1 * +bit 724 O 1 * +bit 723 O 1 * +bit 722 O 1 * +bit 721 I 1 IO_K6 +bit 720 O 1 IO_K6 719 1 Z +bit 719 C 1 * +bit 718 I 1 IO_K7 +bit 717 O 1 IO_K7 716 1 Z +bit 716 C 1 * +bit 715 I 1 IO_K9 +bit 714 O 1 IO_K9 713 1 Z +bit 713 C 1 * +bit 712 I 1 IO_J8 +bit 711 O 1 IO_J8 710 1 Z +bit 710 C 1 * +bit 709 I 1 IO_J1 +bit 708 O 1 IO_J1 707 1 Z +bit 707 C 1 * +bit 706 I 1 IO_J2 +bit 705 O 1 IO_J2 704 1 Z +bit 704 C 1 * +bit 703 I 1 IO_J4 +bit 702 O 1 IO_J4 701 1 Z +bit 701 C 1 * +bit 700 I 1 IO_J5 +bit 699 O 1 IO_J5 698 1 Z +bit 698 C 1 * +bit 697 I 1 IO_H1 +bit 696 O 1 IO_H1 695 1 Z +bit 695 C 1 * +bit 694 I 1 IO_H2 +bit 693 O 1 IO_H2 692 1 Z +bit 692 C 1 * +bit 691 I 1 IO_J6 +bit 690 O 1 IO_J6 689 1 Z +bit 689 C 1 * +bit 688 O 1 * +bit 687 O 1 * +bit 686 O 1 * +bit 685 I 1 IO_H3 +bit 684 O 1 IO_H3 683 1 Z +bit 683 C 1 * +bit 682 I 1 IO_H4 +bit 681 O 1 IO_H4 680 1 Z +bit 680 C 1 * +bit 679 I 1 IO_H5 +bit 678 O 1 IO_H5 677 1 Z +bit 677 C 1 * +bit 676 I 1 IO_H6 +bit 675 O 1 IO_H6 674 1 Z +bit 674 C 1 * +bit 673 I 1 IO_H7 +bit 672 O 1 IO_H7 671 1 Z +bit 671 C 1 * +bit 670 I 1 IO_G6 +bit 669 O 1 IO_G6 668 1 Z +bit 668 C 1 * +bit 667 I 1 IO_G1 +bit 666 O 1 IO_G1 665 1 Z +bit 665 C 1 * +bit 664 I 1 IO_G2 +bit 663 O 1 IO_G2 662 1 Z +bit 662 C 1 * +bit 661 I 1 IO_G3 +bit 660 O 1 IO_G3 659 1 Z +bit 659 C 1 * +bit 658 I 1 IO_G4 +bit 657 O 1 IO_G4 656 1 Z +bit 656 C 1 * +bit 655 O 1 * +bit 654 O 1 * +bit 653 O 1 * +bit 652 O 1 * +bit 651 O 1 * +bit 650 O 1 * +bit 649 O 1 * +bit 648 O 1 * +bit 647 O 1 * +bit 646 O 1 * +bit 645 O 1 * +bit 644 O 1 * +bit 643 O 1 * +bit 642 O 1 * +bit 641 O 1 * +bit 640 O 1 * +bit 639 O 1 * +bit 638 O 1 * +bit 637 I 1 IO_F2 +bit 636 O 1 IO_F2 635 1 Z +bit 635 C 1 * +bit 634 I 1 IO_F3 +bit 633 O 1 IO_F3 632 1 Z +bit 632 C 1 * +bit 631 I 1 IO_F5 +bit 630 O 1 IO_F5 629 1 Z +bit 629 C 1 * +bit 628 I 1 IO_E4 +bit 627 O 1 IO_E4 626 1 Z +bit 626 C 1 * +bit 625 I 1 IO_E1 +bit 624 O 1 IO_E1 623 1 Z +bit 623 C 1 * +bit 622 I 1 IO_E2 +bit 621 O 1 IO_E2 620 1 Z +bit 620 C 1 * +bit 619 I 1 IO_D1 +bit 618 O 1 IO_D1 617 1 Z +bit 617 C 1 * +bit 616 I 1 IO_D2 +bit 615 O 1 IO_D2 614 1 Z +bit 614 C 1 * +bit 613 I 1 IO_D3 +bit 612 O 1 IO_D3 611 1 Z +bit 611 C 1 * +bit 610 I 1 IO_D4 +bit 609 O 1 IO_D4 608 1 Z +bit 608 C 1 * +bit 607 I 1 IO_C1 +bit 606 O 1 IO_C1 605 1 Z +bit 605 C 1 * +bit 604 I 1 IO_C2 +bit 603 O 1 IO_C2 602 1 Z +bit 602 C 1 * +bit 601 O 1 * +bit 600 I 1 HSWAP_EN_A3 +bit 599 I 1 IO_C4 +bit 598 O 1 IO_C4 597 1 Z +bit 597 C 1 * +bit 596 I 1 IO_B4 +bit 595 O 1 IO_B4 594 1 Z +bit 594 C 1 * +bit 593 I 1 IO_A4 +bit 592 O 1 IO_A4 591 1 Z +bit 591 C 1 * +bit 590 I 1 IO_B5 +bit 589 O 1 IO_B5 588 1 Z +bit 588 C 1 * +bit 587 I 1 IO_A5 +bit 586 O 1 IO_A5 585 1 Z +bit 585 C 1 * +bit 584 O 1 * +bit 583 O 1 * +bit 582 O 1 * +bit 581 I 1 IO_D5 +bit 580 O 1 IO_D5 579 1 Z +bit 579 C 1 * +bit 578 I 1 IO_E6 +bit 577 O 1 IO_E6 576 1 Z +bit 576 C 1 * +bit 575 I 1 IO_C6 +bit 574 O 1 IO_C6 573 1 Z +bit 573 C 1 * +bit 572 I 1 IO_B6 +bit 571 O 1 IO_B6 570 1 Z +bit 570 C 1 * +bit 569 O 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 I 1 IO_F6 +bit 565 O 1 IO_F6 564 1 Z +bit 564 C 1 * +bit 563 I 1 IO_F7 +bit 562 O 1 IO_F7 561 1 Z +bit 561 C 1 * +bit 560 I 1 IO_D7 +bit 559 O 1 IO_D7 558 1 Z +bit 558 C 1 * +bit 557 I 1 IO_C7 +bit 556 O 1 IO_C7 555 1 Z +bit 555 C 1 * +bit 554 O 1 * +bit 553 O 1 * +bit 552 O 1 * +bit 551 O 1 * +bit 550 O 1 * +bit 549 O 1 * +bit 548 O 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 O 1 * +bit 537 O 1 * +bit 536 I 1 IO_B7 +bit 535 O 1 IO_B7 534 1 Z +bit 534 C 1 * +bit 533 I 1 IO_A7 +bit 532 O 1 IO_A7 531 1 Z +bit 531 C 1 * +bit 530 I 1 IO_G7 +bit 529 O 1 IO_G7 528 1 Z +bit 528 C 1 * +bit 527 I 1 IO_H8 +bit 526 O 1 IO_H8 525 1 Z +bit 525 C 1 * +bit 524 I 1 IO_G8 +bit 523 O 1 IO_G8 522 1 Z +bit 522 C 1 * +bit 521 I 1 IO_F8 +bit 520 O 1 IO_F8 519 1 Z +bit 519 C 1 * +bit 518 I 1 IO_E8 +bit 517 O 1 IO_E8 516 1 Z +bit 516 C 1 * +bit 515 I 1 IO_D8 +bit 514 O 1 IO_D8 513 1 Z +bit 513 C 1 * +bit 512 I 1 IO_C8 +bit 511 O 1 IO_C8 510 1 Z +bit 510 C 1 * +bit 509 O 1 * +bit 508 O 1 * +bit 507 O 1 * +bit 506 I 1 IO_B8 +bit 505 O 1 IO_B8 504 1 Z +bit 504 C 1 * +bit 503 I 1 IO_A8 +bit 502 O 1 IO_A8 501 1 Z +bit 501 C 1 * +bit 500 I 1 IO_J9 +bit 499 O 1 IO_J9 498 1 Z +bit 498 C 1 * +bit 497 I 1 IO_H9 +bit 496 O 1 IO_H9 495 1 Z +bit 495 C 1 * +bit 494 I 1 IO_F9 +bit 493 O 1 IO_F9 492 1 Z +bit 492 C 1 * +bit 491 I 1 IO_E9 +bit 490 O 1 IO_E9 489 1 Z +bit 489 C 1 * +bit 488 I 1 IO_D9 +bit 487 O 1 IO_D9 486 1 Z +bit 486 C 1 * +bit 485 I 1 IO_B9 +bit 484 O 1 IO_B9 483 1 Z +bit 483 C 1 * +bit 482 I 1 IO_A9 +bit 481 O 1 IO_A9 480 1 Z +bit 480 C 1 * +bit 479 O 1 * +bit 478 O 1 * +bit 477 O 1 * +bit 476 O 1 * +bit 475 O 1 * +bit 474 O 1 * +bit 473 O 1 * +bit 472 O 1 * +bit 471 O 1 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 O 1 * +bit 465 O 1 * +bit 464 O 1 * +bit 463 O 1 * +bit 462 O 1 * +bit 461 I 1 IO_G10 +bit 460 O 1 IO_G10 459 1 Z +bit 459 C 1 * +bit 458 I 1 IO_F10 +bit 457 O 1 IO_F10 456 1 Z +bit 456 C 1 * +bit 455 I 1 IO_C10 +bit 454 O 1 IO_C10 453 1 Z +bit 453 C 1 * +bit 452 I 1 IO_B10 +bit 451 O 1 IO_B10 450 1 Z +bit 450 C 1 * +bit 449 O 1 * +bit 448 O 1 * +bit 447 O 1 * +bit 446 I 1 IO_J10 +bit 445 O 1 IO_J10 444 1 Z +bit 444 C 1 * +bit 443 I 1 IO_K11 +bit 442 O 1 IO_K11 441 1 Z +bit 441 C 1 * +bit 440 I 1 IO_H11 +bit 439 O 1 IO_H11 438 1 Z +bit 438 C 1 * +bit 437 I 1 IO_G11 +bit 436 O 1 IO_G11 435 1 Z +bit 435 C 1 * +bit 434 O 1 * +bit 433 O 1 * +bit 432 O 1 * +bit 431 I 1 IO_F11 +bit 430 O 1 IO_F11 429 1 Z +bit 429 C 1 * +bit 428 I 1 IO_E11 +bit 427 O 1 IO_E11 426 1 Z +bit 426 C 1 * +bit 425 I 1 IO_D11 +bit 424 O 1 IO_D11 423 1 Z +bit 423 C 1 * +bit 422 I 1 IO_C11 +bit 421 O 1 IO_C11 420 1 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 O 1 * +bit 417 O 1 * +bit 416 I 1 IO_B11 +bit 415 O 1 IO_B11 414 1 Z +bit 414 C 1 * +bit 413 I 1 IO_A11 +bit 412 O 1 IO_A11 411 1 Z +bit 411 C 1 * +bit 410 I 1 IO_K12 +bit 409 O 1 IO_K12 408 1 Z +bit 408 C 1 * +bit 407 I 1 IO_J12 +bit 406 O 1 IO_J12 405 1 Z +bit 405 C 1 * +bit 404 O 1 * +bit 403 O 1 * +bit 402 O 1 * +bit 401 I 1 IO_H12 +bit 400 O 1 IO_H12 399 1 Z +bit 399 C 1 * +bit 398 I 1 IO_G12 +bit 397 O 1 IO_G12 396 1 Z +bit 396 C 1 * +bit 395 I 1 IO_F12 +bit 394 O 1 IO_F12 393 1 Z +bit 393 C 1 * +bit 392 I 1 IO_E12 +bit 391 O 1 IO_E12 390 1 Z +bit 390 C 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 I 1 IO_D12 +bit 385 O 1 IO_D12 384 1 Z +bit 384 C 1 * +bit 383 I 1 IO_C12 +bit 382 O 1 IO_C12 381 1 Z +bit 381 C 1 * +bit 380 I 1 IO_B12 +bit 379 O 1 IO_B12 378 1 Z +bit 378 C 1 * +bit 377 I 1 IO_A12 +bit 376 O 1 IO_A12 375 1 Z +bit 375 C 1 * +bit 374 I 1 IO_K13 +bit 373 O 1 IO_K13 372 1 Z +bit 372 C 1 * +bit 371 I 1 IO_J13 +bit 370 O 1 IO_J13 369 1 Z +bit 369 C 1 * +bit 368 I 1 IO_H13 +bit 367 O 1 IO_H13 366 1 Z +bit 366 C 1 * +bit 365 I 1 IO_F13 +bit 364 O 1 IO_F13 363 1 Z +bit 363 C 1 * +bit 362 I 1 IO_E13 +bit 361 O 1 IO_E13 360 1 Z +bit 360 C 1 * +bit 359 I 1 IO_D13 +bit 358 O 1 IO_D13 357 1 Z +bit 357 C 1 * +bit 356 I 1 IO_B13 +bit 355 O 1 IO_B13 354 1 Z +bit 354 C 1 * +bit 353 I 1 IO_A13 +bit 352 O 1 IO_A13 351 1 Z +bit 351 C 1 * +bit 350 I 1 IO_K14 +bit 349 O 1 IO_K14 348 1 Z +bit 348 C 1 * +bit 347 I 1 IO_J14 +bit 346 O 1 IO_J14 345 1 Z +bit 345 C 1 * +bit 344 I 1 IO_K15 +bit 343 O 1 IO_K15 342 1 Z +bit 342 C 1 * +bit 341 I 1 IO_G14 +bit 340 O 1 IO_G14 339 1 Z +bit 339 C 1 * +bit 338 I 1 IO_F14 +bit 337 O 1 IO_F14 336 1 Z +bit 336 C 1 * +bit 335 I 1 IO_C14 +bit 334 O 1 IO_C14 333 1 Z +bit 333 C 1 * +bit 332 I 1 IO_B14 +bit 331 O 1 IO_B14 330 1 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 I 1 IO_J15 +bit 325 O 1 IO_J15 324 1 Z +bit 324 C 1 * +bit 323 I 1 IO_H15 +bit 322 O 1 IO_H15 321 1 Z +bit 321 C 1 * +bit 320 I 1 IO_G15 +bit 319 O 1 IO_G15 318 1 Z +bit 318 C 1 * +bit 317 I 1 IO_F15 +bit 316 O 1 IO_F15 315 1 Z +bit 315 C 1 * +bit 314 I 1 IO_E15 +bit 313 O 1 IO_E15 312 1 Z +bit 312 C 1 * +bit 311 I 1 IO_D15 +bit 310 O 1 IO_D15 309 1 Z +bit 309 C 1 * +bit 308 I 1 IO_C15 +bit 307 O 1 IO_C15 306 1 Z +bit 306 C 1 * +bit 305 I 1 IO_B15 +bit 304 O 1 IO_B15 303 1 Z +bit 303 C 1 * +bit 302 I 1 IO_A15 +bit 301 O 1 IO_A15 300 1 Z +bit 300 C 1 * +bit 299 I 1 IO_A16 +bit 298 O 1 IO_A16 297 1 Z +bit 297 C 1 * +bit 296 I 1 IO_B16 +bit 295 O 1 IO_B16 294 1 Z +bit 294 C 1 * +bit 293 I 1 IO_C16 +bit 292 O 1 IO_C16 291 1 Z +bit 291 C 1 * +bit 290 I 1 IO_D16 +bit 289 O 1 IO_D16 288 1 Z +bit 288 C 1 * +bit 287 I 1 IO_E16 +bit 286 O 1 IO_E16 285 1 Z +bit 285 C 1 * +bit 284 I 1 IO_F16 +bit 283 O 1 IO_F16 282 1 Z +bit 282 C 1 * +bit 281 I 1 IO_G16 +bit 280 O 1 IO_G16 279 1 Z +bit 279 C 1 * +bit 278 I 1 IO_H16 +bit 277 O 1 IO_H16 276 1 Z +bit 276 C 1 * +bit 275 I 1 IO_J16 +bit 274 O 1 IO_J16 273 1 Z +bit 273 C 1 * +bit 272 I 1 IO_K16 +bit 271 O 1 IO_K16 270 1 Z +bit 270 C 1 * +bit 269 I 1 IO_J17 +bit 268 O 1 IO_J17 267 1 Z +bit 267 C 1 * +bit 266 I 1 IO_B17 +bit 265 O 1 IO_B17 264 1 Z +bit 264 C 1 * +bit 263 I 1 IO_C17 +bit 262 O 1 IO_C17 261 1 Z +bit 261 C 1 * +bit 260 I 1 IO_F17 +bit 259 O 1 IO_F17 258 1 Z +bit 258 C 1 * +bit 257 I 1 IO_G17 +bit 256 O 1 IO_G17 255 1 Z +bit 255 C 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 O 1 * +bit 251 I 1 IO_K17 +bit 250 O 1 IO_K17 249 1 Z +bit 249 C 1 * +bit 248 I 1 IO_K18 +bit 247 O 1 IO_K18 246 1 Z +bit 246 C 1 * +bit 245 I 1 IO_A18 +bit 244 O 1 IO_A18 243 1 Z +bit 243 C 1 * +bit 242 I 1 IO_B18 +bit 241 O 1 IO_B18 240 1 Z +bit 240 C 1 * +bit 239 O 1 * +bit 238 O 1 * +bit 237 O 1 * +bit 236 I 1 IO_D18 +bit 235 O 1 IO_D18 234 1 Z +bit 234 C 1 * +bit 233 I 1 IO_E18 +bit 232 O 1 IO_E18 231 1 Z +bit 231 C 1 * +bit 230 I 1 IO_H18 +bit 229 O 1 IO_H18 228 1 Z +bit 228 C 1 * +bit 227 I 1 IO_J18 +bit 226 O 1 IO_J18 225 1 Z +bit 225 C 1 * +bit 224 I 1 IO_F18 +bit 223 O 1 IO_F18 222 1 Z +bit 222 C 1 * +bit 221 I 1 IO_A19 +bit 220 O 1 IO_A19 219 1 Z +bit 219 C 1 * +bit 218 I 1 IO_B19 +bit 217 O 1 IO_B19 216 1 Z +bit 216 C 1 * +bit 215 I 1 IO_C19 +bit 214 O 1 IO_C19 213 1 Z +bit 213 C 1 * +bit 212 I 1 IO_D19 +bit 211 O 1 IO_D19 210 1 Z +bit 210 C 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 I 1 IO_E19 +bit 205 O 1 IO_E19 204 1 Z +bit 204 C 1 * +bit 203 I 1 IO_F19 +bit 202 O 1 IO_F19 201 1 Z +bit 201 C 1 * +bit 200 I 1 IO_G19 +bit 199 O 1 IO_G19 198 1 Z +bit 198 C 1 * +bit 197 I 1 IO_H19 +bit 196 O 1 IO_H19 195 1 Z +bit 195 C 1 * +bit 194 O 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 I 1 IO_J19 +bit 190 O 1 IO_J19 189 1 Z +bit 189 C 1 * +bit 188 I 1 IO_K19 +bit 187 O 1 IO_K19 186 1 Z +bit 186 C 1 * +bit 185 I 1 IO_A20 +bit 184 O 1 IO_A20 183 1 Z +bit 183 C 1 * +bit 182 I 1 IO_B20 +bit 181 O 1 IO_B20 180 1 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 IO_C20 +bit 175 O 1 IO_C20 174 1 Z +bit 174 C 1 * +bit 173 I 1 IO_D20 +bit 172 O 1 IO_D20 171 1 Z +bit 171 C 1 * +bit 170 I 1 IO_E20 +bit 169 O 1 IO_E20 168 1 Z +bit 168 C 1 * +bit 167 I 1 IO_F20 +bit 166 O 1 IO_F20 165 1 Z +bit 165 C 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 I 1 IO_G20 +bit 160 O 1 IO_G20 159 1 Z +bit 159 C 1 * +bit 158 I 1 IO_H20 +bit 157 O 1 IO_H20 156 1 Z +bit 156 C 1 * +bit 155 I 1 IO_B21 +bit 154 O 1 IO_B21 153 1 Z +bit 153 C 1 * +bit 152 I 1 IO_C21 +bit 151 O 1 IO_C21 150 1 Z +bit 150 C 1 * +bit 149 I 1 IO_K20 +bit 148 O 1 IO_K20 147 1 Z +bit 147 C 1 * +bit 146 I 1 IO_F21 +bit 145 O 1 IO_F21 144 1 Z +bit 144 C 1 * +bit 143 I 1 IO_G21 +bit 142 O 1 IO_G21 141 1 Z +bit 141 C 1 * +bit 140 I 1 IO_A22 +bit 139 O 1 IO_A22 138 1 Z +bit 138 C 1 * +bit 137 I 1 IO_B22 +bit 136 O 1 IO_B22 135 1 Z +bit 135 C 1 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 O 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 I 1 IO_J21 +bit 118 O 1 IO_J21 117 1 Z +bit 117 C 1 * +bit 116 I 1 IO_D22 +bit 115 O 1 IO_D22 114 1 Z +bit 114 C 1 * +bit 113 I 1 IO_E22 +bit 112 O 1 IO_E22 111 1 Z +bit 111 C 1 * +bit 110 I 1 IO_F22 +bit 109 O 1 IO_F22 108 1 Z +bit 108 C 1 * +bit 107 I 1 IO_E23 +bit 106 O 1 IO_E23 105 1 Z +bit 105 C 1 * +bit 104 O 1 * +bit 103 O 1 * +bit 102 O 1 * +bit 101 I 1 IO_H22 +bit 100 O 1 IO_H22 99 1 Z +bit 99 C 1 * +bit 98 I 1 IO_J22 +bit 97 O 1 IO_J22 96 1 Z +bit 96 C 1 * +bit 95 I 1 IO_A23 +bit 94 O 1 IO_A23 93 1 Z +bit 93 C 1 * +bit 92 I 1 IO_B23 +bit 91 O 1 IO_B23 90 1 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 IO_C23 +bit 85 O 1 IO_C23 84 1 Z +bit 84 C 1 * +bit 83 I 1 IO_D23 +bit 82 O 1 IO_D23 81 1 Z +bit 81 C 1 * +bit 80 I 1 IO_F23 +bit 79 O 1 IO_F23 78 1 Z +bit 78 C 1 * +bit 77 I 1 IO_G23 +bit 76 O 1 IO_G23 75 1 Z +bit 75 C 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 I 1 IO_H23 +bit 70 O 1 IO_H23 69 1 Z +bit 69 C 1 * +bit 68 I 1 IO_G24 +bit 67 O 1 IO_G24 66 1 Z +bit 66 C 1 * +bit 65 I 1 IO_A24 +bit 64 O 1 IO_A24 63 1 Z +bit 63 C 1 * +bit 62 I 1 IO_B24 +bit 61 O 1 IO_B24 60 1 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 IO_C24 +bit 40 O 1 IO_C24 39 1 Z +bit 39 C 1 * +bit 38 I 1 IO_D24 +bit 37 O 1 IO_D24 36 1 Z +bit 36 C 1 * +bit 35 I 1 IO_F24 +bit 34 O 1 IO_F24 33 1 Z +bit 33 C 1 * +bit 32 I 1 IO_F25 +bit 31 O 1 IO_F25 30 1 Z +bit 30 C 1 * +bit 29 I 1 IO_E25 +bit 28 O 1 IO_E25 27 1 Z +bit 27 C 1 * +bit 26 I 1 IO_B25 +bit 25 O 1 IO_B25 24 1 Z +bit 24 C 1 * +bit 23 I 1 IO_C25 +bit 22 O 1 IO_C25 21 1 Z +bit 21 C 1 * +bit 20 I 1 IO_A26 +bit 19 O 1 IO_A26 18 1 Z +bit 18 C 1 * +bit 17 I 1 IO_B26 +bit 16 O 1 IO_B26 15 1 Z +bit 15 C 1 * +bit 14 O 1 * +bit 13 O 1 * +bit 12 O 1 * +bit 11 I 1 IO_D26 +bit 10 O 1 IO_D26 9 1 Z +bit 9 C 1 * +bit 8 I 1 IO_C27 +bit 7 O 1 IO_C27 6 1 Z +bit 6 C 1 * +bit 5 I 1 IO_A27 +bit 4 O 1 IO_A27 3 1 Z +bit 3 C 1 * +bit 2 I 1 IO_B27 +bit 1 O 1 IO_B27 0 1 Z +bit 0 C 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/STEPPINGS new file mode 100644 index 0000000..8802994 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/STEPPINGS @@ -0,0 +1 @@ +0000 xc3s500e_fg320 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_fg320 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_fg320 new file mode 100644 index 0000000..96fb83d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_fg320 @@ -0,0 +1,900 @@ +signal TCK +signal TDI +signal TDO +signal TMS +signal PAD100 +signal PAD101 +signal PAD102 +signal PAD60 +signal PAD61 +signal PAD104 +signal IPAD93 +signal PAD62 +signal PAD105 +signal PAD63 +signal PAD106 +signal PAD107 +signal IPAD204 +signal PAD65 +signal PAD109 +signal IPAD98 +signal PAD66 +signal PAD67 +signal PAD69 +signal IPAD209 +signal IPAD137 +signal IPAD138 +signal PAD181 +signal PAD182 +signal PAD183 +signal IPAD21 +signal PAD185 +signal IPAD22 +signal PAD186 +signal PAD187 +signal PAD188 +signal IPAD28 +signal IPAD29 +signal PAD110 +signal PAD111 +signal PAD70 +signal PAD113 +signal PAD71 +signal PAD114 +signal PAD72 +signal PAD115 +signal PAD116 +signal PAD117 +signal PAD74 +signal IPAD214 +signal PAD118 +signal PAD75 +signal PAD76 +signal PAD77 +signal IPAD144 +signal IPAD145 +signal PAD79 +signal IPAD219 +signal PAD190 +signal PAD191 +signal PAD192 +signal PAD193 +signal PAD195 +signal PAD196 +signal PAD197 +signal PAD198 +signal IPAD35 +signal IPAD36 +signal PAD120 +signal DONE +signal PAD121 +signal PAD122 +signal PAD80 +signal PAD123 +signal PAD81 +signal PAD124 +signal PAD82 +signal PAD127 +signal PAD84 +signal IPAD151 +signal PAD128 +signal PAD85 +signal IPAD224 +signal IPAD152 +signal PAD86 +signal PAD87 +signal IPAD228 +signal PAD89 +signal IPAD3 +signal IPAD158 +signal IPAD159 +signal IPAD9 +signal PAD11 +signal IPAD42 +signal PAD12 +signal IPAD43 +signal PAD14 +signal IPAD46 +signal PAD15 +signal PAD16 +signal PAD17 +signal PAD18 +signal PAD19 +signal PAD200 +signal PAD201 +signal PAD202 +signal PAD203 +signal PAD130 +signal PAD131 +signal PAD205 +signal PAD132 +signal PAD90 +signal PAD206 +signal PAD133 +signal PAD91 +signal PAD207 +signal PAD134 +signal PAD208 +signal PAD92 +signal PAD135 +signal PAD136 +signal PAD94 +signal PAD95 +signal IPAD162 +signal PAD139 +signal PAD96 +signal PAD97 +signal PAD99 +signal PAD20 +signal IPAD54 +signal PAD23 +signal IPAD55 +signal PAD24 +signal PAD25 +signal PAD26 +signal IPAD58 +signal PAD27 +signal IPAD59 +signal PAD210 +signal PAD211 +signal PAD212 +signal PAD140 +signal PAD213 +signal PAD141 +signal PAD142 +signal PAD215 +signal PAD143 +signal PAD216 +signal PAD217 +signal PAD218 +signal PAD146 +signal PAD147 +signal IPAD170 +signal PAD148 +signal IPAD171 +signal PAD149 +signal IPAD174 +signal IPAD175 +signal PAD30 +signal PAD31 +signal PAD32 +signal IPAD64 +signal PAD33 +signal PAD34 +signal PAD1 +signal PAD2 +signal IPAD68 +signal PAD37 +signal PAD4 +signal IPAD103 +signal PAD5 +signal PAD38 +signal PAD6 +signal PAD39 +signal PAD220 +signal PAD7 +signal PAD221 +signal PAD8 +signal PAD222 +signal IPAD108 +signal PAD150 +signal PAD223 +signal PAD225 +signal PAD153 +signal PAD226 +signal PAD154 +signal PAD227 +signal PAD155 +signal PAD156 +signal PAD229 +signal PAD157 +signal IPAD180 +signal IPAD184 +signal IPAD189 +signal PAD40 +signal PAD41 +signal IPAD73 +signal PAD44 +signal PAD45 +signal IPAD112 +signal IPAD78 +signal PAD47 +signal PAD48 +signal PAD49 +signal PAD230 +signal PAD231 +signal PAD232 +signal IPAD119 +signal PAD160 +signal PAD161 +signal PAD163 +signal PAD164 +signal PAD165 +signal PAD166 +signal PAD167 +signal PAD168 +signal PAD169 +signal PROG_B +signal IPAD194 +signal IPAD199 +signal PAD50 +signal PAD51 +signal IPAD83 +signal PAD52 +signal PAD53 +signal IPAD88 +signal PAD56 +signal PAD57 +signal IPAD125 +signal IPAD126 +signal IPAD129 +signal PAD172 +signal IPAD10 +signal PAD173 +signal PAD176 +signal IPAD13 +signal PAD177 +signal PAD178 +signal PAD179 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal VDD13 +signal VDD14 +signal VDD15 +signal VDD16 +signal VDD17 +signal VDD18 +signal VDD19 +signal VDD20 +signal VDD21 +signal VDD22 +signal VDD23 +signal VDD24 +signal VDD25 +signal VDD26 +signal VDD27 +signal Vaux0 +signal Vaux1 +signal Vaux2 +signal Vaux3 +signal Vaux4 +signal Vaux5 +signal Vaux6 + +register BSR 588 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction SAMPLE/PRELOAD 100000 BSR +instruction IDCODE 100100 DIR +instruction EXTEST 111100 BSR +instruction BYPASS 111111 BR + +bit 587 I 1 IPAD59 +bit 586 I 1 PAD60 +bit 585 O 1 PAD60 584 0 Z +bit 584 C 0 * +bit 583 I 1 PAD61 +bit 582 O 1 PAD61 581 0 Z +bit 581 C 0 * +bit 580 I 1 PAD62 +bit 579 O 1 PAD62 578 0 Z +bit 578 C 0 * +bit 577 I 1 PAD63 +bit 576 O 1 PAD63 575 0 Z +bit 575 C 0 * +bit 574 I 1 IPAD64 +bit 573 I 1 PAD65 +bit 572 O 1 PAD65 571 0 Z +bit 571 C 0 * +bit 570 I 1 PAD66 +bit 569 O 1 PAD66 568 0 Z +bit 568 C 0 * +bit 567 I 1 PAD67 +bit 566 O 1 PAD67 565 0 Z +bit 565 C 0 * +bit 564 I 1 IPAD68 +bit 563 I 1 PAD69 +bit 562 O 1 PAD69 561 0 Z +bit 561 C 0 * +bit 560 I 1 PAD70 +bit 559 O 1 PAD70 558 0 Z +bit 558 C 0 * +bit 557 I 1 PAD71 +bit 556 O 1 PAD71 555 0 Z +bit 555 C 0 * +bit 554 I 1 PAD72 +bit 553 O 1 PAD72 552 0 Z +bit 552 C 0 * +bit 551 I 1 IPAD73 +bit 550 I 1 PAD74 +bit 549 O 1 PAD74 548 0 Z +bit 548 C 0 * +bit 547 I 1 PAD75 +bit 546 O 1 PAD75 545 0 Z +bit 545 C 0 * +bit 544 I 1 PAD76 +bit 543 O 1 PAD76 542 0 Z +bit 542 C 0 * +bit 541 I 1 PAD77 +bit 540 O 1 PAD77 539 0 Z +bit 539 C 0 * +bit 538 I 1 IPAD78 +bit 537 I 1 PAD79 +bit 536 O 1 PAD79 535 0 Z +bit 535 C 0 * +bit 534 I 1 PAD80 +bit 533 O 1 PAD80 532 0 Z +bit 532 C 0 * +bit 531 I 1 PAD81 +bit 530 O 1 PAD81 529 0 Z +bit 529 C 0 * +bit 528 I 1 PAD82 +bit 527 O 1 PAD82 526 0 Z +bit 526 C 0 * +bit 525 I 1 IPAD83 +bit 524 I 1 PAD84 +bit 523 O 1 PAD84 522 0 Z +bit 522 C 0 * +bit 521 I 1 PAD85 +bit 520 O 1 PAD85 519 0 Z +bit 519 C 0 * +bit 518 I 1 PAD86 +bit 517 O 1 PAD86 516 0 Z +bit 516 C 0 * +bit 515 I 1 PAD87 +bit 514 O 1 PAD87 513 0 Z +bit 513 C 0 * +bit 512 I 1 IPAD88 +bit 511 I 1 PAD89 +bit 510 O 1 PAD89 509 0 Z +bit 509 C 0 * +bit 508 I 1 PAD90 +bit 507 O 1 PAD90 506 0 Z +bit 506 C 0 * +bit 505 I 1 PAD91 +bit 504 O 1 PAD91 503 0 Z +bit 503 C 0 * +bit 502 I 1 PAD92 +bit 501 O 1 PAD92 500 0 Z +bit 500 C 0 * +bit 499 I 1 IPAD93 +bit 498 I 1 PAD94 +bit 497 O 1 PAD94 496 0 Z +bit 496 C 0 * +bit 495 I 1 PAD95 +bit 494 O 1 PAD95 493 0 Z +bit 493 C 0 * +bit 492 I 1 PAD96 +bit 491 O 1 PAD96 490 0 Z +bit 490 C 0 * +bit 489 I 1 PAD97 +bit 488 O 1 PAD97 487 0 Z +bit 487 C 0 * +bit 486 I 1 IPAD98 +bit 485 I 1 PAD99 +bit 484 O 1 PAD99 483 0 Z +bit 483 C 0 * +bit 482 I 1 PAD100 +bit 481 O 1 PAD100 480 0 Z +bit 480 C 0 * +bit 479 I 1 PAD101 +bit 478 O 1 PAD101 477 0 Z +bit 477 C 0 * +bit 476 I 1 PAD102 +bit 475 O 1 PAD102 474 0 Z +bit 474 C 0 * +bit 473 I 1 IPAD103 +bit 472 I 1 PAD104 +bit 471 O 1 PAD104 470 0 Z +bit 470 C 0 * +bit 469 I 1 PAD105 +bit 468 O 1 PAD105 467 0 Z +bit 467 C 0 * +bit 466 I 1 PAD106 +bit 465 O 1 PAD106 464 0 Z +bit 464 C 0 * +bit 463 I 1 PAD107 +bit 462 O 1 PAD107 461 0 Z +bit 461 C 0 * +bit 460 I 1 IPAD108 +bit 459 I 1 PAD109 +bit 458 O 1 * +bit 457 O 1 * +bit 456 I 1 PAD110 +bit 455 O 1 * +bit 454 O 1 * +bit 453 I 1 PAD111 +bit 452 O 1 * +bit 451 O 1 * +bit 450 I 1 IPAD112 +bit 449 I 1 PAD113 +bit 448 O 1 * +bit 447 O 1 * +bit 446 I 1 PAD114 +bit 445 O 1 * +bit 444 O 1 * +bit 443 I 1 PAD115 +bit 442 O 1 * +bit 441 O 1 * +bit 440 I 1 PAD116 +bit 439 O 1 * +bit 438 O 1 * +bit 437 I 1 DONE +bit 436 O 1 PAD109 435 0 Z +bit 435 C 0 * +bit 434 I 1 PAD117 +bit 433 O 1 PAD110 432 0 Z +bit 432 C 0 * +bit 431 I 1 PAD118 +bit 430 O 1 * +bit 429 O 1 * +bit 428 I 1 IPAD119 +bit 427 I 1 PAD120 +bit 426 O 1 * +bit 425 O 1 * +bit 424 I 1 PAD121 +bit 423 O 1 * +bit 422 O 1 * +bit 421 I 1 PAD122 +bit 420 O 1 * +bit 419 O 1 * +bit 418 I 1 PAD123 +bit 417 O 1 * +bit 416 O 1 * +bit 415 I 1 PAD124 +bit 414 O 1 * +bit 413 O 1 * +bit 412 I 1 IPAD125 +bit 411 I 1 IPAD126 +bit 410 I 1 PAD127 +bit 409 O 1 * +bit 408 O 1 * +bit 407 I 1 PAD128 +bit 406 O 1 * +bit 405 O 1 * +bit 404 I 1 IPAD129 +bit 403 I 1 PAD130 +bit 402 O 1 * +bit 401 O 1 * +bit 400 I 1 PAD131 +bit 399 O 1 * +bit 398 O 1 * +bit 397 I 1 PAD132 +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 PAD133 +bit 393 O 1 * +bit 392 O 1 * +bit 391 I 1 PAD134 +bit 390 O 1 * +bit 389 O 1 * +bit 388 I 1 PAD135 +bit 387 O 1 * +bit 386 O 1 * +bit 385 I 1 PAD136 +bit 384 O 1 * +bit 383 O 1 * +bit 382 I 1 IPAD137 +bit 381 I 1 IPAD138 +bit 380 I 1 PAD139 +bit 379 O 1 * +bit 378 O 1 * +bit 377 I 1 PAD140 +bit 376 O 1 * +bit 375 O 1 * +bit 374 I 1 PAD141 +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 PAD142 +bit 370 O 1 PAD113 369 0 Z +bit 369 C 0 * +bit 368 I 1 PAD143 +bit 367 O 1 IPAD112 366 0 Z +bit 366 C 0 * +bit 365 I 1 IPAD144 +bit 364 I 1 IPAD145 +bit 363 I 1 PAD146 +bit 362 O 1 * +bit 361 O 1 * +bit 360 I 1 PAD147 +bit 359 O 1 * +bit 358 O 1 * +bit 357 I 1 PAD148 +bit 356 O 1 * +bit 355 O 1 * +bit 354 I 1 PAD149 +bit 353 O 1 * +bit 352 O 1 * +bit 351 I 1 PAD150 +bit 350 O 1 * +bit 349 O 1 * +bit 348 I 1 IPAD151 +bit 347 I 1 IPAD152 +bit 346 I 1 PAD153 +bit 345 O 1 * +bit 344 O 1 * +bit 343 I 1 PAD154 +bit 342 O 1 * +bit 341 O 1 * +bit 340 I 1 PAD155 +bit 339 O 1 * +bit 338 O 1 * +bit 337 I 1 PAD156 +bit 336 O 1 * +bit 335 O 1 * +bit 334 I 1 PAD157 +bit 333 O 1 * +bit 332 O 1 * +bit 331 I 1 IPAD158 +bit 330 I 1 IPAD159 +bit 329 I 1 PAD160 +bit 328 O 1 * +bit 327 O 1 * +bit 326 I 1 PAD161 +bit 325 O 1 * +bit 324 O 1 * +bit 323 I 1 IPAD162 +bit 322 I 1 PAD163 +bit 321 O 1 * +bit 320 O 1 * +bit 319 I 1 PAD164 +bit 318 O 1 * +bit 317 O 1 * +bit 316 I 1 PAD165 +bit 315 O 1 * +bit 314 O 1 * +bit 313 I 1 PAD166 +bit 312 O 1 * +bit 311 O 1 * +bit 310 I 1 PAD167 +bit 309 O 1 * +bit 308 O 1 * +bit 307 I 1 PAD168 +bit 306 O 1 * +bit 305 O 1 * +bit 304 I 1 PAD169 +bit 303 O 1 * +bit 302 O 1 * +bit 301 I 1 IPAD170 +bit 300 I 1 IPAD171 +bit 299 I 1 PAD172 +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 PAD173 +bit 295 O 1 * +bit 294 O 1 * +bit 293 I 1 IPAD174 +bit 292 I 1 IPAD175 +bit 291 I 1 PAD176 +bit 290 O 1 * +bit 289 O 1 * +bit 288 I 1 PAD177 +bit 287 O 1 * +bit 286 O 1 * +bit 285 I 1 PAD178 +bit 284 O 1 * +bit 283 O 1 * +bit 282 I 1 PAD179 +bit 281 O 1 * +bit 280 O 1 * +bit 279 I 1 IPAD180 +bit 278 I 1 PAD181 +bit 277 O 1 * +bit 276 O 1 * +bit 275 I 1 PAD182 +bit 274 O 1 * +bit 273 O 1 * +bit 272 I 1 PAD183 +bit 271 O 1 * +bit 270 O 1 * +bit 269 I 1 IPAD184 +bit 268 I 1 PAD185 +bit 267 O 1 * +bit 266 O 1 * +bit 265 I 1 PAD186 +bit 264 O 1 * +bit 263 O 1 * +bit 262 I 1 PAD187 +bit 261 O 1 * +bit 260 O 1 * +bit 259 I 1 PAD188 +bit 258 O 1 * +bit 257 O 1 * +bit 256 I 1 IPAD189 +bit 255 I 1 PAD190 +bit 254 O 1 * +bit 253 O 1 * +bit 252 I 1 PAD191 +bit 251 O 1 * +bit 250 O 1 * +bit 249 I 1 PAD192 +bit 248 O 1 * +bit 247 O 1 * +bit 246 I 1 PAD193 +bit 245 O 1 * +bit 244 O 1 * +bit 243 I 1 IPAD194 +bit 242 I 1 PAD195 +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 PAD196 +bit 238 O 1 * +bit 237 O 1 * +bit 236 I 1 PAD197 +bit 235 O 1 * +bit 234 O 1 * +bit 233 I 1 PAD198 +bit 232 O 1 * +bit 231 O 1 * +bit 230 I 1 IPAD199 +bit 229 I 1 PAD200 +bit 228 O 1 * +bit 227 O 1 * +bit 226 I 1 PAD201 +bit 225 O 1 * +bit 224 O 1 * +bit 223 I 1 PAD202 +bit 222 O 1 * +bit 221 O 1 * +bit 220 I 1 PAD203 +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IPAD204 +bit 216 I 1 PAD205 +bit 215 O 1 * +bit 214 O 1 * +bit 213 I 1 PAD206 +bit 212 O 1 * +bit 211 O 1 * +bit 210 I 1 PAD207 +bit 209 O 1 * +bit 208 O 1 * +bit 207 I 1 PAD208 +bit 206 O 1 * +bit 205 O 1 * +bit 204 I 1 IPAD209 +bit 203 I 1 PAD210 +bit 202 O 1 * +bit 201 O 1 * +bit 200 I 1 PAD211 +bit 199 O 1 * +bit 198 O 1 * +bit 197 I 1 PAD212 +bit 196 O 1 * +bit 195 O 1 * +bit 194 I 1 PAD213 +bit 193 O 1 * +bit 192 O 1 * +bit 191 I 1 IPAD214 +bit 190 I 1 PAD215 +bit 189 O 1 * +bit 188 O 1 * +bit 187 I 1 PAD216 +bit 186 O 1 * +bit 185 O 1 * +bit 184 I 1 PAD217 +bit 183 O 1 * +bit 182 O 1 * +bit 181 I 1 PAD218 +bit 180 O 1 * +bit 179 O 1 * +bit 178 I 1 IPAD219 +bit 177 I 1 PAD220 +bit 176 O 1 * +bit 175 O 1 * +bit 174 I 1 PAD221 +bit 173 O 1 * +bit 172 O 1 * +bit 171 I 1 PAD222 +bit 170 O 1 * +bit 169 O 1 * +bit 168 I 1 PAD223 +bit 167 O 1 * +bit 166 O 1 * +bit 165 I 1 IPAD224 +bit 164 I 1 PAD225 +bit 163 O 1 * +bit 162 O 1 * +bit 161 I 1 PAD226 +bit 160 O 1 * +bit 159 O 1 * +bit 158 I 1 PAD227 +bit 157 O 1 * +bit 156 O 1 * +bit 155 I 1 IPAD228 +bit 154 I 1 PAD229 +bit 153 O 1 * +bit 152 O 1 * +bit 151 I 1 PAD230 +bit 150 O 1 * +bit 149 O 1 * +bit 148 I 1 PAD231 +bit 147 O 1 * +bit 146 O 1 * +bit 145 I 1 PAD232 +bit 144 O 1 * +bit 143 O 1 * +bit 142 I 1 PROG_B +bit 141 I 1 PAD1 +bit 140 O 1 PAD1 139 0 Z +bit 139 C 0 * +bit 138 I 1 PAD2 +bit 137 O 1 PAD2 136 0 Z +bit 136 C 0 * +bit 135 I 1 IPAD3 +bit 134 I 1 PAD4 +bit 133 O 1 PAD4 132 0 Z +bit 132 C 0 * +bit 131 I 1 PAD5 +bit 130 O 1 PAD5 129 0 Z +bit 129 C 0 * +bit 128 I 1 PAD6 +bit 127 O 1 PAD6 126 0 Z +bit 126 C 0 * +bit 125 I 1 PAD7 +bit 124 O 1 PAD7 123 0 Z +bit 123 C 0 * +bit 122 I 1 PAD8 +bit 121 O 1 PAD8 120 0 Z +bit 120 C 0 * +bit 119 I 1 IPAD9 +bit 118 I 1 IPAD10 +bit 117 I 1 PAD11 +bit 116 O 1 PAD11 115 0 Z +bit 115 C 0 * +bit 114 I 1 PAD12 +bit 113 O 1 PAD12 112 0 Z +bit 112 C 0 * +bit 111 I 1 IPAD13 +bit 110 I 1 PAD14 +bit 109 O 1 PAD14 108 0 Z +bit 108 C 0 * +bit 107 I 1 PAD15 +bit 106 O 1 PAD15 105 0 Z +bit 105 C 0 * +bit 104 I 1 PAD16 +bit 103 O 1 PAD16 102 0 Z +bit 102 C 0 * +bit 101 I 1 PAD17 +bit 100 O 1 PAD17 99 0 Z +bit 99 C 0 * +bit 98 I 1 PAD18 +bit 97 O 1 PAD18 96 0 Z +bit 96 C 0 * +bit 95 I 1 PAD19 +bit 94 O 1 PAD19 93 0 Z +bit 93 C 0 * +bit 92 I 1 PAD20 +bit 91 O 1 PAD20 90 0 Z +bit 90 C 0 * +bit 89 I 1 IPAD21 +bit 88 I 1 IPAD22 +bit 87 I 1 PAD23 +bit 86 O 1 PAD23 85 0 Z +bit 85 C 0 * +bit 84 I 1 PAD24 +bit 83 O 1 PAD24 82 0 Z +bit 82 C 0 * +bit 81 I 1 PAD25 +bit 80 O 1 PAD25 79 0 Z +bit 79 C 0 * +bit 78 I 1 PAD26 +bit 77 O 1 PAD26 76 0 Z +bit 76 C 0 * +bit 75 I 1 PAD27 +bit 74 O 1 PAD27 73 0 Z +bit 73 C 0 * +bit 72 I 1 IPAD28 +bit 71 I 1 IPAD29 +bit 70 I 1 PAD30 +bit 69 O 1 PAD30 68 0 Z +bit 68 C 0 * +bit 67 I 1 PAD31 +bit 66 O 1 PAD31 65 0 Z +bit 65 C 0 * +bit 64 I 1 PAD32 +bit 63 O 1 PAD32 62 0 Z +bit 62 C 0 * +bit 61 I 1 PAD33 +bit 60 O 1 PAD33 59 0 Z +bit 59 C 0 * +bit 58 I 1 PAD34 +bit 57 O 1 PAD34 56 0 Z +bit 56 C 0 * +bit 55 I 1 IPAD35 +bit 54 I 1 IPAD36 +bit 53 I 1 PAD37 +bit 52 O 1 PAD37 51 0 Z +bit 51 C 0 * +bit 50 I 1 PAD38 +bit 49 O 1 PAD38 48 0 Z +bit 48 C 0 * +bit 47 I 1 PAD39 +bit 46 O 1 PAD39 45 0 Z +bit 45 C 0 * +bit 44 I 1 PAD40 +bit 43 O 1 PAD40 42 0 Z +bit 42 C 0 * +bit 41 I 1 PAD41 +bit 40 O 1 PAD41 39 0 Z +bit 39 C 0 * +bit 38 I 1 IPAD42 +bit 37 I 1 IPAD43 +bit 36 I 1 PAD44 +bit 35 O 1 PAD44 34 0 Z +bit 34 C 0 * +bit 33 I 1 PAD45 +bit 32 O 1 PAD45 31 0 Z +bit 31 C 0 * +bit 30 I 1 IPAD46 +bit 29 I 1 PAD47 +bit 28 O 1 PAD47 27 0 Z +bit 27 C 0 * +bit 26 I 1 PAD48 +bit 25 O 1 PAD48 24 0 Z +bit 24 C 0 * +bit 23 I 1 PAD49 +bit 22 O 1 PAD49 21 0 Z +bit 21 C 0 * +bit 20 I 1 PAD50 +bit 19 O 1 PAD50 18 0 Z +bit 18 C 0 * +bit 17 I 1 PAD51 +bit 16 O 1 PAD51 15 0 Z +bit 15 C 0 * +bit 14 I 1 PAD52 +bit 13 O 1 PAD52 12 0 Z +bit 12 C 0 * +bit 11 I 1 PAD53 +bit 10 O 1 PAD53 9 0 Z +bit 9 C 0 * +bit 8 I 1 IPAD54 +bit 7 I 1 IPAD55 +bit 6 I 1 PAD56 +bit 5 O 1 PAD56 4 0 Z +bit 4 C 0 * +bit 3 I 1 PAD57 +bit 2 O 1 PAD57 1 0 Z +bit 1 C 0 * +bit 0 I 1 IPAD58 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_ft256 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_ft256 new file mode 100644 index 0000000..c7b912a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_ft256 @@ -0,0 +1,853 @@ +signal TCK +signal TDI +signal TDO +signal TMS +signal PAD101 +signal PAD102 +signal PAD60 +signal PAD61 +signal PAD104 +signal IPAD93 +signal PAD62 +signal PAD105 +signal PAD63 +signal PAD106 +signal PAD107 +signal IPAD204 +signal PAD109 +signal IPAD98 +signal PAD66 +signal PAD67 +signal IPAD209 +signal PAD181 +signal PAD185 +signal PAD186 +signal PAD187 +signal PAD188 +signal IPAD28 +signal IPAD29 +signal PAD110 +signal PAD111 +signal PAD71 +signal PAD113 +signal PAD72 +signal PAD114 +signal PAD115 +signal PAD116 +signal PAD74 +signal PAD117 +signal PAD75 +signal PAD118 +signal IPAD214 +signal IPAD144 +signal IPAD145 +signal PAD79 +signal PAD190 +signal PAD191 +signal PAD192 +signal PAD193 +signal PAD195 +signal PAD196 +signal PAD197 +signal PAD198 +signal IPAD35 +signal IPAD36 +signal DONE +signal PAD120 +signal PAD121 +signal PAD80 +signal PAD122 +signal PAD81 +signal PAD123 +signal PAD82 +signal PAD124 +signal PAD84 +signal PAD127 +signal PAD85 +signal PAD128 +signal IPAD151 +signal PAD86 +signal IPAD152 +signal PAD87 +signal IPAD228 +signal PAD89 +signal IPAD3 +signal IPAD9 +signal PAD11 +signal PAD12 +signal IPAD46 +signal PAD16 +signal PAD17 +signal PAD19 +signal PAD200 +signal PAD201 +signal PAD202 +signal PAD203 +signal PAD130 +signal PAD131 +signal PAD205 +signal PAD132 +signal PAD90 +signal PAD206 +signal PAD133 +signal PAD91 +signal PAD207 +signal PAD208 +signal PAD92 +signal PAD94 +signal PAD95 +signal IPAD162 +signal PAD139 +signal PAD96 +signal PAD97 +signal PAD20 +signal PAD23 +signal IPAD54 +signal PAD24 +signal IPAD55 +signal PAD25 +signal PAD26 +signal PAD27 +signal IPAD58 +signal IPAD59 +signal PAD210 +signal PAD211 +signal PAD212 +signal PAD213 +signal PAD140 +signal PAD141 +signal PAD142 +signal PAD143 +signal PAD217 +signal PAD218 +signal PAD146 +signal IPAD170 +signal PAD147 +signal IPAD171 +signal PAD148 +signal PAD149 +signal IPAD174 +signal IPAD175 +signal PAD30 +signal PAD31 +signal PAD32 +signal IPAD64 +signal PAD33 +signal PAD34 +signal PAD1 +signal PAD2 +signal IPAD68 +signal PAD4 +signal PAD5 +signal PAD6 +signal PAD220 +signal PAD7 +signal PAD221 +signal PAD8 +signal PAD222 +signal PAD150 +signal PAD223 +signal PAD225 +signal PAD153 +signal PAD154 +signal PAD156 +signal PAD229 +signal PAD157 +signal IPAD184 +signal PAD40 +signal PAD41 +signal IPAD112 +signal IPAD78 +signal PAD47 +signal PAD48 +signal PAD49 +signal PAD230 +signal PAD231 +signal PAD232 +signal IPAD119 +signal PAD163 +signal PAD164 +signal PAD165 +signal PAD166 +signal PAD167 +signal PAD168 +signal PAD169 +signal PROG_B +signal IPAD194 +signal IPAD199 +signal PAD50 +signal PAD51 +signal IPAD83 +signal PAD52 +signal PAD53 +signal IPAD88 +signal PAD56 +signal PAD57 +signal IPAD125 +signal IPAD126 +signal IPAD129 +signal PAD172 +signal IPAD10 +signal PAD173 +signal PAD176 +signal IPAD13 +signal PAD177 +signal PAD178 +signal PAD179 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal GND20 +signal GND21 +signal GND22 +signal GND23 +signal GND24 +signal GND25 +signal GND26 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal VDD13 +signal VDD14 +signal VDD15 +signal VDD16 +signal VDD17 +signal VDD18 +signal VDD19 +signal VDD20 +signal VDD21 +signal VDD22 +signal VDD23 +signal Vaux0 +signal Vaux1 +signal Vaux2 +signal Vaux3 +signal Vaux4 +signal Vaux5 + +register BSR 588 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction SAMPLE/PRELOAD 100000 BSR +instruction IDCODE 100100 DIR +instruction EXTEST 111100 BSR +instruction BYPASS 111111 BR + +bit 587 I 1 IPAD59 +bit 586 I 1 PAD60 +bit 585 O 1 PAD60 584 0 Z +bit 584 C 0 * +bit 583 I 1 PAD61 +bit 582 O 1 PAD61 581 0 Z +bit 581 C 0 * +bit 580 I 1 PAD62 +bit 579 O 1 PAD62 578 0 Z +bit 578 C 0 * +bit 577 I 1 PAD63 +bit 576 O 1 PAD63 575 0 Z +bit 575 C 0 * +bit 574 I 1 IPAD64 +bit 573 O 1 * +bit 572 O 1 * +bit 571 O 1 * +bit 570 I 1 PAD66 +bit 569 O 1 PAD66 568 0 Z +bit 568 C 0 * +bit 567 I 1 PAD67 +bit 566 O 1 PAD67 565 0 Z +bit 565 C 0 * +bit 564 I 1 IPAD68 +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 I 1 PAD71 +bit 556 O 1 PAD71 555 0 Z +bit 555 C 0 * +bit 554 I 1 PAD72 +bit 553 O 1 PAD72 552 0 Z +bit 552 C 0 * +bit 551 O 1 * +bit 550 I 1 PAD74 +bit 549 O 1 PAD74 548 0 Z +bit 548 C 0 * +bit 547 I 1 PAD75 +bit 546 O 1 PAD75 545 0 Z +bit 545 C 0 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 I 1 IPAD78 +bit 537 I 1 PAD79 +bit 536 O 1 PAD79 535 0 Z +bit 535 C 0 * +bit 534 I 1 PAD80 +bit 533 O 1 PAD80 532 0 Z +bit 532 C 0 * +bit 531 I 1 PAD81 +bit 530 O 1 PAD81 529 0 Z +bit 529 C 0 * +bit 528 I 1 PAD82 +bit 527 O 1 PAD82 526 0 Z +bit 526 C 0 * +bit 525 I 1 IPAD83 +bit 524 I 1 PAD84 +bit 523 O 1 PAD84 522 0 Z +bit 522 C 0 * +bit 521 I 1 PAD85 +bit 520 O 1 PAD85 519 0 Z +bit 519 C 0 * +bit 518 I 1 PAD86 +bit 517 O 1 PAD86 516 0 Z +bit 516 C 0 * +bit 515 I 1 PAD87 +bit 514 O 1 PAD87 513 0 Z +bit 513 C 0 * +bit 512 I 1 IPAD88 +bit 511 I 1 PAD89 +bit 510 O 1 PAD89 509 0 Z +bit 509 C 0 * +bit 508 I 1 PAD90 +bit 507 O 1 PAD90 506 0 Z +bit 506 C 0 * +bit 505 I 1 PAD91 +bit 504 O 1 PAD91 503 0 Z +bit 503 C 0 * +bit 502 I 1 PAD92 +bit 501 O 1 PAD92 500 0 Z +bit 500 C 0 * +bit 499 I 1 IPAD93 +bit 498 I 1 PAD94 +bit 497 O 1 PAD94 496 0 Z +bit 496 C 0 * +bit 495 I 1 PAD95 +bit 494 O 1 PAD95 493 0 Z +bit 493 C 0 * +bit 492 I 1 PAD96 +bit 491 O 1 PAD96 490 0 Z +bit 490 C 0 * +bit 489 I 1 PAD97 +bit 488 O 1 PAD97 487 0 Z +bit 487 C 0 * +bit 486 I 1 IPAD98 +bit 485 O 1 * +bit 484 O 1 * +bit 483 O 1 * +bit 482 O 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 I 1 PAD101 +bit 478 O 1 PAD101 477 0 Z +bit 477 C 0 * +bit 476 I 1 PAD102 +bit 475 O 1 PAD102 474 0 Z +bit 474 C 0 * +bit 473 O 1 * +bit 472 I 1 PAD104 +bit 471 O 1 PAD104 470 0 Z +bit 470 C 0 * +bit 469 I 1 PAD105 +bit 468 O 1 PAD105 467 0 Z +bit 467 C 0 * +bit 466 I 1 PAD106 +bit 465 O 1 PAD106 464 0 Z +bit 464 C 0 * +bit 463 I 1 PAD107 +bit 462 O 1 PAD107 461 0 Z +bit 461 C 0 * +bit 460 O 1 * +bit 459 I 1 PAD109 +bit 458 O 1 * +bit 457 O 1 * +bit 456 I 1 PAD110 +bit 455 O 1 * +bit 454 O 1 * +bit 453 I 1 PAD111 +bit 452 O 1 * +bit 451 O 1 * +bit 450 I 1 IPAD112 +bit 449 I 1 PAD113 +bit 448 O 1 * +bit 447 O 1 * +bit 446 I 1 PAD114 +bit 445 O 1 * +bit 444 O 1 * +bit 443 I 1 PAD115 +bit 442 O 1 * +bit 441 O 1 * +bit 440 I 1 PAD116 +bit 439 O 1 * +bit 438 O 1 * +bit 437 I 1 DONE +bit 436 O 1 PAD109 435 0 Z +bit 435 C 0 * +bit 434 I 1 PAD117 +bit 433 O 1 PAD110 432 0 Z +bit 432 C 0 * +bit 431 I 1 PAD118 +bit 430 O 1 * +bit 429 O 1 * +bit 428 I 1 IPAD119 +bit 427 I 1 PAD120 +bit 426 O 1 * +bit 425 O 1 * +bit 424 I 1 PAD121 +bit 423 O 1 * +bit 422 O 1 * +bit 421 I 1 PAD122 +bit 420 O 1 * +bit 419 O 1 * +bit 418 I 1 PAD123 +bit 417 O 1 * +bit 416 O 1 * +bit 415 I 1 PAD124 +bit 414 O 1 * +bit 413 O 1 * +bit 412 I 1 IPAD125 +bit 411 I 1 IPAD126 +bit 410 I 1 PAD127 +bit 409 O 1 * +bit 408 O 1 * +bit 407 I 1 PAD128 +bit 406 O 1 * +bit 405 O 1 * +bit 404 I 1 IPAD129 +bit 403 I 1 PAD130 +bit 402 O 1 * +bit 401 O 1 * +bit 400 I 1 PAD131 +bit 399 O 1 * +bit 398 O 1 * +bit 397 I 1 PAD132 +bit 396 O 1 * +bit 395 O 1 * +bit 394 I 1 PAD133 +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 I 1 PAD139 +bit 379 O 1 * +bit 378 O 1 * +bit 377 I 1 PAD140 +bit 376 O 1 * +bit 375 O 1 * +bit 374 I 1 PAD141 +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 PAD142 +bit 370 O 1 PAD113 369 0 Z +bit 369 C 0 * +bit 368 I 1 PAD143 +bit 367 O 1 IPAD112 366 0 Z +bit 366 C 0 * +bit 365 I 1 IPAD144 +bit 364 I 1 IPAD145 +bit 363 I 1 PAD146 +bit 362 O 1 * +bit 361 O 1 * +bit 360 I 1 PAD147 +bit 359 O 1 * +bit 358 O 1 * +bit 357 I 1 PAD148 +bit 356 O 1 * +bit 355 O 1 * +bit 354 I 1 PAD149 +bit 353 O 1 * +bit 352 O 1 * +bit 351 I 1 PAD150 +bit 350 O 1 * +bit 349 O 1 * +bit 348 I 1 IPAD151 +bit 347 I 1 IPAD152 +bit 346 I 1 PAD153 +bit 345 O 1 * +bit 344 O 1 * +bit 343 I 1 PAD154 +bit 342 O 1 * +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 I 1 PAD156 +bit 336 O 1 * +bit 335 O 1 * +bit 334 I 1 PAD157 +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 I 1 IPAD162 +bit 322 I 1 PAD163 +bit 321 O 1 * +bit 320 O 1 * +bit 319 I 1 PAD164 +bit 318 O 1 * +bit 317 O 1 * +bit 316 I 1 PAD165 +bit 315 O 1 * +bit 314 O 1 * +bit 313 I 1 PAD166 +bit 312 O 1 * +bit 311 O 1 * +bit 310 I 1 PAD167 +bit 309 O 1 * +bit 308 O 1 * +bit 307 I 1 PAD168 +bit 306 O 1 * +bit 305 O 1 * +bit 304 I 1 PAD169 +bit 303 O 1 * +bit 302 O 1 * +bit 301 I 1 IPAD170 +bit 300 I 1 IPAD171 +bit 299 I 1 PAD172 +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 PAD173 +bit 295 O 1 * +bit 294 O 1 * +bit 293 I 1 IPAD174 +bit 292 I 1 IPAD175 +bit 291 I 1 PAD176 +bit 290 O 1 * +bit 289 O 1 * +bit 288 I 1 PAD177 +bit 287 O 1 * +bit 286 O 1 * +bit 285 I 1 PAD178 +bit 284 O 1 * +bit 283 O 1 * +bit 282 I 1 PAD179 +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 I 1 PAD181 +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 I 1 IPAD184 +bit 268 I 1 PAD185 +bit 267 O 1 * +bit 266 O 1 * +bit 265 I 1 PAD186 +bit 264 O 1 * +bit 263 O 1 * +bit 262 I 1 PAD187 +bit 261 O 1 * +bit 260 O 1 * +bit 259 I 1 PAD188 +bit 258 O 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 I 1 PAD190 +bit 254 O 1 * +bit 253 O 1 * +bit 252 I 1 PAD191 +bit 251 O 1 * +bit 250 O 1 * +bit 249 I 1 PAD192 +bit 248 O 1 * +bit 247 O 1 * +bit 246 I 1 PAD193 +bit 245 O 1 * +bit 244 O 1 * +bit 243 I 1 IPAD194 +bit 242 I 1 PAD195 +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 PAD196 +bit 238 O 1 * +bit 237 O 1 * +bit 236 I 1 PAD197 +bit 235 O 1 * +bit 234 O 1 * +bit 233 I 1 PAD198 +bit 232 O 1 * +bit 231 O 1 * +bit 230 I 1 IPAD199 +bit 229 I 1 PAD200 +bit 228 O 1 * +bit 227 O 1 * +bit 226 I 1 PAD201 +bit 225 O 1 * +bit 224 O 1 * +bit 223 I 1 PAD202 +bit 222 O 1 * +bit 221 O 1 * +bit 220 I 1 PAD203 +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IPAD204 +bit 216 I 1 PAD205 +bit 215 O 1 * +bit 214 O 1 * +bit 213 I 1 PAD206 +bit 212 O 1 * +bit 211 O 1 * +bit 210 I 1 PAD207 +bit 209 O 1 * +bit 208 O 1 * +bit 207 I 1 PAD208 +bit 206 O 1 * +bit 205 O 1 * +bit 204 I 1 IPAD209 +bit 203 I 1 PAD210 +bit 202 O 1 * +bit 201 O 1 * +bit 200 I 1 PAD211 +bit 199 O 1 * +bit 198 O 1 * +bit 197 I 1 PAD212 +bit 196 O 1 * +bit 195 O 1 * +bit 194 I 1 PAD213 +bit 193 O 1 * +bit 192 O 1 * +bit 191 I 1 IPAD214 +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 O 1 * +bit 184 I 1 PAD217 +bit 183 O 1 * +bit 182 O 1 * +bit 181 I 1 PAD218 +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 I 1 PAD220 +bit 176 O 1 * +bit 175 O 1 * +bit 174 I 1 PAD221 +bit 173 O 1 * +bit 172 O 1 * +bit 171 I 1 PAD222 +bit 170 O 1 * +bit 169 O 1 * +bit 168 I 1 PAD223 +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 I 1 PAD225 +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 I 1 IPAD228 +bit 154 I 1 PAD229 +bit 153 O 1 * +bit 152 O 1 * +bit 151 I 1 PAD230 +bit 150 O 1 * +bit 149 O 1 * +bit 148 I 1 PAD231 +bit 147 O 1 * +bit 146 O 1 * +bit 145 I 1 PAD232 +bit 144 O 1 * +bit 143 O 1 * +bit 142 I 1 PROG_B +bit 141 I 1 PAD1 +bit 140 O 1 PAD1 139 0 Z +bit 139 C 0 * +bit 138 I 1 PAD2 +bit 137 O 1 PAD2 136 0 Z +bit 136 C 0 * +bit 135 I 1 IPAD3 +bit 134 I 1 PAD4 +bit 133 O 1 PAD4 132 0 Z +bit 132 C 0 * +bit 131 I 1 PAD5 +bit 130 O 1 PAD5 129 0 Z +bit 129 C 0 * +bit 128 I 1 PAD6 +bit 127 O 1 PAD6 126 0 Z +bit 126 C 0 * +bit 125 I 1 PAD7 +bit 124 O 1 PAD7 123 0 Z +bit 123 C 0 * +bit 122 I 1 PAD8 +bit 121 O 1 PAD8 120 0 Z +bit 120 C 0 * +bit 119 I 1 IPAD9 +bit 118 I 1 IPAD10 +bit 117 I 1 PAD11 +bit 116 O 1 PAD11 115 0 Z +bit 115 C 0 * +bit 114 I 1 PAD12 +bit 113 O 1 PAD12 112 0 Z +bit 112 C 0 * +bit 111 I 1 IPAD13 +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 I 1 PAD16 +bit 103 O 1 PAD16 102 0 Z +bit 102 C 0 * +bit 101 I 1 PAD17 +bit 100 O 1 PAD17 99 0 Z +bit 99 C 0 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 I 1 PAD19 +bit 94 O 1 PAD19 93 0 Z +bit 93 C 0 * +bit 92 I 1 PAD20 +bit 91 O 1 PAD20 90 0 Z +bit 90 C 0 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 I 1 PAD23 +bit 86 O 1 PAD23 85 0 Z +bit 85 C 0 * +bit 84 I 1 PAD24 +bit 83 O 1 PAD24 82 0 Z +bit 82 C 0 * +bit 81 I 1 PAD25 +bit 80 O 1 PAD25 79 0 Z +bit 79 C 0 * +bit 78 I 1 PAD26 +bit 77 O 1 PAD26 76 0 Z +bit 76 C 0 * +bit 75 I 1 PAD27 +bit 74 O 1 PAD27 73 0 Z +bit 73 C 0 * +bit 72 I 1 IPAD28 +bit 71 I 1 IPAD29 +bit 70 I 1 PAD30 +bit 69 O 1 PAD30 68 0 Z +bit 68 C 0 * +bit 67 I 1 PAD31 +bit 66 O 1 PAD31 65 0 Z +bit 65 C 0 * +bit 64 I 1 PAD32 +bit 63 O 1 PAD32 62 0 Z +bit 62 C 0 * +bit 61 I 1 PAD33 +bit 60 O 1 PAD33 59 0 Z +bit 59 C 0 * +bit 58 I 1 PAD34 +bit 57 O 1 PAD34 56 0 Z +bit 56 C 0 * +bit 55 I 1 IPAD35 +bit 54 I 1 IPAD36 +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 I 1 PAD40 +bit 43 O 1 PAD40 42 0 Z +bit 42 C 0 * +bit 41 I 1 PAD41 +bit 40 O 1 PAD41 39 0 Z +bit 39 C 0 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 I 1 IPAD46 +bit 29 I 1 PAD47 +bit 28 O 1 PAD47 27 0 Z +bit 27 C 0 * +bit 26 I 1 PAD48 +bit 25 O 1 PAD48 24 0 Z +bit 24 C 0 * +bit 23 I 1 PAD49 +bit 22 O 1 PAD49 21 0 Z +bit 21 C 0 * +bit 20 I 1 PAD50 +bit 19 O 1 PAD50 18 0 Z +bit 18 C 0 * +bit 17 I 1 PAD51 +bit 16 O 1 PAD51 15 0 Z +bit 15 C 0 * +bit 14 I 1 PAD52 +bit 13 O 1 PAD52 12 0 Z +bit 12 C 0 * +bit 11 I 1 PAD53 +bit 10 O 1 PAD53 9 0 Z +bit 9 C 0 * +bit 8 I 1 IPAD54 +bit 7 I 1 IPAD55 +bit 6 I 1 PAD56 +bit 5 O 1 PAD56 4 0 Z +bit 4 C 0 * +bit 3 I 1 PAD57 +bit 2 O 1 PAD57 1 0 Z +bit 1 C 0 * +bit 0 I 1 IPAD58 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_pq208 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_pq208 new file mode 100644 index 0000000..9ace3f6 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_pq208 @@ -0,0 +1,881 @@ +signal TCK +signal TDI +signal TDO +signal TMS +signal PAD100 +signal PAD101 +signal PAD102 +signal PAD60 +signal PAD61 +signal PAD104 +signal IPAD93 +signal PAD62 +signal PAD105 +signal PAD63 +signal PAD106 +signal PAD107 +signal IPAD204 +signal PAD65 +signal PAD109 +signal IPAD98 +signal PAD66 +signal PAD67 +signal PAD69 +signal IPAD209 +signal IPAD137 +signal IPAD138 +signal PAD181 +signal PAD182 +signal PAD183 +signal IPAD21 +signal PAD185 +signal IPAD22 +signal PAD186 +signal PAD187 +signal PAD188 +signal IPAD28 +signal IPAD29 +signal PAD110 +signal PAD111 +signal PAD70 +signal PAD113 +signal PAD71 +signal PAD114 +signal PAD72 +signal PAD115 +signal PAD116 +signal PAD117 +signal PAD74 +signal IPAD214 +signal PAD118 +signal PAD75 +signal PAD76 +signal PAD77 +signal IPAD144 +signal IPAD145 +signal PAD79 +signal IPAD219 +signal PAD190 +signal PAD191 +signal PAD192 +signal PAD193 +signal PAD195 +signal PAD196 +signal PAD197 +signal PAD198 +signal IPAD35 +signal IPAD36 +signal PAD120 +signal DONE +signal PAD121 +signal PAD122 +signal PAD80 +signal PAD123 +signal PAD81 +signal PAD124 +signal PAD82 +signal PAD127 +signal PAD84 +signal IPAD151 +signal PAD128 +signal PAD85 +signal IPAD224 +signal IPAD152 +signal PAD86 +signal PAD87 +signal IPAD228 +signal PAD89 +signal IPAD3 +signal IPAD158 +signal IPAD159 +signal IPAD9 +signal PAD11 +signal IPAD42 +signal PAD12 +signal IPAD43 +signal PAD14 +signal IPAD46 +signal PAD15 +signal PAD16 +signal PAD17 +signal PAD18 +signal PAD19 +signal PAD200 +signal PAD201 +signal PAD202 +signal PAD203 +signal PAD130 +signal PAD131 +signal PAD205 +signal PAD132 +signal PAD90 +signal PAD206 +signal PAD133 +signal PAD91 +signal PAD207 +signal PAD134 +signal PAD208 +signal PAD92 +signal PAD135 +signal PAD136 +signal PAD94 +signal PAD95 +signal IPAD162 +signal PAD139 +signal PAD96 +signal PAD97 +signal PAD99 +signal PAD20 +signal IPAD54 +signal PAD23 +signal IPAD55 +signal PAD24 +signal PAD25 +signal PAD26 +signal IPAD58 +signal PAD27 +signal IPAD59 +signal PAD210 +signal PAD211 +signal PAD212 +signal PAD140 +signal PAD213 +signal PAD141 +signal PAD142 +signal PAD215 +signal PAD143 +signal PAD216 +signal PAD217 +signal PAD218 +signal PAD146 +signal PAD147 +signal IPAD170 +signal PAD148 +signal IPAD171 +signal PAD149 +signal IPAD174 +signal IPAD175 +signal PAD30 +signal PAD31 +signal PAD32 +signal IPAD64 +signal PAD33 +signal PAD34 +signal PAD1 +signal PAD2 +signal IPAD68 +signal PAD37 +signal PAD4 +signal IPAD103 +signal PAD5 +signal PAD38 +signal PAD6 +signal PAD39 +signal PAD220 +signal PAD7 +signal PAD221 +signal PAD8 +signal PAD222 +signal IPAD108 +signal PAD150 +signal PAD223 +signal PAD225 +signal PAD153 +signal PAD226 +signal PAD154 +signal PAD227 +signal PAD155 +signal PAD156 +signal PAD229 +signal PAD157 +signal IPAD180 +signal IPAD184 +signal IPAD189 +signal PAD40 +signal PAD41 +signal IPAD73 +signal PAD44 +signal PAD45 +signal IPAD112 +signal IPAD78 +signal PAD47 +signal PAD48 +signal PAD49 +signal PAD230 +signal PAD231 +signal PAD232 +signal IPAD119 +signal PAD160 +signal PAD161 +signal PAD163 +signal PAD164 +signal PAD165 +signal PAD166 +signal PAD167 +signal PAD168 +signal PAD169 +signal PROG_B +signal IPAD194 +signal IPAD199 +signal PAD50 +signal PAD51 +signal IPAD83 +signal PAD52 +signal PAD53 +signal IPAD88 +signal PAD56 +signal PAD57 +signal IPAD125 +signal IPAD126 +signal IPAD129 +signal PAD172 +signal IPAD10 +signal PAD173 +signal PAD176 +signal IPAD13 +signal PAD177 +signal PAD178 +signal PAD179 +signal GND0 +signal GND1 +signal GND2 +signal GND3 +signal GND4 +signal GND5 +signal GND6 +signal GND7 +signal GND8 +signal GND9 +signal GND10 +signal GND11 +signal GND12 +signal GND13 +signal GND14 +signal GND15 +signal GND16 +signal GND17 +signal GND18 +signal GND19 +signal VDD0 +signal VDD1 +signal VDD2 +signal VDD3 +signal VDD4 +signal VDD5 +signal VDD6 +signal VDD7 +signal VDD8 +signal VDD9 +signal VDD10 +signal VDD11 +signal VDD12 +signal VDD13 +signal VDD14 +signal VDD15 +signal Vaux0 +signal Vaux1 +signal Vaux2 +signal Vaux3 +signal Vaux4 +signal Vaux5 +signal Vaux6 + +register BSR 588 +register BR 1 +register DIR 32 + +instruction length 6 + +instruction SAMPLE/PRELOAD 100000 BSR +instruction IDCODE 100100 DIR +instruction EXTEST 111100 BSR +instruction BYPASS 111111 BR + +bit 587 I 1 IPAD59 +bit 586 I 1 PAD60 +bit 585 O 1 PAD60 584 0 Z +bit 584 C 0 * +bit 583 I 1 PAD61 +bit 582 O 1 PAD61 581 0 Z +bit 581 C 0 * +bit 580 I 1 PAD62 +bit 579 O 1 PAD62 578 0 Z +bit 578 C 0 * +bit 577 I 1 PAD63 +bit 576 O 1 PAD63 575 0 Z +bit 575 C 0 * +bit 574 O 1 * +bit 573 O 1 * +bit 572 O 1 * +bit 571 O 1 * +bit 570 O 1 * +bit 569 O 1 * +bit 568 O 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 I 1 IPAD68 +bit 563 O 1 * +bit 562 O 1 * +bit 561 O 1 * +bit 560 O 1 * +bit 559 O 1 * +bit 558 O 1 * +bit 557 I 1 PAD71 +bit 556 O 1 PAD71 555 0 Z +bit 555 C 0 * +bit 554 I 1 PAD72 +bit 553 O 1 PAD72 552 0 Z +bit 552 C 0 * +bit 551 O 1 * +bit 550 I 1 PAD74 +bit 549 O 1 PAD74 548 0 Z +bit 548 C 0 * +bit 547 I 1 PAD75 +bit 546 O 1 PAD75 545 0 Z +bit 545 C 0 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 O 1 * +bit 541 O 1 * +bit 540 O 1 * +bit 539 O 1 * +bit 538 I 1 IPAD78 +bit 537 I 1 PAD79 +bit 536 O 1 PAD79 535 0 Z +bit 535 C 0 * +bit 534 I 1 PAD80 +bit 533 O 1 PAD80 532 0 Z +bit 532 C 0 * +bit 531 I 1 PAD81 +bit 530 O 1 PAD81 529 0 Z +bit 529 C 0 * +bit 528 I 1 PAD82 +bit 527 O 1 PAD82 526 0 Z +bit 526 C 0 * +bit 525 I 1 IPAD83 +bit 524 I 1 PAD84 +bit 523 O 1 PAD84 522 0 Z +bit 522 C 0 * +bit 521 I 1 PAD85 +bit 520 O 1 PAD85 519 0 Z +bit 519 C 0 * +bit 518 I 1 PAD86 +bit 517 O 1 PAD86 516 0 Z +bit 516 C 0 * +bit 515 I 1 PAD87 +bit 514 O 1 PAD87 513 0 Z +bit 513 C 0 * +bit 512 I 1 IPAD88 +bit 511 I 1 PAD89 +bit 510 O 1 PAD89 509 0 Z +bit 509 C 0 * +bit 508 I 1 PAD90 +bit 507 O 1 PAD90 506 0 Z +bit 506 C 0 * +bit 505 I 1 PAD91 +bit 504 O 1 PAD91 503 0 Z +bit 503 C 0 * +bit 502 I 1 PAD92 +bit 501 O 1 PAD92 500 0 Z +bit 500 C 0 * +bit 499 I 1 IPAD93 +bit 498 I 1 PAD94 +bit 497 O 1 PAD94 496 0 Z +bit 496 C 0 * +bit 495 I 1 PAD95 +bit 494 O 1 PAD95 493 0 Z +bit 493 C 0 * +bit 492 I 1 PAD96 +bit 491 O 1 PAD96 490 0 Z +bit 490 C 0 * +bit 489 I 1 PAD97 +bit 488 O 1 PAD97 487 0 Z +bit 487 C 0 * +bit 486 I 1 IPAD98 +bit 485 O 1 * +bit 484 O 1 * +bit 483 O 1 * +bit 482 O 1 * +bit 481 O 1 * +bit 480 O 1 * +bit 479 I 1 PAD101 +bit 478 O 1 PAD101 477 0 Z +bit 477 C 0 * +bit 476 I 1 PAD102 +bit 475 O 1 PAD102 474 0 Z +bit 474 C 0 * +bit 473 O 1 * +bit 472 O 1 * +bit 471 O 1 * +bit 470 O 1 * +bit 469 O 1 * +bit 468 O 1 * +bit 467 O 1 * +bit 466 I 1 PAD106 +bit 465 O 1 PAD106 464 0 Z +bit 464 C 0 * +bit 463 I 1 PAD107 +bit 462 O 1 PAD107 461 0 Z +bit 461 C 0 * +bit 460 O 1 * +bit 459 O 1 * +bit 458 O 1 * +bit 457 O 1 * +bit 456 O 1 * +bit 455 O 1 * +bit 454 O 1 * +bit 453 O 1 * +bit 452 O 1 * +bit 451 O 1 * +bit 450 I 1 IPAD112 +bit 449 I 1 PAD113 +bit 448 O 1 * +bit 447 O 1 * +bit 446 I 1 PAD114 +bit 445 O 1 * +bit 444 O 1 * +bit 443 I 1 PAD115 +bit 442 O 1 * +bit 441 O 1 * +bit 440 I 1 PAD116 +bit 439 O 1 * +bit 438 O 1 * +bit 437 I 1 DONE +bit 436 O 1 * +bit 435 O 1 * +bit 434 I 1 PAD117 +bit 433 O 1 * +bit 432 O 1 * +bit 431 I 1 PAD118 +bit 430 O 1 * +bit 429 O 1 * +bit 428 I 1 IPAD119 +bit 427 I 1 PAD120 +bit 426 O 1 * +bit 425 O 1 * +bit 424 I 1 PAD121 +bit 423 O 1 * +bit 422 O 1 * +bit 421 I 1 PAD122 +bit 420 O 1 * +bit 419 O 1 * +bit 418 I 1 PAD123 +bit 417 O 1 * +bit 416 O 1 * +bit 415 I 1 PAD124 +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 O 1 * +bit 410 I 1 PAD127 +bit 409 O 1 * +bit 408 O 1 * +bit 407 I 1 PAD128 +bit 406 O 1 * +bit 405 O 1 * +bit 404 I 1 IPAD129 +bit 403 I 1 PAD130 +bit 402 O 1 * +bit 401 O 1 * +bit 400 I 1 PAD131 +bit 399 O 1 * +bit 398 O 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 I 1 PAD139 +bit 379 O 1 * +bit 378 O 1 * +bit 377 I 1 PAD140 +bit 376 O 1 * +bit 375 O 1 * +bit 374 I 1 PAD141 +bit 373 O 1 * +bit 372 O 1 * +bit 371 I 1 PAD142 +bit 370 O 1 PAD113 369 0 Z +bit 369 C 0 * +bit 368 I 1 PAD143 +bit 367 O 1 IPAD112 366 0 Z +bit 366 C 0 * +bit 365 I 1 IPAD144 +bit 364 I 1 IPAD145 +bit 363 I 1 PAD146 +bit 362 O 1 * +bit 361 O 1 * +bit 360 I 1 PAD147 +bit 359 O 1 * +bit 358 O 1 * +bit 357 I 1 PAD148 +bit 356 O 1 * +bit 355 O 1 * +bit 354 I 1 PAD149 +bit 353 O 1 * +bit 352 O 1 * +bit 351 I 1 PAD150 +bit 350 O 1 * +bit 349 O 1 * +bit 348 I 1 IPAD151 +bit 347 I 1 IPAD152 +bit 346 O 1 * +bit 345 O 1 * +bit 344 O 1 * +bit 343 O 1 * +bit 342 O 1 * +bit 341 O 1 * +bit 340 O 1 * +bit 339 O 1 * +bit 338 O 1 * +bit 337 I 1 PAD156 +bit 336 O 1 * +bit 335 O 1 * +bit 334 I 1 PAD157 +bit 333 O 1 * +bit 332 O 1 * +bit 331 O 1 * +bit 330 O 1 * +bit 329 O 1 * +bit 328 O 1 * +bit 327 O 1 * +bit 326 O 1 * +bit 325 O 1 * +bit 324 O 1 * +bit 323 O 1 * +bit 322 I 1 PAD163 +bit 321 O 1 * +bit 320 O 1 * +bit 319 I 1 PAD164 +bit 318 O 1 * +bit 317 O 1 * +bit 316 I 1 PAD165 +bit 315 O 1 * +bit 314 O 1 * +bit 313 I 1 PAD166 +bit 312 O 1 * +bit 311 O 1 * +bit 310 O 1 * +bit 309 O 1 * +bit 308 O 1 * +bit 307 I 1 PAD168 +bit 306 O 1 * +bit 305 O 1 * +bit 304 I 1 PAD169 +bit 303 O 1 * +bit 302 O 1 * +bit 301 I 1 IPAD170 +bit 300 I 1 IPAD171 +bit 299 I 1 PAD172 +bit 298 O 1 * +bit 297 O 1 * +bit 296 I 1 PAD173 +bit 295 O 1 * +bit 294 O 1 * +bit 293 I 1 IPAD174 +bit 292 I 1 IPAD175 +bit 291 I 1 PAD176 +bit 290 O 1 * +bit 289 O 1 * +bit 288 I 1 PAD177 +bit 287 O 1 * +bit 286 O 1 * +bit 285 I 1 PAD178 +bit 284 O 1 * +bit 283 O 1 * +bit 282 I 1 PAD179 +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 I 1 PAD181 +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 O 1 * +bit 272 O 1 * +bit 271 O 1 * +bit 270 O 1 * +bit 269 I 1 IPAD184 +bit 268 O 1 * +bit 267 O 1 * +bit 266 O 1 * +bit 265 O 1 * +bit 264 O 1 * +bit 263 O 1 * +bit 262 I 1 PAD187 +bit 261 O 1 * +bit 260 O 1 * +bit 259 I 1 PAD188 +bit 258 O 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 I 1 PAD190 +bit 254 O 1 * +bit 253 O 1 * +bit 252 I 1 PAD191 +bit 251 O 1 * +bit 250 O 1 * +bit 249 O 1 * +bit 248 O 1 * +bit 247 O 1 * +bit 246 O 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 I 1 PAD195 +bit 241 O 1 * +bit 240 O 1 * +bit 239 I 1 PAD196 +bit 238 O 1 * +bit 237 O 1 * +bit 236 I 1 PAD197 +bit 235 O 1 * +bit 234 O 1 * +bit 233 I 1 PAD198 +bit 232 O 1 * +bit 231 O 1 * +bit 230 I 1 IPAD199 +bit 229 I 1 PAD200 +bit 228 O 1 * +bit 227 O 1 * +bit 226 I 1 PAD201 +bit 225 O 1 * +bit 224 O 1 * +bit 223 I 1 PAD202 +bit 222 O 1 * +bit 221 O 1 * +bit 220 I 1 PAD203 +bit 219 O 1 * +bit 218 O 1 * +bit 217 I 1 IPAD204 +bit 216 I 1 PAD205 +bit 215 O 1 * +bit 214 O 1 * +bit 213 I 1 PAD206 +bit 212 O 1 * +bit 211 O 1 * +bit 210 I 1 PAD207 +bit 209 O 1 * +bit 208 O 1 * +bit 207 I 1 PAD208 +bit 206 O 1 * +bit 205 O 1 * +bit 204 I 1 IPAD209 +bit 203 I 1 PAD210 +bit 202 O 1 * +bit 201 O 1 * +bit 200 I 1 PAD104 +bit 199 O 1 * +bit 198 O 1 * +bit 197 I 1 PAD212 +bit 196 O 1 * +bit 195 O 1 * +bit 194 I 1 PAD109 +bit 193 O 1 * +bit 192 O 1 * +bit 191 I 1 IPAD214 +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 O 1 * +bit 184 I 1 PAD217 +bit 183 O 1 * +bit 182 O 1 * +bit 181 I 1 PAD136 +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 O 1 * +bit 175 O 1 * +bit 174 O 1 * +bit 173 O 1 * +bit 172 O 1 * +bit 171 I 1 PAD222 +bit 170 O 1 * +bit 169 O 1 * +bit 168 I 1 PAD223 +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 O 1 * +bit 157 O 1 * +bit 156 O 1 * +bit 155 I 1 IPAD228 +bit 154 I 1 PAD229 +bit 153 O 1 * +bit 152 O 1 * +bit 151 I 1 PAD230 +bit 150 O 1 * +bit 149 O 1 * +bit 148 I 1 PAD231 +bit 147 O 1 * +bit 146 O 1 * +bit 145 I 1 PAD183 +bit 144 O 1 * +bit 143 O 1 * +bit 142 I 1 PAD182 +bit 141 I 1 PAD1 +bit 140 O 1 PAD1 139 0 Z +bit 139 C 0 * +bit 138 I 1 PAD2 +bit 137 O 1 PAD2 136 0 Z +bit 136 C 0 * +bit 135 I 1 IPAD3 +bit 134 I 1 PAD4 +bit 133 O 1 PAD4 132 0 Z +bit 132 C 0 * +bit 131 I 1 PAD5 +bit 130 O 1 PAD5 129 0 Z +bit 129 C 0 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 I 1 PAD7 +bit 124 O 1 PAD7 123 0 Z +bit 123 C 0 * +bit 122 I 1 PAD8 +bit 121 O 1 PAD8 120 0 Z +bit 120 C 0 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 I 1 PAD11 +bit 116 O 1 PAD11 115 0 Z +bit 115 C 0 * +bit 114 I 1 PAD12 +bit 113 O 1 PAD12 112 0 Z +bit 112 C 0 * +bit 111 I 1 IPAD13 +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 I 1 PAD16 +bit 103 O 1 PAD16 102 0 Z +bit 102 C 0 * +bit 101 I 1 PAD17 +bit 100 O 1 PAD17 99 0 Z +bit 99 C 0 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 O 1 * +bit 93 O 1 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 I 1 PAD23 +bit 86 O 1 PAD23 85 0 Z +bit 85 C 0 * +bit 84 I 1 PAD24 +bit 83 O 1 PAD24 82 0 Z +bit 82 C 0 * +bit 81 I 1 PAD25 +bit 80 O 1 PAD25 79 0 Z +bit 79 C 0 * +bit 78 I 1 PAD26 +bit 77 O 1 PAD26 76 0 Z +bit 76 C 0 * +bit 75 I 1 PAD27 +bit 74 O 1 PAD27 73 0 Z +bit 73 C 0 * +bit 72 I 1 IPAD28 +bit 71 I 1 IPAD29 +bit 70 I 1 PAD30 +bit 69 O 1 PAD30 68 0 Z +bit 68 C 0 * +bit 67 I 1 PAD31 +bit 66 O 1 PAD31 65 0 Z +bit 65 C 0 * +bit 64 I 1 PAD32 +bit 63 O 1 PAD32 62 0 Z +bit 62 C 0 * +bit 61 I 1 PAD33 +bit 60 O 1 PAD33 59 0 Z +bit 59 C 0 * +bit 58 I 1 PAD34 +bit 57 O 1 PAD34 56 0 Z +bit 56 C 0 * +bit 55 I 1 IPAD35 +bit 54 I 1 IPAD36 +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 O 1 * +bit 48 O 1 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 I 1 PAD40 +bit 43 O 1 PAD40 42 0 Z +bit 42 C 0 * +bit 41 I 1 PAD41 +bit 40 O 1 PAD41 39 0 Z +bit 39 C 0 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 I 1 IPAD46 +bit 29 I 1 PAD47 +bit 28 O 1 PAD47 27 0 Z +bit 27 C 0 * +bit 26 I 1 PAD48 +bit 25 O 1 PAD48 24 0 Z +bit 24 C 0 * +bit 23 I 1 PAD49 +bit 22 O 1 PAD49 21 0 Z +bit 21 C 0 * +bit 20 I 1 PAD50 +bit 19 O 1 PAD50 18 0 Z +bit 18 C 0 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 I 1 PAD52 +bit 13 O 1 PAD52 12 0 Z +bit 12 C 0 * +bit 11 I 1 PAD53 +bit 10 O 1 PAD53 9 0 Z +bit 9 C 0 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 I 1 PAD56 +bit 5 O 1 PAD56 4 0 Z +bit 4 C 0 * +bit 3 I 1 PAD57 +bit 2 O 1 PAD57 1 0 Z +bit 1 C 0 * +bit 0 I 1 IPAD58 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_vq100 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_vq100 new file mode 100644 index 0000000..e2239e9 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_fg320/xc3s500e_vq100 @@ -0,0 +1,701 @@ +signal VCCO_3(1) +signal VCCO_3(2) +signal VCCO_2(1) +signal VCCO_2(2) +signal VCCO_1(1) +signal VCCO_1(2) +signal VCCO_0(1) +signal VCCO_0(2) +signal VCCINT(1) +signal VCCINT(2) +signal VCCINT(3) +signal VCCINT(4) +signal VCCAUX(1) +signal VCCAUX(2) +signal VCCAUX(3) +signal VCCAUX(4) +signal TMS +signal TDO +signal TDI +signal TCK +signal PUDC_B +signal PROG_B +signal P98 +signal P95 +signal P94 +signal P92 +signal P91 +signal P90 +signal P9 +signal P86 +signal P85 +signal P84 +signal P83 +signal P79 +signal P78 +signal P71 +signal P70 +signal P68 +signal P67 +signal P66 +signal P65 +signal P63 +signal P62 +signal P61 +signal P60 +signal P58 +signal P57 +signal P54 +signal P53 +signal P50 +signal P5 +signal P49 +signal P48 +signal P47 +signal P44 +signal P43 +signal P42 +signal P41 +signal P40 +signal P4 +signal P36 +signal P35 +signal P34 +signal P33 +signal P32 +signal P3 +signal P27 +signal P26 +signal P25 +signal P24 +signal P23 +signal P22 +signal P2 +signal P18 +signal P17 +signal P16 +signal P15 +signal P12 +signal P11 +signal P10 +signal IPAD83 +signal IPAD29 +signal IPAD28 +signal IPAD204 +signal IPAD151 +signal IPAD145 +signal IPAD144 +signal GND(1) +signal GND(2) +signal GND(3) +signal GND(4) +signal GND(5) +signal GND(6) +signal GND(7) +signal GND(8) +signal GND(9) +signal GND(10) +signal GND(11) +signal GND(12) +signal DONE +instruction length 6 +register DIR 32 +register USERCODE 32 +register BSR 588 +register BYPASS 1 +instruction BYPASS 111111 BYPASS +instruction HIGHZ 001010 BYPASS +instruction IDCODE 001001 DIR +instruction USERCODE 001000 DIR +instruction INTEST 000111 BSR +instruction PRELOAD 000001 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction EXTEST 001111 BSR +bit 587 O ? * +bit 586 O ? * +bit 585 O ? * +bit 584 O 1 * +bit 583 O ? * +bit 582 O ? * +bit 581 O 1 * +bit 580 O ? * +bit 579 O ? * +bit 578 O 1 * +bit 577 O ? * +bit 576 O ? * +bit 575 O 1 * +bit 574 O ? * +bit 573 O ? * +bit 572 O ? * +bit 571 O 1 * +bit 570 O ? * +bit 569 O ? * +bit 568 O 1 * +bit 567 O ? * +bit 566 O ? * +bit 565 O 1 * +bit 564 O ? * +bit 563 O ? * +bit 562 O ? * +bit 561 O 1 * +bit 560 O ? * +bit 559 O ? * +bit 558 O 1 * +bit 557 O ? * +bit 556 O ? * +bit 555 O 1 * +bit 554 O ? * +bit 553 O ? * +bit 552 O 1 * +bit 551 O ? * +bit 550 O ? * +bit 549 O ? * +bit 548 O 1 * +bit 547 O ? * +bit 546 O ? * +bit 545 O 1 * +bit 544 O ? * +bit 543 O ? * +bit 542 O 1 * +bit 541 O ? * +bit 540 O ? * +bit 539 O 1 * +bit 538 O ? * +bit 537 O ? * +bit 536 O ? * +bit 535 O 1 * +bit 534 O ? * +bit 533 O ? * +bit 532 O 1 * +bit 531 I ? P71 +bit 530 O ? P71 529 1 Z +bit 529 C 1 * +bit 528 I ? P70 +bit 527 O ? P70 526 1 Z +bit 526 C 1 * +bit 525 I ? IPAD83 +bit 524 I ? P68 +bit 523 O ? P68 522 1 Z +bit 522 C 1 * +bit 521 I ? P67 +bit 520 O ? P67 519 1 Z +bit 519 C 1 * +bit 518 I ? P66 +bit 517 O ? P66 516 1 Z +bit 516 C 1 * +bit 515 I ? P65 +bit 514 O ? P65 513 1 Z +bit 513 C 1 * +bit 512 O ? * +bit 511 I ? P63 +bit 510 O ? P63 509 1 Z +bit 509 C 1 * +bit 508 I ? P62 +bit 507 O ? P62 506 1 Z +bit 506 C 1 * +bit 505 I ? P61 +bit 504 O ? P61 503 1 Z +bit 503 C 1 * +bit 502 I ? P60 +bit 501 O ? P60 500 1 Z +bit 500 C 1 * +bit 499 O ? * +bit 498 O ? * +bit 497 O ? * +bit 496 O 1 * +bit 495 O ? * +bit 494 O ? * +bit 493 O 1 * +bit 492 I ? P58 +bit 491 O ? P58 490 1 Z +bit 490 C 1 * +bit 489 I ? P57 +bit 488 O ? P57 487 1 Z +bit 487 C 1 * +bit 486 O ? * +bit 485 O ? * +bit 484 O ? * +bit 483 O 1 * +bit 482 O ? * +bit 481 O ? * +bit 480 O 1 * +bit 479 O ? * +bit 478 O ? * +bit 477 O 1 * +bit 476 O ? * +bit 475 O ? * +bit 474 O 1 * +bit 473 O ? * +bit 472 O ? * +bit 471 O ? * +bit 470 O 1 * +bit 469 O ? * +bit 468 O ? * +bit 467 O 1 * +bit 466 O ? * +bit 465 O ? * +bit 464 O 1 * +bit 463 O ? * +bit 462 O ? * +bit 461 O 1 * +bit 460 O ? * +bit 459 O ? * +bit 458 O ? * +bit 457 O 1 * +bit 456 O ? * +bit 455 O ? * +bit 454 O 1 * +bit 453 O ? * +bit 452 O ? * +bit 451 O 1 * +bit 450 O ? * +bit 449 O ? * +bit 448 O ? * +bit 447 O 1 * +bit 446 O ? * +bit 445 O ? * +bit 444 O 1 * +bit 443 I ? P54 +bit 442 O ? P54 441 1 Z +bit 441 C 1 * +bit 440 I ? P53 +bit 439 O ? P53 438 1 Z +bit 438 C 1 * +bit 437 I ? DONE +bit 436 O ? DONE 435 1 Z +bit 435 C 1 * +bit 434 I ? P50 +bit 433 O ? P50 432 1 Z +bit 432 C 1 * +bit 431 I ? P49 +bit 430 O ? P49 429 1 Z +bit 429 C 1 * +bit 428 O ? * +bit 427 I ? P48 +bit 426 O ? P48 425 1 Z +bit 425 C 1 * +bit 424 I ? P47 +bit 423 O ? P47 422 1 Z +bit 422 C 1 * +bit 421 O ? * +bit 420 O ? * +bit 419 O 1 * +bit 418 O ? * +bit 417 O ? * +bit 416 O 1 * +bit 415 O ? * +bit 414 O ? * +bit 413 O 1 * +bit 412 O ? * +bit 411 O ? * +bit 410 O ? * +bit 409 O ? * +bit 408 O 1 * +bit 407 O ? * +bit 406 O ? * +bit 405 O 1 * +bit 404 O ? * +bit 403 O ? * +bit 402 O ? * +bit 401 O 1 * +bit 400 O ? * +bit 399 O ? * +bit 398 O 1 * +bit 397 O ? * +bit 396 O ? * +bit 395 O 1 * +bit 394 O ? * +bit 393 O ? * +bit 392 O 1 * +bit 391 O ? * +bit 390 O ? * +bit 389 O 1 * +bit 388 O ? * +bit 387 O ? * +bit 386 O 1 * +bit 385 O ? * +bit 384 O ? * +bit 383 O 1 * +bit 382 O ? * +bit 381 O ? * +bit 380 I ? P44 +bit 379 O ? P44 378 1 Z +bit 378 C 1 * +bit 377 I ? P43 +bit 376 O ? P43 375 1 Z +bit 375 C 1 * +bit 374 I ? P42 +bit 373 O ? P42 372 1 Z +bit 372 C 1 * +bit 371 I ? P41 +bit 370 O ? P41 369 1 Z +bit 369 C 1 * +bit 368 I ? P40 +bit 367 O ? P40 366 1 Z +bit 366 C 1 * +bit 365 I ? IPAD144 +bit 364 I ? IPAD145 +bit 363 I ? P36 +bit 362 O ? P36 361 1 Z +bit 361 C 1 * +bit 360 I ? P35 +bit 359 O ? P35 358 1 Z +bit 358 C 1 * +bit 357 I ? P34 +bit 356 O ? P34 355 1 Z +bit 355 C 1 * +bit 354 I ? P33 +bit 353 O ? P33 352 1 Z +bit 352 C 1 * +bit 351 I ? P32 +bit 350 O ? P32 349 1 Z +bit 349 C 1 * +bit 348 I ? IPAD151 +bit 347 O ? * +bit 346 O ? * +bit 345 O ? * +bit 344 O 1 * +bit 343 O ? * +bit 342 O ? * +bit 341 O 1 * +bit 340 O ? * +bit 339 O ? * +bit 338 O 1 * +bit 337 O ? * +bit 336 O ? * +bit 335 O 1 * +bit 334 O ? * +bit 333 O ? * +bit 332 O 1 * +bit 331 O ? * +bit 330 O ? * +bit 329 O ? * +bit 328 O ? * +bit 327 O 1 * +bit 326 O ? * +bit 325 O ? * +bit 324 O 1 * +bit 323 O ? * +bit 322 O ? * +bit 321 O ? * +bit 320 O 1 * +bit 319 O ? * +bit 318 O ? * +bit 317 O 1 * +bit 316 O ? * +bit 315 O ? * +bit 314 O 1 * +bit 313 O ? * +bit 312 O ? * +bit 311 O 1 * +bit 310 O ? * +bit 309 O ? * +bit 308 O 1 * +bit 307 I ? P27 +bit 306 O ? P27 305 1 Z +bit 305 C 1 * +bit 304 I ? P26 +bit 303 O ? P26 302 1 Z +bit 302 C 1 * +bit 301 O ? * +bit 300 O ? * +bit 299 I ? P25 +bit 298 O ? P25 297 1 Z +bit 297 C 1 * +bit 296 I ? P24 +bit 295 O ? P24 294 1 Z +bit 294 C 1 * +bit 293 O ? * +bit 292 O ? * +bit 291 I ? P23 +bit 290 O ? P23 289 1 Z +bit 289 C 1 * +bit 288 I ? P22 +bit 287 O ? P22 286 1 Z +bit 286 C 1 * +bit 285 O ? * +bit 284 O ? * +bit 283 O 1 * +bit 282 O ? * +bit 281 O ? * +bit 280 O 1 * +bit 279 O ? * +bit 278 O ? * +bit 277 O ? * +bit 276 O 1 * +bit 275 O ? * +bit 274 O ? * +bit 273 O 1 * +bit 272 O ? * +bit 271 O ? * +bit 270 O 1 * +bit 269 O ? * +bit 268 O ? * +bit 267 O ? * +bit 266 O 1 * +bit 265 O ? * +bit 264 O ? * +bit 263 O 1 * +bit 262 O ? * +bit 261 O ? * +bit 260 O 1 * +bit 259 O ? * +bit 258 O ? * +bit 257 O 1 * +bit 256 O ? * +bit 255 O ? * +bit 254 O ? * +bit 253 O 1 * +bit 252 O ? * +bit 251 O ? * +bit 250 O 1 * +bit 249 O ? * +bit 248 O ? * +bit 247 O 1 * +bit 246 O ? * +bit 245 O ? * +bit 244 O 1 * +bit 243 O ? * +bit 242 O ? * +bit 241 O ? * +bit 240 O 1 * +bit 239 O ? * +bit 238 O ? * +bit 237 O 1 * +bit 236 O ? * +bit 235 O ? * +bit 234 O 1 * +bit 233 O ? * +bit 232 O ? * +bit 231 O 1 * +bit 230 O ? * +bit 229 I ? P18 +bit 228 O ? P18 227 1 Z +bit 227 C 1 * +bit 226 I ? P17 +bit 225 O ? P17 224 1 Z +bit 224 C 1 * +bit 223 I ? P16 +bit 222 O ? P16 221 1 Z +bit 221 C 1 * +bit 220 I ? P15 +bit 219 O ? P15 218 1 Z +bit 218 C 1 * +bit 217 I ? IPAD204 +bit 216 I ? P12 +bit 215 O ? P12 214 1 Z +bit 214 C 1 * +bit 213 I ? P11 +bit 212 O ? P11 211 1 Z +bit 211 C 1 * +bit 210 I ? P10 +bit 209 O ? P10 208 1 Z +bit 208 C 1 * +bit 207 I ? P9 +bit 206 O ? P9 205 1 Z +bit 205 C 1 * +bit 204 O ? * +bit 203 O ? * +bit 202 O ? * +bit 201 O 1 * +bit 200 O ? * +bit 199 O ? * +bit 198 O 1 * +bit 197 O ? * +bit 196 O ? * +bit 195 O 1 * +bit 194 O ? * +bit 193 O ? * +bit 192 O 1 * +bit 191 O ? * +bit 190 O ? * +bit 189 O ? * +bit 188 O 1 * +bit 187 O ? * +bit 186 O ? * +bit 185 O 1 * +bit 184 O ? * +bit 183 O ? * +bit 182 O 1 * +bit 181 O ? * +bit 180 O ? * +bit 179 O 1 * +bit 178 O ? * +bit 177 O ? * +bit 176 O ? * +bit 175 O 1 * +bit 174 O ? * +bit 173 O ? * +bit 172 O 1 * +bit 171 O ? * +bit 170 O ? * +bit 169 O 1 * +bit 168 O ? * +bit 167 O ? * +bit 166 O 1 * +bit 165 O ? * +bit 164 O ? * +bit 163 O ? * +bit 162 O 1 * +bit 161 O ? * +bit 160 O ? * +bit 159 O 1 * +bit 158 O ? * +bit 157 O ? * +bit 156 O 1 * +bit 155 O ? * +bit 154 I ? P5 +bit 153 O ? P5 152 1 Z +bit 152 C 1 * +bit 151 I ? P4 +bit 150 O ? P4 149 1 Z +bit 149 C 1 * +bit 148 I ? P3 +bit 147 O ? P3 146 1 Z +bit 146 C 1 * +bit 145 I ? P2 +bit 144 O ? P2 143 1 Z +bit 143 C 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 I ? P98 +bit 137 O ? P98 136 1 Z +bit 136 C 1 * +bit 135 O ? * +bit 134 O ? * +bit 133 O ? * +bit 132 O 1 * +bit 131 O ? * +bit 130 O ? * +bit 129 O 1 * +bit 128 O ? * +bit 127 O ? * +bit 126 O 1 * +bit 125 O ? * +bit 124 O ? * +bit 123 O 1 * +bit 122 O ? * +bit 121 O ? * +bit 120 O 1 * +bit 119 O ? * +bit 118 O ? * +bit 117 O ? * +bit 116 O ? * +bit 115 O 1 * +bit 114 O ? * +bit 113 O ? * +bit 112 O 1 * +bit 111 O ? * +bit 110 O ? * +bit 109 O ? * +bit 108 O 1 * +bit 107 O ? * +bit 106 O ? * +bit 105 O 1 * +bit 104 I ? P95 +bit 103 O ? P95 102 1 Z +bit 102 C 1 * +bit 101 I ? P94 +bit 100 O ? P94 99 1 Z +bit 99 C 1 * +bit 98 O ? * +bit 97 O ? * +bit 96 O 1 * +bit 95 O ? * +bit 94 O ? * +bit 93 O 1 * +bit 92 O ? * +bit 91 O ? * +bit 90 O 1 * +bit 89 O ? * +bit 88 O ? * +bit 87 O ? * +bit 86 O ? * +bit 85 O 1 * +bit 84 O ? * +bit 83 O ? * +bit 82 O 1 * +bit 81 I ? P92 +bit 80 O ? P92 79 1 Z +bit 79 C 1 * +bit 78 I ? P91 +bit 77 O ? P91 76 1 Z +bit 76 C 1 * +bit 75 I ? P90 +bit 74 O ? P90 73 1 Z +bit 73 C 1 * +bit 72 I ? IPAD28 +bit 71 I ? IPAD29 +bit 70 I ? P86 +bit 69 O ? P86 68 1 Z +bit 68 C 1 * +bit 67 I ? P85 +bit 66 O ? P85 65 1 Z +bit 65 C 1 * +bit 64 O ? * +bit 63 O ? * +bit 62 O 1 * +bit 61 I ? P84 +bit 60 O ? P84 59 1 Z +bit 59 C 1 * +bit 58 I ? P83 +bit 57 O ? P83 56 1 Z +bit 56 C 1 * +bit 55 O ? * +bit 54 O ? * +bit 53 O ? * +bit 52 O ? * +bit 51 O 1 * +bit 50 O ? * +bit 49 O ? * +bit 48 O 1 * +bit 47 O ? * +bit 46 O ? * +bit 45 O 1 * +bit 44 O ? * +bit 43 O ? * +bit 42 O 1 * +bit 41 O ? * +bit 40 O ? * +bit 39 O 1 * +bit 38 O ? * +bit 37 O ? * +bit 36 O ? * +bit 35 O ? * +bit 34 O 1 * +bit 33 O ? * +bit 32 O ? * +bit 31 O 1 * +bit 30 O ? * +bit 29 O ? * +bit 28 O ? * +bit 27 O 1 * +bit 26 O ? * +bit 25 O ? * +bit 24 O 1 * +bit 23 O ? * +bit 22 O ? * +bit 21 O 1 * +bit 20 O ? * +bit 19 O ? * +bit 18 O 1 * +bit 17 O ? * +bit 16 O ? * +bit 15 O 1 * +bit 14 O ? * +bit 13 O ? * +bit 12 O 1 * +bit 11 O ? * +bit 10 O ? * +bit 9 O 1 * +bit 8 O ? * +bit 7 O ? * +bit 6 I ? P79 +bit 5 O ? P79 4 1 Z +bit 4 C 1 * +bit 3 I ? P78 +bit 2 O ? P78 1 1 Z +bit 1 C 1 * +bit 0 O ? * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_vq100/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_vq100/STEPPINGS new file mode 100644 index 0000000..9ca320d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_vq100/STEPPINGS @@ -0,0 +1 @@ +0100 xc3s500e_vq100 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_vq100/xc3s500e_vq100 b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_vq100/xc3s500e_vq100 new file mode 100644 index 0000000..e2239e9 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc3s500e_vq100/xc3s500e_vq100 @@ -0,0 +1,701 @@ +signal VCCO_3(1) +signal VCCO_3(2) +signal VCCO_2(1) +signal VCCO_2(2) +signal VCCO_1(1) +signal VCCO_1(2) +signal VCCO_0(1) +signal VCCO_0(2) +signal VCCINT(1) +signal VCCINT(2) +signal VCCINT(3) +signal VCCINT(4) +signal VCCAUX(1) +signal VCCAUX(2) +signal VCCAUX(3) +signal VCCAUX(4) +signal TMS +signal TDO +signal TDI +signal TCK +signal PUDC_B +signal PROG_B +signal P98 +signal P95 +signal P94 +signal P92 +signal P91 +signal P90 +signal P9 +signal P86 +signal P85 +signal P84 +signal P83 +signal P79 +signal P78 +signal P71 +signal P70 +signal P68 +signal P67 +signal P66 +signal P65 +signal P63 +signal P62 +signal P61 +signal P60 +signal P58 +signal P57 +signal P54 +signal P53 +signal P50 +signal P5 +signal P49 +signal P48 +signal P47 +signal P44 +signal P43 +signal P42 +signal P41 +signal P40 +signal P4 +signal P36 +signal P35 +signal P34 +signal P33 +signal P32 +signal P3 +signal P27 +signal P26 +signal P25 +signal P24 +signal P23 +signal P22 +signal P2 +signal P18 +signal P17 +signal P16 +signal P15 +signal P12 +signal P11 +signal P10 +signal IPAD83 +signal IPAD29 +signal IPAD28 +signal IPAD204 +signal IPAD151 +signal IPAD145 +signal IPAD144 +signal GND(1) +signal GND(2) +signal GND(3) +signal GND(4) +signal GND(5) +signal GND(6) +signal GND(7) +signal GND(8) +signal GND(9) +signal GND(10) +signal GND(11) +signal GND(12) +signal DONE +instruction length 6 +register DIR 32 +register USERCODE 32 +register BSR 588 +register BYPASS 1 +instruction BYPASS 111111 BYPASS +instruction HIGHZ 001010 BYPASS +instruction IDCODE 001001 DIR +instruction USERCODE 001000 DIR +instruction INTEST 000111 BSR +instruction PRELOAD 000001 BSR +instruction SAMPLE/PRELOAD 000001 BSR +instruction EXTEST 001111 BSR +bit 587 O ? * +bit 586 O ? * +bit 585 O ? * +bit 584 O 1 * +bit 583 O ? * +bit 582 O ? * +bit 581 O 1 * +bit 580 O ? * +bit 579 O ? * +bit 578 O 1 * +bit 577 O ? * +bit 576 O ? * +bit 575 O 1 * +bit 574 O ? * +bit 573 O ? * +bit 572 O ? * +bit 571 O 1 * +bit 570 O ? * +bit 569 O ? * +bit 568 O 1 * +bit 567 O ? * +bit 566 O ? * +bit 565 O 1 * +bit 564 O ? * +bit 563 O ? * +bit 562 O ? * +bit 561 O 1 * +bit 560 O ? * +bit 559 O ? * +bit 558 O 1 * +bit 557 O ? * +bit 556 O ? * +bit 555 O 1 * +bit 554 O ? * +bit 553 O ? * +bit 552 O 1 * +bit 551 O ? * +bit 550 O ? * +bit 549 O ? * +bit 548 O 1 * +bit 547 O ? * +bit 546 O ? * +bit 545 O 1 * +bit 544 O ? * +bit 543 O ? * +bit 542 O 1 * +bit 541 O ? * +bit 540 O ? * +bit 539 O 1 * +bit 538 O ? * +bit 537 O ? * +bit 536 O ? * +bit 535 O 1 * +bit 534 O ? * +bit 533 O ? * +bit 532 O 1 * +bit 531 I ? P71 +bit 530 O ? P71 529 1 Z +bit 529 C 1 * +bit 528 I ? P70 +bit 527 O ? P70 526 1 Z +bit 526 C 1 * +bit 525 I ? IPAD83 +bit 524 I ? P68 +bit 523 O ? P68 522 1 Z +bit 522 C 1 * +bit 521 I ? P67 +bit 520 O ? P67 519 1 Z +bit 519 C 1 * +bit 518 I ? P66 +bit 517 O ? P66 516 1 Z +bit 516 C 1 * +bit 515 I ? P65 +bit 514 O ? P65 513 1 Z +bit 513 C 1 * +bit 512 O ? * +bit 511 I ? P63 +bit 510 O ? P63 509 1 Z +bit 509 C 1 * +bit 508 I ? P62 +bit 507 O ? P62 506 1 Z +bit 506 C 1 * +bit 505 I ? P61 +bit 504 O ? P61 503 1 Z +bit 503 C 1 * +bit 502 I ? P60 +bit 501 O ? P60 500 1 Z +bit 500 C 1 * +bit 499 O ? * +bit 498 O ? * +bit 497 O ? * +bit 496 O 1 * +bit 495 O ? * +bit 494 O ? * +bit 493 O 1 * +bit 492 I ? P58 +bit 491 O ? P58 490 1 Z +bit 490 C 1 * +bit 489 I ? P57 +bit 488 O ? P57 487 1 Z +bit 487 C 1 * +bit 486 O ? * +bit 485 O ? * +bit 484 O ? * +bit 483 O 1 * +bit 482 O ? * +bit 481 O ? * +bit 480 O 1 * +bit 479 O ? * +bit 478 O ? * +bit 477 O 1 * +bit 476 O ? * +bit 475 O ? * +bit 474 O 1 * +bit 473 O ? * +bit 472 O ? * +bit 471 O ? * +bit 470 O 1 * +bit 469 O ? * +bit 468 O ? * +bit 467 O 1 * +bit 466 O ? * +bit 465 O ? * +bit 464 O 1 * +bit 463 O ? * +bit 462 O ? * +bit 461 O 1 * +bit 460 O ? * +bit 459 O ? * +bit 458 O ? * +bit 457 O 1 * +bit 456 O ? * +bit 455 O ? * +bit 454 O 1 * +bit 453 O ? * +bit 452 O ? * +bit 451 O 1 * +bit 450 O ? * +bit 449 O ? * +bit 448 O ? * +bit 447 O 1 * +bit 446 O ? * +bit 445 O ? * +bit 444 O 1 * +bit 443 I ? P54 +bit 442 O ? P54 441 1 Z +bit 441 C 1 * +bit 440 I ? P53 +bit 439 O ? P53 438 1 Z +bit 438 C 1 * +bit 437 I ? DONE +bit 436 O ? DONE 435 1 Z +bit 435 C 1 * +bit 434 I ? P50 +bit 433 O ? P50 432 1 Z +bit 432 C 1 * +bit 431 I ? P49 +bit 430 O ? P49 429 1 Z +bit 429 C 1 * +bit 428 O ? * +bit 427 I ? P48 +bit 426 O ? P48 425 1 Z +bit 425 C 1 * +bit 424 I ? P47 +bit 423 O ? P47 422 1 Z +bit 422 C 1 * +bit 421 O ? * +bit 420 O ? * +bit 419 O 1 * +bit 418 O ? * +bit 417 O ? * +bit 416 O 1 * +bit 415 O ? * +bit 414 O ? * +bit 413 O 1 * +bit 412 O ? * +bit 411 O ? * +bit 410 O ? * +bit 409 O ? * +bit 408 O 1 * +bit 407 O ? * +bit 406 O ? * +bit 405 O 1 * +bit 404 O ? * +bit 403 O ? * +bit 402 O ? * +bit 401 O 1 * +bit 400 O ? * +bit 399 O ? * +bit 398 O 1 * +bit 397 O ? * +bit 396 O ? * +bit 395 O 1 * +bit 394 O ? * +bit 393 O ? * +bit 392 O 1 * +bit 391 O ? * +bit 390 O ? * +bit 389 O 1 * +bit 388 O ? * +bit 387 O ? * +bit 386 O 1 * +bit 385 O ? * +bit 384 O ? * +bit 383 O 1 * +bit 382 O ? * +bit 381 O ? * +bit 380 I ? P44 +bit 379 O ? P44 378 1 Z +bit 378 C 1 * +bit 377 I ? P43 +bit 376 O ? P43 375 1 Z +bit 375 C 1 * +bit 374 I ? P42 +bit 373 O ? P42 372 1 Z +bit 372 C 1 * +bit 371 I ? P41 +bit 370 O ? P41 369 1 Z +bit 369 C 1 * +bit 368 I ? P40 +bit 367 O ? P40 366 1 Z +bit 366 C 1 * +bit 365 I ? IPAD144 +bit 364 I ? IPAD145 +bit 363 I ? P36 +bit 362 O ? P36 361 1 Z +bit 361 C 1 * +bit 360 I ? P35 +bit 359 O ? P35 358 1 Z +bit 358 C 1 * +bit 357 I ? P34 +bit 356 O ? P34 355 1 Z +bit 355 C 1 * +bit 354 I ? P33 +bit 353 O ? P33 352 1 Z +bit 352 C 1 * +bit 351 I ? P32 +bit 350 O ? P32 349 1 Z +bit 349 C 1 * +bit 348 I ? IPAD151 +bit 347 O ? * +bit 346 O ? * +bit 345 O ? * +bit 344 O 1 * +bit 343 O ? * +bit 342 O ? * +bit 341 O 1 * +bit 340 O ? * +bit 339 O ? * +bit 338 O 1 * +bit 337 O ? * +bit 336 O ? * +bit 335 O 1 * +bit 334 O ? * +bit 333 O ? * +bit 332 O 1 * +bit 331 O ? * +bit 330 O ? * +bit 329 O ? * +bit 328 O ? * +bit 327 O 1 * +bit 326 O ? * +bit 325 O ? * +bit 324 O 1 * +bit 323 O ? * +bit 322 O ? * +bit 321 O ? * +bit 320 O 1 * +bit 319 O ? * +bit 318 O ? * +bit 317 O 1 * +bit 316 O ? * +bit 315 O ? * +bit 314 O 1 * +bit 313 O ? * +bit 312 O ? * +bit 311 O 1 * +bit 310 O ? * +bit 309 O ? * +bit 308 O 1 * +bit 307 I ? P27 +bit 306 O ? P27 305 1 Z +bit 305 C 1 * +bit 304 I ? P26 +bit 303 O ? P26 302 1 Z +bit 302 C 1 * +bit 301 O ? * +bit 300 O ? * +bit 299 I ? P25 +bit 298 O ? P25 297 1 Z +bit 297 C 1 * +bit 296 I ? P24 +bit 295 O ? P24 294 1 Z +bit 294 C 1 * +bit 293 O ? * +bit 292 O ? * +bit 291 I ? P23 +bit 290 O ? P23 289 1 Z +bit 289 C 1 * +bit 288 I ? P22 +bit 287 O ? P22 286 1 Z +bit 286 C 1 * +bit 285 O ? * +bit 284 O ? * +bit 283 O 1 * +bit 282 O ? * +bit 281 O ? * +bit 280 O 1 * +bit 279 O ? * +bit 278 O ? * +bit 277 O ? * +bit 276 O 1 * +bit 275 O ? * +bit 274 O ? * +bit 273 O 1 * +bit 272 O ? * +bit 271 O ? * +bit 270 O 1 * +bit 269 O ? * +bit 268 O ? * +bit 267 O ? * +bit 266 O 1 * +bit 265 O ? * +bit 264 O ? * +bit 263 O 1 * +bit 262 O ? * +bit 261 O ? * +bit 260 O 1 * +bit 259 O ? * +bit 258 O ? * +bit 257 O 1 * +bit 256 O ? * +bit 255 O ? * +bit 254 O ? * +bit 253 O 1 * +bit 252 O ? * +bit 251 O ? * +bit 250 O 1 * +bit 249 O ? * +bit 248 O ? * +bit 247 O 1 * +bit 246 O ? * +bit 245 O ? * +bit 244 O 1 * +bit 243 O ? * +bit 242 O ? * +bit 241 O ? * +bit 240 O 1 * +bit 239 O ? * +bit 238 O ? * +bit 237 O 1 * +bit 236 O ? * +bit 235 O ? * +bit 234 O 1 * +bit 233 O ? * +bit 232 O ? * +bit 231 O 1 * +bit 230 O ? * +bit 229 I ? P18 +bit 228 O ? P18 227 1 Z +bit 227 C 1 * +bit 226 I ? P17 +bit 225 O ? P17 224 1 Z +bit 224 C 1 * +bit 223 I ? P16 +bit 222 O ? P16 221 1 Z +bit 221 C 1 * +bit 220 I ? P15 +bit 219 O ? P15 218 1 Z +bit 218 C 1 * +bit 217 I ? IPAD204 +bit 216 I ? P12 +bit 215 O ? P12 214 1 Z +bit 214 C 1 * +bit 213 I ? P11 +bit 212 O ? P11 211 1 Z +bit 211 C 1 * +bit 210 I ? P10 +bit 209 O ? P10 208 1 Z +bit 208 C 1 * +bit 207 I ? P9 +bit 206 O ? P9 205 1 Z +bit 205 C 1 * +bit 204 O ? * +bit 203 O ? * +bit 202 O ? * +bit 201 O 1 * +bit 200 O ? * +bit 199 O ? * +bit 198 O 1 * +bit 197 O ? * +bit 196 O ? * +bit 195 O 1 * +bit 194 O ? * +bit 193 O ? * +bit 192 O 1 * +bit 191 O ? * +bit 190 O ? * +bit 189 O ? * +bit 188 O 1 * +bit 187 O ? * +bit 186 O ? * +bit 185 O 1 * +bit 184 O ? * +bit 183 O ? * +bit 182 O 1 * +bit 181 O ? * +bit 180 O ? * +bit 179 O 1 * +bit 178 O ? * +bit 177 O ? * +bit 176 O ? * +bit 175 O 1 * +bit 174 O ? * +bit 173 O ? * +bit 172 O 1 * +bit 171 O ? * +bit 170 O ? * +bit 169 O 1 * +bit 168 O ? * +bit 167 O ? * +bit 166 O 1 * +bit 165 O ? * +bit 164 O ? * +bit 163 O ? * +bit 162 O 1 * +bit 161 O ? * +bit 160 O ? * +bit 159 O 1 * +bit 158 O ? * +bit 157 O ? * +bit 156 O 1 * +bit 155 O ? * +bit 154 I ? P5 +bit 153 O ? P5 152 1 Z +bit 152 C 1 * +bit 151 I ? P4 +bit 150 O ? P4 149 1 Z +bit 149 C 1 * +bit 148 I ? P3 +bit 147 O ? P3 146 1 Z +bit 146 C 1 * +bit 145 I ? P2 +bit 144 O ? P2 143 1 Z +bit 143 C 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 I ? P98 +bit 137 O ? P98 136 1 Z +bit 136 C 1 * +bit 135 O ? * +bit 134 O ? * +bit 133 O ? * +bit 132 O 1 * +bit 131 O ? * +bit 130 O ? * +bit 129 O 1 * +bit 128 O ? * +bit 127 O ? * +bit 126 O 1 * +bit 125 O ? * +bit 124 O ? * +bit 123 O 1 * +bit 122 O ? * +bit 121 O ? * +bit 120 O 1 * +bit 119 O ? * +bit 118 O ? * +bit 117 O ? * +bit 116 O ? * +bit 115 O 1 * +bit 114 O ? * +bit 113 O ? * +bit 112 O 1 * +bit 111 O ? * +bit 110 O ? * +bit 109 O ? * +bit 108 O 1 * +bit 107 O ? * +bit 106 O ? * +bit 105 O 1 * +bit 104 I ? P95 +bit 103 O ? P95 102 1 Z +bit 102 C 1 * +bit 101 I ? P94 +bit 100 O ? P94 99 1 Z +bit 99 C 1 * +bit 98 O ? * +bit 97 O ? * +bit 96 O 1 * +bit 95 O ? * +bit 94 O ? * +bit 93 O 1 * +bit 92 O ? * +bit 91 O ? * +bit 90 O 1 * +bit 89 O ? * +bit 88 O ? * +bit 87 O ? * +bit 86 O ? * +bit 85 O 1 * +bit 84 O ? * +bit 83 O ? * +bit 82 O 1 * +bit 81 I ? P92 +bit 80 O ? P92 79 1 Z +bit 79 C 1 * +bit 78 I ? P91 +bit 77 O ? P91 76 1 Z +bit 76 C 1 * +bit 75 I ? P90 +bit 74 O ? P90 73 1 Z +bit 73 C 1 * +bit 72 I ? IPAD28 +bit 71 I ? IPAD29 +bit 70 I ? P86 +bit 69 O ? P86 68 1 Z +bit 68 C 1 * +bit 67 I ? P85 +bit 66 O ? P85 65 1 Z +bit 65 C 1 * +bit 64 O ? * +bit 63 O ? * +bit 62 O 1 * +bit 61 I ? P84 +bit 60 O ? P84 59 1 Z +bit 59 C 1 * +bit 58 I ? P83 +bit 57 O ? P83 56 1 Z +bit 56 C 1 * +bit 55 O ? * +bit 54 O ? * +bit 53 O ? * +bit 52 O ? * +bit 51 O 1 * +bit 50 O ? * +bit 49 O ? * +bit 48 O 1 * +bit 47 O ? * +bit 46 O ? * +bit 45 O 1 * +bit 44 O ? * +bit 43 O ? * +bit 42 O 1 * +bit 41 O ? * +bit 40 O ? * +bit 39 O 1 * +bit 38 O ? * +bit 37 O ? * +bit 36 O ? * +bit 35 O ? * +bit 34 O 1 * +bit 33 O ? * +bit 32 O ? * +bit 31 O 1 * +bit 30 O ? * +bit 29 O ? * +bit 28 O ? * +bit 27 O 1 * +bit 26 O ? * +bit 25 O ? * +bit 24 O 1 * +bit 23 O ? * +bit 22 O ? * +bit 21 O 1 * +bit 20 O ? * +bit 19 O ? * +bit 18 O 1 * +bit 17 O ? * +bit 16 O ? * +bit 15 O 1 * +bit 14 O ? * +bit 13 O ? * +bit 12 O 1 * +bit 11 O ? * +bit 10 O ? * +bit 9 O 1 * +bit 8 O ? * +bit 7 O ? * +bit 6 I ? P79 +bit 5 O ? P79 4 1 Z +bit 4 C 1 * +bit 3 I ? P78 +bit 2 O ? P78 1 1 Z +bit 1 C 1 * +bit 0 O ? * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc9572xl_vq44/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xc9572xl_vq44/STEPPINGS new file mode 100644 index 0000000..7b24e34 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc9572xl_vq44/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS,v 1.1 2003/02/14 11:14:56 telka Exp $ +# +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Alessandro Zummo , 2003. +# DJF:w + +# bits 31-28 of the Device Identification Register +0100 xc9572xl_vq44 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xc9572xl_vq44/xc9572xl_vq44 b/sie_fs/usr/local/share/urjtag/xilinx/xc9572xl_vq44/xc9572xl_vq44 new file mode 100644 index 0000000..b373cd9 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xc9572xl_vq44/xc9572xl_vq44 @@ -0,0 +1,271 @@ +signal PB00_01 +signal PB00_04 +signal PB00_05 +signal PB00_07 +signal PB00_08 +signal PB00_10 +signal PB00_13 +signal PB00_14 +signal PB00_16 +signal PB01_01 +signal PB01_04 +signal PB01_05 +signal PB01_07 +signal PB01_08 +signal PB01_10 +signal PB01_13 +signal PB01_14 +signal PB01_16 +signal PB02_01 +signal PB02_04 +signal PB02_07 +signal PB02_08 +signal PB02_10 +signal PB02_13 +signal PB02_14 +signal PB02_15 +signal PB02_16 +signal PB03_01 +signal PB03_04 +signal PB03_07 +signal PB03_10 +signal PB03_13 +signal PB03_14 +signal PB03_16 +signal TCK +signal TDI +signal TDO +signal TMS +signal Vccint_1 +signal VccInt_Vpp +signal Vccio +signal Vssint_2 +signal Vssio_1 + +register BSR 216 +register BR 1 +register DIR 32 + +instruction length 8 + +instruction BYPASS 11111111 BR +instruction EXTEST 00000000 BSR +instruction IDCODE 11111110 DIR +instruction SAMPLE/PRELOAD 00000001 BSR + +bit 215 O 1 * +bit 214 O 1 * +bit 213 O 1 * +bit 212 I 1 PB00_01 +bit 211 O 1 PB00_01 210 0 Z +bit 210 C 0 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 O 1 * +bit 205 O 1 * +bit 204 O 1 * +bit 203 I 1 PB00_04 +bit 202 O 1 PB00_04 201 0 Z +bit 201 C 0 * +bit 200 I 1 PB00_05 +bit 199 O 1 PB00_05 198 0 Z +bit 198 C 0 * +bit 197 O 1 * +bit 196 O 1 * +bit 195 O 1 * +bit 194 I 1 PB00_07 +bit 193 O 1 PB00_07 192 0 Z +bit 192 C 0 * +bit 191 I 1 PB00_08 +bit 190 O 1 PB00_08 189 0 Z +bit 189 C 0 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 I 1 PB00_10 +bit 184 O 1 PB00_10 183 0 Z +bit 183 C 0 * +bit 182 O 1 * +bit 181 O 1 * +bit 180 O 1 * +bit 179 O 1 * +bit 178 O 1 * +bit 177 O 1 * +bit 176 I 1 PB00_13 +bit 175 O 1 PB00_13 174 0 Z +bit 174 C 0 * +bit 173 I 1 PB00_14 +bit 172 O 1 PB00_14 171 0 Z +bit 171 C 0 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 I 1 PB00_16 +bit 166 O 1 PB00_16 165 0 Z +bit 165 C 0 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 O 1 * +bit 159 O 1 * +bit 158 I 1 PB01_01 +bit 157 O 1 PB01_01 156 0 Z +bit 156 C 0 * +bit 155 O 1 * +bit 154 O 1 * +bit 153 O 1 * +bit 152 O 1 * +bit 151 O 1 * +bit 150 O 1 * +bit 149 I 1 PB01_04 +bit 148 O 1 PB01_04 147 0 Z +bit 147 C 0 * +bit 146 I 1 PB01_05 +bit 145 O 1 PB01_05 144 0 Z +bit 144 C 0 * +bit 143 O 1 * +bit 142 O 1 * +bit 141 O 1 * +bit 140 I 1 PB01_07 +bit 139 O 1 PB01_07 138 0 Z +bit 138 C 0 * +bit 137 I 1 PB01_08 +bit 136 O 1 PB01_08 135 0 Z +bit 135 C 0 * +bit 134 O 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 I 1 PB01_10 +bit 130 O 1 PB01_10 129 0 Z +bit 129 C 0 * +bit 128 O 1 * +bit 127 O 1 * +bit 126 O 1 * +bit 125 O 1 * +bit 124 O 1 * +bit 123 O 1 * +bit 122 I 1 PB01_13 +bit 121 O 1 PB01_13 120 0 Z +bit 120 C 0 * +bit 119 I 1 PB01_14 +bit 118 O 1 PB01_14 117 0 Z +bit 117 C 0 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 I 1 PB01_16 +bit 112 O 1 PB01_16 111 0 Z +bit 111 C 0 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 I 1 PB02_01 +bit 103 O 1 PB02_01 102 0 Z +bit 102 C 0 * +bit 101 O 1 * +bit 100 O 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 I 1 PB02_04 +bit 94 O 1 PB02_04 93 0 Z +bit 93 C 0 * +bit 92 O 1 * +bit 91 O 1 * +bit 90 O 1 * +bit 89 O 1 * +bit 88 O 1 * +bit 87 O 1 * +bit 86 I 1 PB02_07 +bit 85 O 1 PB02_07 84 0 Z +bit 84 C 0 * +bit 83 I 1 PB02_08 +bit 82 O 1 PB02_08 81 0 Z +bit 81 C 0 * +bit 80 O 1 * +bit 79 O 1 * +bit 78 O 1 * +bit 77 I 1 PB02_10 +bit 76 O 1 PB02_10 75 0 Z +bit 75 C 0 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 I 1 PB02_13 +bit 67 O 1 PB02_13 66 0 Z +bit 66 C 0 * +bit 65 I 1 PB02_14 +bit 64 O 1 PB02_14 63 0 Z +bit 63 C 0 * +bit 62 I 1 PB02_15 +bit 61 O 1 PB02_15 60 0 Z +bit 60 C 0 * +bit 59 I 1 PB02_16 +bit 58 O 1 PB02_16 57 0 Z +bit 57 C 0 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 I 1 PB03_01 +bit 49 O 1 PB03_01 48 0 Z +bit 48 C 0 * +bit 47 O 1 * +bit 46 O 1 * +bit 45 O 1 * +bit 44 O 1 * +bit 43 O 1 * +bit 42 O 1 * +bit 41 I 1 PB03_04 +bit 40 O 1 PB03_04 39 0 Z +bit 39 C 0 * +bit 38 O 1 * +bit 37 O 1 * +bit 36 O 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 I 1 PB03_07 +bit 31 O 1 PB03_07 30 0 Z +bit 30 C 0 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 I 1 PB03_10 +bit 22 O 1 PB03_10 21 0 Z +bit 21 C 0 * +bit 20 O 1 * +bit 19 O 1 * +bit 18 O 1 * +bit 17 O 1 * +bit 16 O 1 * +bit 15 O 1 * +bit 14 I 1 PB03_13 +bit 13 O 1 PB03_13 12 0 Z +bit 12 C 0 * +bit 11 I 1 PB03_14 +bit 10 O 1 PB03_14 9 0 Z +bit 9 C 0 * +bit 8 O 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 I 1 PB03_16 +bit 4 O 1 PB03_16 3 0 Z +bit 3 C 0 * +bit 2 O 1 * +bit 1 O 1 * +bit 0 O 1 * diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcf04s/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xcf04s/STEPPINGS new file mode 100644 index 0000000..1ebc973 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcf04s/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS,v 1.1 2003/02/14 11:14:56 telka Exp $ +# +# Copyright (C) 2003 Tower Technologies s.r.l. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Jerome Debard , 2005. +# + +# bits 31-28 of the Device Identification Register +0000 xcf04s 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcf04s/xcf04s b/sie_fs/usr/local/share/urjtag/xilinx/xcf04s/xcf04s new file mode 100644 index 0000000..d81e4c0 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcf04s/xcf04s @@ -0,0 +1,123 @@ +# +# JTAG declarations for XCF04s +# Copyright (C) 2005 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Jerome Debard , 2005. +# + +signal D0 1 +signal NC1 2 +signal CLK 3 +signal TDI 4 +signal TMS 5 +signal TCK 6 +signal CF 7 +signal OE_RESET 8 +signal NC2 9 +signal CE 10 +signal GND 11 +signal NC3 12 +signal CEO 13 +signal NC4 14 +signal NC5 15 +signal NC6 16 +signal TDO 17 +signal VCC 18 +signal VCCO 19 +signal VCCAUX 20 + + + +# mandatory data registers +register BSR 25 +register BR 1 + +# optional data registers +register UCR 32 + +# user-defined registers + + +# instructions +instruction length 8 + +# mandatory instructions +instruction EXTEST 00000000 BSR +instruction SAMPLE/PRELOAD 00000001 BSR +instruction BYPASS 11111111 BR +#instruction INTEST ???????? BSR +instruction IDCODE 11111110 UCR +instruction USERCODE 11111101 UCR +instruction HIGHZ 11111100 BR +instruction CLAMP 11111010 BR + +# user-defined instructions +#instruction ISPEN 11101000 +#instruction ISPENC 11101001 +#instruction FPGM 11101010 +#instruction FADDR 11101011 +#instruction FVFY0 11101111 +#instruction FVFY1 11111000 +#instruction FVFY3 11100010 +#instruction FVFY6 11100110 +#instruction FERASE 11101100 +#instruction SERASE 00001010 +#instruction FDATA0 11101101 +#instruction FDATA3 11110011 +#instruction FBLANK0 11100101 +#instruction FBLANK3 11100001 +#instruction FBLANK6 11100100 +#instruction NORMRST 11110000 +#instruction CONFIG 11101110 +#instruction priv1 11110001 +#instruction ISCTESTSTATUS 11100011 +#instruction priv3 11100111 +#instruction priv4 11110110 +#instruction priv5 11100000 +#instruction priv6 11110111 +#instruction priv7 11110010 +#instruction ISCCLRSTATUS 11110100 +#instruction priv9 11110101 + + +# BSR description +bit 0 I ? CLK +bit 1 X ? . +bit 2 X ? . +bit 3 C ? . +bit 4 O ? D0 3 0 Z +bit 5 X ? . +bit 6 X ? . +bit 7 X ? . +bit 8 X ? . +bit 9 X ? . +bit 10 X ? . +bit 11 C ? . +bit 12 O ? CEO 11 0 Z +bit 13 X ? . +bit 14 X ? . +bit 15 I ? CE +bit 16 X ? . +bit 17 X ? . +bit 18 C ? . +bit 19 O ? OE_RESET 18 0 Z +bit 20 I ? OE_RESET +bit 21 C ? . +bit 22 O ? CF 21 0 Z +bit 23 X ? . +bit 24 X ? . diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcr3032xl-vq44/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xcr3032xl-vq44/STEPPINGS new file mode 100644 index 0000000..d2c32b8 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcr3032xl-vq44/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id: STEPPINGS 607 2004-08-17 22:43:44Z telka $ +# +# Copyright (C) 2003 Jachym Holecek +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# + +# bits 31-28 of the Device Identification Register +0000 xcr3032xl-vq44 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcr3032xl-vq44/xcr3032xl-vq44 b/sie_fs/usr/local/share/urjtag/xilinx/xcr3032xl-vq44/xcr3032xl-vq44 new file mode 100644 index 0000000..22ba7ab --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcr3032xl-vq44/xcr3032xl-vq44 @@ -0,0 +1,218 @@ +# +# $Id: xcr3032xl-vq44 607 2004-08-17 22:43:44Z telka $ +# +# JTAG declarations for XCR3032XL-VQ44 +# Copyright (C) 2004 RightHand Technologies, Inc. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Andrew Dyer , 2004 +# +# Documentation: +# [1] Xilinx Inc., "CoolRunner XPLA3 Preliminary Product Specification" +# DS012 (v1.7) June 23, 2003 +# [2] Xilinx Inc., "BSDL file for device XCR3032, package VQ44 +# Revision: 1.2", 2002-01-03 +# [3] Xilinx Inc., "XCR3032XL 32 Macrocell CPLD Preliminary Product Specification" +# DS023 (v1.8) August 15, 2003 +# +signal tck +signal tdi +signal tdo +signal tms +signal CLK0_IN0 +signal CLK1_IN1 +signal CLK2_IN2 +signal CLK3_IN3 +signal A0 +signal A1 +signal A2 +signal A4 +signal A5 +signal A6 +signal A7 +signal A9 +signal A10 +signal A11 +signal A12 +signal A13 +signal A14 +signal A15 +signal B0 +signal B1 +signal B2 +signal B4 +signal B5 +signal B6 +signal B7 +signal B9 +signal B10 +signal B11 +signal B12 +signal B13 +signal B14 +signal B15 +signal VDDE1 +signal VDDE2 +signal VDDI1 +signal VDDI2 +signal GND1 +signal GND2 +signal GND3 + +register BSR 132 +register BR 1 +register DIR 32 + +instruction length 5 + +instruction BYPASS 11111 BR +instruction SAMPLE/PRELOAD 00010 BSR +instruction EXTEST 00000 BSR +instruction IDCODE 00001 DIR + +bit 131 O 1 * +bit 130 I 1 A0 +bit 129 O 1 A0 128 0 Z +bit 128 C 1 * +bit 127 O 1 * +bit 126 I 1 A1 +bit 125 O 1 A1 124 0 Z +bit 124 C 1 * +bit 123 O 1 * +bit 122 I 1 A2 +bit 121 O 1 A2 120 0 Z +bit 120 C 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 I 1 A4 +bit 113 O 1 A4 112 0 Z +bit 112 C 1 * +bit 111 O 1 * +bit 110 I 1 A5 +bit 109 O 1 A5 108 0 Z +bit 108 C 1 * +bit 107 O 1 * +bit 106 I 1 A6 +bit 105 O 1 A6 104 0 Z +bit 104 C 1 * +bit 103 O 1 * +bit 102 I 1 A7 +bit 101 O 1 A7 100 0 Z +bit 100 C 1 * +bit 99 O 1 * +bit 98 O 1 * +bit 97 O 1 * +bit 96 O 1 * +bit 95 O 1 * +bit 94 I 1 A9 +bit 93 O 1 A9 92 0 Z +bit 92 C 1 * +bit 91 O 1 * +bit 90 I 1 A10 +bit 89 O 1 A10 88 0 Z +bit 88 C 1 * +bit 87 O 1 * +bit 86 I 1 A11 +bit 85 O 1 A11 84 0 Z +bit 84 C 1 * +bit 83 O 1 * +bit 82 I 1 A12 +bit 81 O 1 A12 80 0 Z +bit 80 C 1 * +bit 79 O 1 * +bit 78 I 1 A13 +bit 77 O 1 A13 76 0 Z +bit 76 C 1 * +bit 75 O 1 * +bit 74 I 1 A14 +bit 73 O 1 A14 72 0 Z +bit 72 C 1 * +bit 71 O 1 * +bit 70 I 1 A15 +bit 69 O 1 A15 68 0 Z +bit 68 C 1 * +bit 67 O 1 * +bit 66 I 1 B0 +bit 65 O 1 B0 64 0 Z +bit 64 C 1 * +bit 63 O 1 * +bit 62 I 1 B1 +bit 61 O 1 B1 60 0 Z +bit 60 C 1 * +bit 59 O 1 * +bit 58 I 1 B2 +bit 57 O 1 B2 56 0 Z +bit 56 C 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 I 1 B4 +bit 49 O 1 B4 48 0 Z +bit 48 C 1 * +bit 47 O 1 * +bit 46 I 1 B5 +bit 45 O 1 B5 44 0 Z +bit 44 C 1 * +bit 43 O 1 * +bit 42 I 1 B6 +bit 41 O 1 B6 40 0 Z +bit 40 C 1 * +bit 39 O 1 * +bit 38 I 1 B7 +bit 37 O 1 B7 36 0 Z +bit 36 C 1 * +bit 35 O 1 * +bit 34 O 1 * +bit 33 O 1 * +bit 32 O 1 * +bit 31 O 1 * +bit 30 I 1 B9 +bit 29 O 1 B9 28 0 Z +bit 28 C 1 * +bit 27 O 1 * +bit 26 I 1 B10 +bit 25 O 1 B10 24 0 Z +bit 24 C 1 * +bit 23 O 1 * +bit 22 I 1 B11 +bit 21 O 1 B11 20 0 Z +bit 20 C 1 * +bit 19 O 1 * +bit 18 I 1 B12 +bit 17 O 1 B12 16 0 Z +bit 16 C 1 * +bit 15 O 1 * +bit 14 I 1 B13 +bit 13 O 1 B13 12 0 Z +bit 12 C 1 * +bit 11 O 1 * +bit 10 I 1 B14 +bit 9 O 1 B14 8 0 Z +bit 8 C 1 * +bit 7 O 1 * +bit 6 I 1 B15 +bit 5 O 1 B15 4 0 Z +bit 4 C 1 * +bit 3 I 1 CLK0_IN0 +bit 2 I 1 CLK1_IN1 +bit 1 I 1 CLK2_IN2 +bit 0 I 1 CLK3_IN3 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-cs144/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-cs144/STEPPINGS new file mode 100644 index 0000000..8c00de2 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-cs144/STEPPINGS @@ -0,0 +1,25 @@ +# +# $Id: STEPPINGS 258 2002-11-05 13:34:10Z telka $ +# +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# + +# bits 31-28 of the Device Identification Register +0000 xcr3128xl-cs144 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-cs144/xcr3128xl-cs144 b/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-cs144/xcr3128xl-cs144 new file mode 100644 index 0000000..ff8002d --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-cs144/xcr3128xl-cs144 @@ -0,0 +1,617 @@ +# +# $Id: xcr3128xl-cs144 504 2003-08-13 09:24:36Z telka $ +# +# JTAG declarations for XCR3128XL-CS144 +# Copyright (C) 2002 ETC s.r.o. +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Marcel Telka , 2002. +# +# Documentation: +# [1] Xilinx Inc., "CoolRunner XPLA3 CPLD Advance Product Specification", +# DS012 (v1.5) January 7, 2002 +# [2] Xilinx Inc., "BSDL file for device xcr3128xl, package cs144 +# Revision 1.3", 2002-01-03 +# [3] Xilinx Inc., "XCR3128XL 128 Macrocell CPLD Preliminary Product +# Specification", DS016 (v1.8) January 8, 2002 +# + +# 8 function blocks (A to H) by 16 macrocells (0 to 15) +# see Table 3 in [3] and Table 4 in [3] +signal A0 B12 +signal A2 D12 +signal A3 D13 +signal A4 E10 +signal A5 E11 +signal A6 E12 +signal A10 E13 +signal A11 F10 +signal A12 F12 +signal A13 F13 +signal A14 G10 +signal A15 G11 +signal B0 A13 +signal B1 A12 +signal B2 B11 +signal B3 A11 +signal B4 D10 +signal B5 C10 +signal B6 B10 +signal B10 D9 +signal B11 C9 +signal B12 B9 +signal B13 A9 +signal B14 D8 +signal B15 C8 +signal C0 G13 +signal C2 H13 +signal C3 H12 +signal C4 H11 +signal C5 J13 +signal C6 J12 +signal C10 J11 +signal C11 J10 +signal C12 K13 +signal C13 K12 +signal C14 K11 +signal C15 K10 +signal D0 M8 +signal D1 L8 +signal D2 K8 +signal D3 N9 +signal D4 L9 +signal D5 K9 +signal D6 N10 +signal D10 M10 +signal D11 L10 +signal D12 N11 +signal D13 M11 +signal D14 L11 +signal D15 M12 +signal E0 A1 +signal E1 A2 +signal E2 C3 +signal E3 B3 +signal E4 A3 +signal E5 C4 +signal E6 B4 +signal E10 A4 +signal E11 D5 +signal E12 B5 +signal E13 A5 +signal E14 D6 +signal E15 C6 +signal F0 B1 +signal F2 D1 +signal F3 E4 +signal F4 E3 +signal F5 E2 +signal F6 E1 +signal F10 F4 +signal F11 F3 +signal F12 F2 +signal F13 G2 +signal F14 G1 +signal F15 G3 +signal G0 N7 +signal G1 M7 +signal G2 N6 +signal G3 M6 +signal G4 M5 +signal G5 L5 +signal G6 K5 +signal G10 N4 +signal G11 M4 +signal G12 L4 +signal G13 K4 +signal G14 N3 +signal G15 M3 +signal GND A6 A8 C5 C13 D3 G4 H10 L6 L7 M9 N2 N8 +signal H0 H1 +signal H2 H3 +signal H3 H4 +signal H4 J1 +signal H5 J3 +signal H6 J4 +signal H10 K1 +signal H11 K2 +signal H12 K3 +signal H13 L1 +signal H14 M2 +signal H15 N1 +signal IN0_CLK0 D7 +signal IN1_CLK1 C7 +signal IN2_CLK2 A7 +signal IN3_CLK3 B7 +signal PORT_EN F1 +signal TCK G12 +signal TDI D2 +signal TDO D11 +signal TMS H2 +signal Vcc A10 B2 B6 B8 D4 F11 J2 K6 K7 L13 N5 N12 +signal N/C B13 C1 C2 C11 C12 L2 L3 L12 M1 M13 N13 + +# mandatory data registers +register BSR 444 # see [2] +register BR 1 +# optional data registers +register DIR 32 +# user-defined registers +register ISPSR 274 # ISP (In-System Programming) Shift Register, see [2] + +# instructions - see [2] +instruction length 5 +# mandatory instructions - see Table 3 in [1] +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 00010 BSR +instruction BYPASS 11111 BR +# optional instructions - see Table 3 in [1] +instruction IDCODE 00001 DIR +instruction HIGHZ 00101 BR +instruction INTEST 00011 BSR +# user-defined instructions - see Table 5 in [1] +instruction ENABLE 01001 ISPSR +instruction ERASE 01010 ISPSR +instruction PROGRAM 01011 ISPSR +instruction DISABLE 10000 ISPSR +instruction VERIFY 01100 ISPSR + +# see [2] +bit 443 X ? . +bit 442 I ? A0 +bit 441 O ? A0 440 0 Z +bit 440 C ? A0 +bit 439 X ? . +bit 438 X ? . +bit 437 X ? . +bit 436 X ? . +bit 435 X ? . +bit 434 I ? A2 +bit 433 O ? A2 432 0 Z +bit 432 C ? A2 +bit 431 X ? . +bit 430 I ? A3 +bit 429 O ? A3 428 0 Z +bit 428 C ? A3 +bit 427 X ? . +bit 426 I ? A4 +bit 425 O ? A4 424 0 Z +bit 424 C ? A4 +bit 423 X ? . +bit 422 I ? A5 +bit 421 O ? A5 420 0 Z +bit 420 C ? A5 +bit 419 X ? . +bit 418 I ? A6 +bit 417 O ? A6 416 0 Z +bit 416 C ? A6 +bit 415 X ? . +bit 414 X ? . +bit 413 X ? . +bit 412 X ? . +bit 411 I ? A10 +bit 410 O ? A10 409 0 Z +bit 409 C ? A10 +bit 408 X ? . +bit 407 I ? A11 +bit 406 O ? A11 405 0 Z +bit 405 C ? A11 +bit 404 X ? . +bit 403 I ? A12 +bit 402 O ? A12 401 0 Z +bit 401 C ? A12 +bit 400 X ? . +bit 399 I ? A13 +bit 398 O ? A13 397 0 Z +bit 397 C ? A13 +bit 396 X ? . +bit 395 I ? A14 +bit 394 O ? A14 393 0 Z +bit 393 C ? A14 +bit 392 X ? . +bit 391 I ? A15 +bit 390 O ? A15 389 0 Z +bit 389 C ? A15 +bit 388 X ? . +bit 387 I ? C0 +bit 386 O ? C0 385 0 Z +bit 385 C ? C0 +bit 384 X ? . +bit 383 X ? . +bit 382 X ? . +bit 381 X ? . +bit 380 X ? . +bit 379 I ? C2 +bit 378 O ? C2 377 0 Z +bit 377 C ? C2 +bit 376 X ? . +bit 375 I ? C3 +bit 374 O ? C3 373 0 Z +bit 373 C ? C3 +bit 372 X ? . +bit 371 I ? C4 +bit 370 O ? C4 369 0 Z +bit 369 C ? C4 +bit 368 X ? . +bit 367 I ? C5 +bit 366 O ? C5 365 0 Z +bit 365 C ? C5 +bit 364 X ? . +bit 363 I ? C6 +bit 362 O ? C6 361 0 Z +bit 361 C ? C6 +bit 360 X ? . +bit 359 X ? . +bit 358 X ? . +bit 357 X ? . +bit 356 I ? C10 +bit 355 O ? C10 354 0 Z +bit 354 C ? C10 +bit 353 X ? . +bit 352 I ? C11 +bit 351 O ? C11 350 0 Z +bit 350 C ? C11 +bit 349 X ? . +bit 348 I ? C12 +bit 347 O ? C12 346 0 Z +bit 346 C ? C12 +bit 345 X ? . +bit 344 I ? C13 +bit 343 O ? C13 342 0 Z +bit 342 C ? C13 +bit 341 X ? . +bit 340 I ? C14 +bit 339 O ? C14 338 0 Z +bit 338 C ? C14 +bit 337 X ? . +bit 336 I ? C15 +bit 335 O ? C15 334 0 Z +bit 334 C ? C15 +bit 333 X ? . +bit 332 I ? B0 +bit 331 O ? B0 330 0 Z +bit 330 C ? B0 +bit 329 X ? . +bit 328 I ? B1 +bit 327 O ? B1 326 0 Z +bit 326 C ? B1 +bit 325 X ? . +bit 324 I ? B2 +bit 323 O ? B2 322 0 Z +bit 322 C ? B2 +bit 321 X ? . +bit 320 I ? B3 +bit 319 O ? B3 318 0 Z +bit 318 C ? B3 +bit 317 X ? . +bit 316 I ? B4 +bit 315 O ? B4 314 0 Z +bit 314 C ? B4 +bit 313 X ? . +bit 312 I ? B5 +bit 311 O ? B5 310 0 Z +bit 310 C ? B5 +bit 309 X ? . +bit 308 I ? B6 +bit 307 O ? B6 306 0 Z +bit 306 C ? B6 +bit 305 X ? . +bit 304 X ? . +bit 303 X ? . +bit 302 X ? . +bit 301 I ? B10 +bit 300 O ? B10 299 0 Z +bit 299 C ? B10 +bit 298 X ? . +bit 297 I ? B11 +bit 296 O ? B11 295 0 Z +bit 295 C ? B11 +bit 294 X ? . +bit 293 I ? B12 +bit 292 O ? B12 291 0 Z +bit 291 C ? B12 +bit 290 X ? . +bit 289 I ? B13 +bit 288 O ? B13 287 0 Z +bit 287 C ? B13 +bit 286 X ? . +bit 285 I ? B14 +bit 284 O ? B14 283 0 Z +bit 283 C ? B14 +bit 282 X ? . +bit 281 I ? B15 +bit 280 O ? B15 279 0 Z +bit 279 C ? B15 +bit 278 X ? . +bit 277 I ? D0 +bit 276 O ? D0 275 0 Z +bit 275 C ? D0 +bit 274 X ? . +bit 273 I ? D1 +bit 272 O ? D1 271 0 Z +bit 271 C ? D1 +bit 270 X ? . +bit 269 I ? D2 +bit 268 O ? D2 267 0 Z +bit 267 C ? D2 +bit 266 X ? . +bit 265 I ? D3 +bit 264 O ? D3 263 0 Z +bit 263 C ? D3 +bit 262 X ? . +bit 261 I ? D4 +bit 260 O ? D4 259 0 Z +bit 259 C ? D4 +bit 258 X ? . +bit 257 I ? D5 +bit 256 O ? D5 255 0 Z +bit 255 C ? D5 +bit 254 X ? . +bit 253 I ? D6 +bit 252 O ? D6 251 0 Z +bit 251 C ? D6 +bit 250 X ? . +bit 249 X ? . +bit 248 X ? . +bit 247 X ? . +bit 246 I ? D10 +bit 245 O ? D10 244 0 Z +bit 244 C ? D10 +bit 243 X ? . +bit 242 I ? D11 +bit 241 O ? D11 240 0 Z +bit 240 C ? D11 +bit 239 X ? . +bit 238 I ? D12 +bit 237 O ? D12 236 0 Z +bit 236 C ? D12 +bit 235 X ? . +bit 234 I ? D13 +bit 233 O ? D13 232 0 Z +bit 232 C ? D13 +bit 231 X ? . +bit 230 I ? D14 +bit 229 O ? D14 228 0 Z +bit 228 C ? D14 +bit 227 X ? . +bit 226 I ? D15 +bit 225 O ? D15 224 0 Z +bit 224 C ? D15 +bit 223 X ? . +bit 222 I ? E0 +bit 221 O ? E0 220 0 Z +bit 220 C ? E0 +bit 219 X ? . +bit 218 I ? E1 +bit 217 O ? E1 216 0 Z +bit 216 C ? E1 +bit 215 X ? . +bit 214 I ? E2 +bit 213 O ? E2 212 0 Z +bit 212 C ? E2 +bit 211 X ? . +bit 210 I ? E3 +bit 209 O ? E3 208 0 Z +bit 208 C ? E3 +bit 207 X ? . +bit 206 I ? E4 +bit 205 O ? E4 204 0 Z +bit 204 C ? E4 +bit 203 X ? . +bit 202 I ? E5 +bit 201 O ? E5 200 0 Z +bit 200 C ? E5 +bit 199 X ? . +bit 198 I ? E6 +bit 197 O ? E6 196 0 Z +bit 196 C ? E6 +bit 195 X ? . +bit 194 X ? . +bit 193 X ? . +bit 192 X ? . +bit 191 I ? E10 +bit 190 O ? E10 189 0 Z +bit 189 C ? E10 +bit 188 X ? . +bit 187 I ? E11 +bit 186 O ? E11 185 0 Z +bit 185 C ? E11 +bit 184 X ? . +bit 183 I ? E12 +bit 182 O ? E12 181 0 Z +bit 181 C ? E12 +bit 180 X ? . +bit 179 I ? E13 +bit 178 O ? E13 177 0 Z +bit 177 C ? E13 +bit 176 X ? . +bit 175 I ? E14 +bit 174 O ? E14 173 0 Z +bit 173 C ? E14 +bit 172 X ? . +bit 171 I ? E15 +bit 170 O ? E15 169 0 Z +bit 169 C ? E15 +bit 168 X ? . +bit 167 I ? G0 +bit 166 O ? G0 165 0 Z +bit 165 C ? G0 +bit 164 X ? . +bit 163 I ? G1 +bit 162 O ? G1 161 0 Z +bit 161 C ? G1 +bit 160 X ? . +bit 159 I ? G2 +bit 158 O ? G2 157 0 Z +bit 157 C ? G2 +bit 156 X ? . +bit 155 I ? G3 +bit 154 O ? G3 153 0 Z +bit 153 C ? G3 +bit 152 X ? . +bit 151 I ? G4 +bit 150 O ? G4 149 0 Z +bit 149 C ? G4 +bit 148 X ? . +bit 147 I ? G5 +bit 146 O ? G5 145 0 Z +bit 145 C ? G5 +bit 144 X ? . +bit 143 I ? G6 +bit 142 O ? G6 141 0 Z +bit 141 C ? G6 +bit 140 X ? . +bit 139 X ? . +bit 138 X ? . +bit 137 X ? . +bit 136 I ? G10 +bit 135 O ? G10 134 0 Z +bit 134 C ? G10 +bit 133 X ? . +bit 132 I ? G11 +bit 131 O ? G11 130 0 Z +bit 130 C ? G11 +bit 129 X ? . +bit 128 I ? G12 +bit 127 O ? G12 126 0 Z +bit 126 C ? G12 +bit 125 X ? . +bit 124 I ? G13 +bit 123 O ? G13 122 0 Z +bit 122 C ? G13 +bit 121 X ? . +bit 120 I ? G14 +bit 119 O ? G14 118 0 Z +bit 118 C ? G14 +bit 117 X ? . +bit 116 I ? G15 +bit 115 O ? G15 114 0 Z +bit 114 C ? G15 +bit 113 X ? . +bit 112 I ? F0 +bit 111 O ? F0 110 0 Z +bit 110 C ? F0 +bit 109 X ? . +bit 108 X ? . +bit 107 X ? . +bit 106 X ? . +bit 105 X ? . +bit 104 I ? F2 +bit 103 O ? F2 102 0 Z +bit 102 C ? F2 +bit 101 X ? . +bit 100 I ? F3 +bit 99 O ? F3 98 0 Z +bit 98 C ? F3 +bit 97 X ? . +bit 96 I ? F4 +bit 95 O ? F4 94 0 Z +bit 94 C ? F4 +bit 93 X ? . +bit 92 I ? F5 +bit 91 O ? F5 90 0 Z +bit 90 C ? F5 +bit 89 X ? . +bit 88 I ? F6 +bit 87 O ? F6 86 0 Z +bit 86 C ? F6 +bit 85 X ? . +bit 84 X ? . +bit 83 X ? . +bit 82 X ? . +bit 81 I ? F10 +bit 80 O ? F10 79 0 Z +bit 79 C ? F10 +bit 78 X ? . +bit 77 I ? F11 +bit 76 O ? F11 75 0 Z +bit 75 C ? F11 +bit 74 X ? . +bit 73 I ? F12 +bit 72 O ? F12 71 0 Z +bit 71 C ? F12 +bit 70 X ? . +bit 69 I ? F13 +bit 68 O ? F13 67 0 Z +bit 67 C ? F13 +bit 66 X ? . +bit 65 I ? F14 +bit 64 O ? F14 63 0 Z +bit 63 C ? F14 +bit 62 X ? . +bit 61 I ? F15 +bit 60 O ? F15 59 0 Z +bit 59 C ? F15 +bit 58 X ? . +bit 57 I ? H0 +bit 56 O ? H0 55 0 Z +bit 55 C ? H0 +bit 54 X ? . +bit 53 X ? . +bit 52 X ? . +bit 51 X ? . +bit 50 X ? . +bit 49 I ? H2 +bit 48 O ? H2 47 0 Z +bit 47 C ? . +bit 46 X ? . +bit 45 I ? H3 +bit 44 O ? H3 43 0 Z +bit 43 C ? H3 +bit 42 X ? . +bit 41 I ? H4 +bit 40 O ? H4 39 0 Z +bit 39 C ? H4 +bit 38 X ? . +bit 37 I ? H5 +bit 36 O ? H5 35 0 Z +bit 35 C ? H5 +bit 34 X ? . +bit 33 I ? H6 +bit 32 O ? H6 31 0 Z +bit 31 C ? H6 +bit 30 X ? . +bit 29 X ? . +bit 28 X ? . +bit 27 X ? . +bit 26 I ? H10 +bit 25 O ? H10 24 0 Z +bit 24 C ? H10 +bit 23 X ? . +bit 22 I ? H11 +bit 21 O ? H11 20 0 Z +bit 20 C ? H11 +bit 19 X ? . +bit 18 I ? H12 +bit 17 O ? H12 16 0 Z +bit 16 C ? H12 +bit 15 X ? . +bit 14 I ? H13 +bit 13 O ? H13 12 0 Z +bit 12 C ? H13 +bit 11 X ? . +bit 10 I ? H14 +bit 9 O ? H14 8 0 Z +bit 8 C ? H14 +bit 7 X ? . +bit 6 I ? H15 +bit 5 O ? H15 4 0 Z +bit 4 C ? H15 +bit 3 I ? IN0_CLK0 +bit 2 I ? IN1_CLK1 +bit 1 I ? IN2_CLK2 +bit 0 I ? IN3_CLK3 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-vq100/xcr3128xl-vq100 b/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-vq100/xcr3128xl-vq100 new file mode 100644 index 0000000..1eb0bb0 --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcr3128xl-vq100/xcr3128xl-vq100 @@ -0,0 +1,557 @@ +# JTAG declarations for XCR3128XL-VQ100 +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# +# Written by Michael Lauer , 2004. +# Based on the definition for XCR3128XL-CS144 +# +# Additional Documentation: +# [1] Xilinx Inc., "CoolRunner XPLA3 CPLD Advance Product Specification", +# DS012 (v1.5) January 7, 2002 +# [2] Xilinx Inc., "BSDL file for device xcr3128xl, package vq100 +# Revision 1.3", 2002-01-03 +# [3] Xilinx Inc., "XCR3128XL 128 Macrocell CPLD Preliminary Product +# Specification", DS016 (v1.8) January 8, 2002 +# + +# 8 function blocks (A to H) by 16 macrocells (0 to 15) +# see Table 3 in [3] and Table 4 in [3] +signal A2 72 +signal A3 71 +signal A4 70 +signal A5 69 +signal A6 68 +signal A10 67 +signal A12 65 +signal A13 64 +signal A14 63 +signal B0 75 +signal B1 76 +signal B2 77 +signal B3 78 +signal B4 79 +signal B5 80 +signal B6 81 +signal B10 83 +signal B11 84 +signal B12 85 +signal C2 61 +signal C3 60 +signal C5 58 +signal C6 57 +signal C10 56 +signal C11 55 +signal C12 54 +signal C13 53 +signal C14 52 +signal D1 40 +signal D2 41 +signal D3 42 +signal D4 44 +signal D5 45 +signal D6 46 +signal D10 47 +signal D11 48 +signal D12 49 +signal D13 50 +signal E0 2 +signal E1 1 +signal E2 100 +signal E3 99 +signal E4 98 +signal E5 97 +signal E6 96 +signal TCK 62 +signal TDI 4 +signal TDO 73 +signal TMS 15 +signal IN0_CLK0 90 +signal IN1_CLK1 89 +signal IN2_CLK2 88 +signal IN3_CLK3 87 +signal PORT_EN 11 +signal Vcc 3 18 34 39 51 66 82 91 +signal GND 26 38 43 59 74 86 95 + +# mandatory data registers +register BSR 444 # see [2] +register BR 1 +# optional data registers +register DIR 32 +# user-defined registers +register ISPSR 274 # ISP (In-System Programming) Shift Register, see [2] + +# instructions - see [2] +instruction length 5 +# mandatory instructions - see Table 3 in [1] +instruction EXTEST 00000 BSR +instruction SAMPLE/PRELOAD 00010 BSR +instruction BYPASS 11111 BR +# optional instructions - see Table 3 in [1] +instruction IDCODE 00001 DIR +instruction HIGHZ 00101 BR +instruction INTEST 00011 BSR +# user-defined instructions - see Table 5 in [1] +instruction ENABLE 01001 ISPSR +instruction ERASE 01010 ISPSR +instruction PROGRAM 01011 ISPSR +instruction DISABLE 10000 ISPSR +instruction VERIFY 01100 ISPSR + +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 O 1 * +bit 435 O 1 * +bit 434 I 1 A2 +bit 433 O 1 A2 432 0 Z +bit 432 C 1 * +bit 431 O 1 * +bit 430 I 1 A3 +bit 429 O 1 A3 428 0 Z +bit 428 C 1 * +bit 427 O 1 * +bit 426 I 1 A4 +bit 425 O 1 A4 424 0 Z +bit 424 C 1 * +bit 423 O 1 * +bit 422 I 1 A5 +bit 421 O 1 A5 420 0 Z +bit 420 C 1 * +bit 419 O 1 * +bit 418 I 1 A6 +bit 417 O 1 A6 416 0 Z +bit 416 C 1 * +bit 415 O 1 * +bit 414 O 1 * +bit 413 O 1 * +bit 412 O 1 * +bit 411 I 1 A10 +bit 410 O 1 A10 409 0 Z +bit 409 C 1 * +bit 408 O 1 * +bit 407 O 1 * +bit 406 O 1 * +bit 405 O 1 * +bit 404 O 1 * +bit 403 I 1 A12 +bit 402 O 1 A12 401 0 Z +bit 401 C 1 * +bit 400 O 1 * +bit 399 I 1 A13 +bit 398 O 1 A13 397 0 Z +bit 397 C 1 * +bit 396 O 1 * +bit 395 I 1 A14 +bit 394 O 1 A14 393 0 Z +bit 393 C 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 O 1 * +bit 389 O 1 * +bit 388 O 1 * +bit 387 O 1 * +bit 386 O 1 * +bit 385 O 1 * +bit 384 O 1 * +bit 383 O 1 * +bit 382 O 1 * +bit 381 O 1 * +bit 380 O 1 * +bit 379 I 1 C2 +bit 378 O 1 C2 377 0 Z +bit 377 C 1 * +bit 376 O 1 * +bit 375 I 1 C3 +bit 374 O 1 C3 373 0 Z +bit 373 C 1 * +bit 372 O 1 * +bit 371 O 1 * +bit 370 O 1 * +bit 369 O 1 * +bit 368 O 1 * +bit 367 I 1 C5 +bit 366 O 1 C5 365 0 Z +bit 365 C 1 * +bit 364 O 1 * +bit 363 I 1 C6 +bit 362 O 1 C6 361 0 Z +bit 361 C 1 * +bit 360 O 1 * +bit 359 O 1 * +bit 358 O 1 * +bit 357 O 1 * +bit 356 I 1 C10 +bit 355 O 1 C10 354 0 Z +bit 354 C 1 * +bit 353 O 1 * +bit 352 I 1 C11 +bit 351 O 1 C11 350 0 Z +bit 350 C 1 * +bit 349 O 1 * +bit 348 I 1 C12 +bit 347 O 1 C12 346 0 Z +bit 346 C 1 * +bit 345 O 1 * +bit 344 I 1 C13 +bit 343 O 1 C13 342 0 Z +bit 342 C 1 * +bit 341 O 1 * +bit 340 I 1 C14 +bit 339 O 1 C14 338 0 Z +bit 338 C 1 * +bit 337 O 1 * +bit 336 O 1 * +bit 335 O 1 * +bit 334 O 1 * +bit 333 O 1 * +bit 332 I 1 B0 +bit 331 O 1 B0 330 0 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 I 1 B1 +bit 327 O 1 B1 326 0 Z +bit 326 C 1 * +bit 325 O 1 * +bit 324 I 1 B2 +bit 323 O 1 B2 322 0 Z +bit 322 C 1 * +bit 321 O 1 * +bit 320 I 1 B3 +bit 319 O 1 B3 318 0 Z +bit 318 C 1 * +bit 317 O 1 * +bit 316 I 1 B4 +bit 315 O 1 B4 314 0 Z +bit 314 C 1 * +bit 313 O 1 * +bit 312 I 1 B5 +bit 311 O 1 B5 310 0 Z +bit 310 C 1 * +bit 309 O 1 * +bit 308 I 1 B6 +bit 307 O 1 B6 306 0 Z +bit 306 C 1 * +bit 305 O 1 * +bit 304 O 1 * +bit 303 O 1 * +bit 302 O 1 * +bit 301 I 1 B10 +bit 300 O 1 B10 299 0 Z +bit 299 C 1 * +bit 298 O 1 * +bit 297 I 1 B11 +bit 296 O 1 B11 295 0 Z +bit 295 C 1 * +bit 294 O 1 * +bit 293 I 1 B12 +bit 292 O 1 B12 291 0 Z +bit 291 C 1 * +bit 290 O 1 * +bit 289 O 1 * +bit 288 O 1 * +bit 287 O 1 * +bit 286 O 1 * +bit 285 O 1 * +bit 284 O 1 * +bit 283 O 1 * +bit 282 O 1 * +bit 281 O 1 * +bit 280 O 1 * +bit 279 O 1 * +bit 278 O 1 * +bit 277 O 1 * +bit 276 O 1 * +bit 275 O 1 * +bit 274 O 1 * +bit 273 I 1 D1 +bit 272 O 1 D1 271 0 Z +bit 271 C 1 * +bit 270 O 1 * +bit 269 I 1 D2 +bit 268 O 1 D2 267 0 Z +bit 267 C 1 * +bit 266 O 1 * +bit 265 I 1 D3 +bit 264 O 1 D3 263 0 Z +bit 263 C 1 * +bit 262 O 1 * +bit 261 I 1 D4 +bit 260 O 1 D4 259 0 Z +bit 259 C 1 * +bit 258 O 1 * +bit 257 I 1 D5 +bit 256 O 1 D5 255 0 Z +bit 255 C 1 * +bit 254 O 1 * +bit 253 I 1 D6 +bit 252 O 1 D6 251 0 Z +bit 251 C 1 * +bit 250 O 1 * +bit 249 O 1 * +bit 248 O 1 * +bit 247 O 1 * +bit 246 I 1 D10 +bit 245 O 1 D10 244 0 Z +bit 244 C 1 * +bit 243 O 1 * +bit 242 I 1 D11 +bit 241 O 1 D11 240 0 Z +bit 240 C 1 * +bit 239 O 1 * +bit 238 I 1 D12 +bit 237 O 1 D12 236 0 Z +bit 236 C 1 * +bit 235 O 1 * +bit 234 I 1 D13 +bit 233 O 1 D13 232 0 Z +bit 232 C 1 * +bit 231 O 1 * +bit 230 O 1 * +bit 229 O 1 * +bit 228 O 1 * +bit 227 O 1 * +bit 226 O 1 * +bit 225 O 1 * +bit 224 O 1 * +bit 223 O 1 * +bit 222 I 1 E0 +bit 221 O 1 E0 220 0 Z +bit 220 C 1 * +bit 219 O 1 * +bit 218 I 1 E1 +bit 217 O 1 E1 216 0 Z +bit 216 C 1 * +bit 215 O 1 * +bit 214 I 1 E2 +bit 213 O 1 E2 212 0 Z +bit 212 C 1 * +bit 211 O 1 * +bit 210 I 1 E3 +bit 209 O 1 E3 208 0 Z +bit 208 C 1 * +bit 207 O 1 * +bit 206 I 1 E4 +bit 205 O 1 E4 204 0 Z +bit 204 C 1 * +bit 203 O 1 * +bit 202 I 1 E5 +bit 201 O 1 E5 200 0 Z +bit 200 C 1 * +bit 199 O 1 * +bit 198 I 1 E6 +bit 197 O 1 E6 196 0 Z +bit 196 C 1 * +bit 195 O 1 * +bit 194 O 1 * +bit 193 O 1 * +bit 192 O 1 * +bit 191 O 1 * +bit 190 O 1 * +bit 189 O 1 * +bit 188 O 1 * +bit 187 O 1 * +bit 186 O 1 * +bit 185 O 1 * +bit 184 O 1 * +bit 183 I 1 E12 +bit 182 O 1 E12 181 0 Z +bit 181 C 1 * +bit 180 O 1 * +bit 179 I 1 E13 +bit 178 O 1 E13 177 0 Z +bit 177 C 1 * +bit 176 O 1 * +bit 175 I 1 E14 +bit 174 O 1 E14 173 0 Z +bit 173 C 1 * +bit 172 O 1 * +bit 171 O 1 * +bit 170 O 1 * +bit 169 O 1 * +bit 168 O 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 I 1 G1 +bit 162 O 1 G1 161 0 Z +bit 161 C 1 * +bit 160 O 1 * +bit 159 I 1 G2 +bit 158 O 1 G2 157 0 Z +bit 157 C 1 * +bit 156 O 1 * +bit 155 I 1 G3 +bit 154 O 1 G3 153 0 Z +bit 153 C 1 * +bit 152 O 1 * +bit 151 I 1 G4 +bit 150 O 1 G4 149 0 Z +bit 149 C 1 * +bit 148 O 1 * +bit 147 I 1 G5 +bit 146 O 1 G5 145 0 Z +bit 145 C 1 * +bit 144 O 1 * +bit 143 I 1 G6 +bit 142 O 1 G6 141 0 Z +bit 141 C 1 * +bit 140 O 1 * +bit 139 O 1 * +bit 138 O 1 * +bit 137 O 1 * +bit 136 I 1 G10 +bit 135 O 1 G10 134 0 Z +bit 134 C 1 * +bit 133 O 1 * +bit 132 I 1 G11 +bit 131 O 1 G11 130 0 Z +bit 130 C 1 * +bit 129 O 1 * +bit 128 I 1 G12 +bit 127 O 1 G12 126 0 Z +bit 126 C 1 * +bit 125 O 1 * +bit 124 I 1 G13 +bit 123 O 1 G13 122 0 Z +bit 122 C 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 O 1 * +bit 113 O 1 * +bit 112 O 1 * +bit 111 O 1 * +bit 110 O 1 * +bit 109 O 1 * +bit 108 O 1 * +bit 107 O 1 * +bit 106 O 1 * +bit 105 O 1 * +bit 104 I 1 F2 +bit 103 O 1 F2 102 0 Z +bit 102 C 1 * +bit 101 O 1 * +bit 100 I 1 F3 +bit 99 O 1 F3 98 0 Z +bit 98 C 1 * +bit 97 O 1 * +bit 96 I 1 F4 +bit 95 O 1 F4 94 0 Z +bit 94 C 1 * +bit 93 O 1 * +bit 92 I 1 F5 +bit 91 O 1 F5 90 0 Z +bit 90 C 1 * +bit 89 O 1 * +bit 88 I 1 F6 +bit 87 O 1 F6 86 0 Z +bit 86 C 1 * +bit 85 O 1 * +bit 84 O 1 * +bit 83 O 1 * +bit 82 O 1 * +bit 81 I 1 F10 +bit 80 O 1 F10 79 0 Z +bit 79 C 1 * +bit 78 O 1 * +bit 77 O 1 * +bit 76 O 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 I 1 F13 +bit 68 O 1 F13 67 0 Z +bit 67 C 1 * +bit 66 O 1 * +bit 65 I 1 F14 +bit 64 O 1 F14 63 0 Z +bit 63 C 1 * +bit 62 O 1 * +bit 61 I 1 F15 +bit 60 O 1 F15 59 0 Z +bit 59 C 1 * +bit 58 O 1 * +bit 57 O 1 * +bit 56 O 1 * +bit 55 O 1 * +bit 54 O 1 * +bit 53 O 1 * +bit 52 O 1 * +bit 51 O 1 * +bit 50 O 1 * +bit 49 I 1 H2 +bit 48 O 1 H2 47 0 Z +bit 47 C 1 * +bit 46 O 1 * +bit 45 I 1 H3 +bit 44 O 1 H3 43 0 Z +bit 43 C 1 * +bit 42 O 1 * +bit 41 O 1 * +bit 40 O 1 * +bit 39 O 1 * +bit 38 O 1 * +bit 37 I 1 H5 +bit 36 O 1 H5 35 0 Z +bit 35 C 1 * +bit 34 O 1 * +bit 33 I 1 H6 +bit 32 O 1 H6 31 0 Z +bit 31 C 1 * +bit 30 O 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 I 1 H10 +bit 25 O 1 H10 24 0 Z +bit 24 C 1 * +bit 23 O 1 * +bit 22 I 1 H11 +bit 21 O 1 H11 20 0 Z +bit 20 C 1 * +bit 19 O 1 * +bit 18 I 1 H12 +bit 17 O 1 H12 16 0 Z +bit 16 C 1 * +bit 15 O 1 * +bit 14 I 1 H13 +bit 13 O 1 H13 12 0 Z +bit 12 C 1 * +bit 11 O 1 * +bit 10 I 1 H14 +bit 9 O 1 H14 8 0 Z +bit 8 C 1 * +bit 7 O 1 * +bit 6 O 1 * +bit 5 O 1 * +bit 4 O 1 * +bit 3 I 1 IN0_CLK0 +bit 2 I 1 IN1_CLK1 +bit 1 I 1 IN2_CLK2 +bit 0 I 1 IN3_CLK3 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcr3256xl-ft256/STEPPINGS b/sie_fs/usr/local/share/urjtag/xilinx/xcr3256xl-ft256/STEPPINGS new file mode 100644 index 0000000..5dea2fd --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcr3256xl-ft256/STEPPINGS @@ -0,0 +1,23 @@ +# +# $Id: STEPPINGS 416 2003-04-04 06:44:51Z telka $ +# +# Copyright (C) 2003 Jachym Holecek +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# + +# bits 31-28 of the Device Identification Register +0000 xcr3256xl-ft256 0 diff --git a/sie_fs/usr/local/share/urjtag/xilinx/xcr3256xl-ft256/xcr3256xl-ft256 b/sie_fs/usr/local/share/urjtag/xilinx/xcr3256xl-ft256/xcr3256xl-ft256 new file mode 100644 index 0000000..9981b5a --- /dev/null +++ b/sie_fs/usr/local/share/urjtag/xilinx/xcr3256xl-ft256/xcr3256xl-ft256 @@ -0,0 +1,965 @@ +# +# $Id: xcr3256xl-ft256 504 2003-08-13 09:24:36Z telka $ +# +# Copyright (C) 2003 Jachym Holecek +# +# This program is free software; you can redistribute it and/or +# modify it under the terms of the GNU General Public License +# as published by the Free Software Foundation; either version 2 +# of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# + +signal tdi +signal tck +signal tms +signal tdo +signal CLK0 +signal CLK1 +signal CLK2 +signal CLK3 +signal IO_0 +signal IO_1 +signal IO_2 +signal IO_3 +signal IO_4 +signal IO_5 +signal IO_6 +signal IO_7 +signal IO_8 +signal IO_9 +signal IO_10 +signal IO_11 +signal IO_12 +signal IO_13 +signal IO_14 +signal IO_15 +signal IO_16 +signal IO_17 +signal IO_18 +signal IO_19 +signal IO_20 +signal IO_21 +signal IO_22 +signal IO_23 +signal IO_24 +signal IO_25 +signal IO_26 +signal IO_27 +signal IO_28 +signal IO_29 +signal IO_30 +signal IO_31 +signal IO_32 +signal IO_33 +signal IO_34 +signal IO_35 +signal IO_36 +signal IO_38 +signal IO_39 +signal IO_40 +signal IO_41 +signal IO_43 +signal IO_44 +signal IO_45 +signal IO_46 +signal IO_47 +signal IO_48 +signal IO_49 +signal IO_50 +signal IO_51 +signal IO_52 +signal IO_53 +signal IO_54 +signal IO_55 +signal IO_56 +signal IO_57 +signal IO_58 +signal IO_59 +signal IO_60 +signal IO_61 +signal IO_62 +signal IO_63 +signal IO_64 +signal IO_65 +signal IO_66 +signal IO_67 +signal IO_68 +signal IO_69 +signal IO_70 +signal IO_71 +signal IO_72 +signal IO_73 +signal IO_74 +signal IO_75 +signal IO_76 +signal IO_77 +signal IO_78 +signal IO_79 +signal IO_80 +signal IO_81 +signal IO_82 +signal IO_83 +signal IO_84 +signal IO_85 +signal IO_86 +signal IO_87 +signal IO_88 +signal IO_89 +signal IO_90 +signal IO_91 +signal IO_92 +signal IO_93 +signal IO_94 +signal IO_95 +signal IO_96 +signal IO_97 +signal IO_98 +signal IO_99 +signal IO_100 +signal IO_101 +signal IO_102 +signal IO_103 +signal IO_104 +signal IO_105 +signal IO_106 +signal IO_108 +signal IO_109 +signal IO_110 +signal IO_111 +signal IO_112 +signal IO_113 +signal IO_114 +signal IO_115 +signal IO_116 +signal IO_117 +signal IO_118 +signal IO_119 +signal IO_120 +signal IO_121 +signal IO_122 +signal IO_123 +signal IO_124 +signal IO_125 +signal IO_126 +signal IO_127 +signal IO_128 +signal IO_129 +signal IO_130 +signal IO_131 +signal IO_133 +signal IO_134 +signal IO_135 +signal IO_136 +signal IO_137 +signal IO_138 +signal IO_139 +signal IO_140 +signal IO_141 +signal IO_142 +signal IO_143 +signal IO_144 +signal IO_145 +signal IO_146 +signal IO_147 +signal IO_148 +signal IO_149 +signal IO_150 +signal IO_151 +signal IO_152 +signal IO_153 +signal IO_154 +signal IO_155 +signal IO_156 +signal IO_157 +signal IO_158 + +# Boundary Scan (mandatory regs) +register BSR 740 # Boundary Scan Register +register BR 1 # Bypass Register + +# Optional data reg +register DIR 32 # ID Code Register + +# In-System Programming (user defined regs) +register ISPSR 313 # ISP Shift Register + +instruction length 5 + +# Mandatory cmds +instruction BYPASS 11111 BR +instruction SAMPLE/PRELOAD 00010 BSR +instruction EXTEST 00000 BSR + +# Optional cmds +instruction IDCODE 00001 DIR +instruction INTEST 00011 BSR +instruction HIGHZ 00101 BR + +# Mysterious cmds +#instruction STRTEST 00100 +#instruction CLAMP 00110 BR + +# User defined regs +instruction ENABLE 01001 ISPSR +instruction ERASE 01010 ISPSR +instruction PROGRAM 01011 ISPSR +instruction DISABLE 10000 ISPSR +instruction VERIFY 01100 ISPSR + +# Another mysterious cmds +#instruction ISP_EOTF 01000 ISPSR +#instruction ISP_INIT 01101 BR +#instruction ISP_WRITE 00111 ISPSR +#instruction ISP_READ 01110 ISPSR +#instruction TEST_MODE 10001 BR + +bit 739 O 1 * +bit 738 I 1 IO_0 +bit 737 O 1 IO_0 736 0 Z +bit 736 C 1 * +bit 735 O 1 * +bit 734 I 1 IO_1 +bit 733 O 1 IO_1 732 0 Z +bit 732 C 1 * +bit 731 O 1 * +bit 730 I 1 IO_2 +bit 729 O 1 IO_2 728 0 Z +bit 728 C 1 * +bit 727 O 1 * +bit 726 I 1 IO_3 +bit 725 O 1 IO_3 724 0 Z +bit 724 C 1 * +bit 723 O 1 * +bit 722 I 1 IO_4 +bit 721 O 1 IO_4 720 0 Z +bit 720 C 1 * +bit 719 O 1 * +bit 718 O 1 * +bit 717 O 1 * +bit 716 O 1 * +bit 715 O 1 * +bit 714 O 1 * +bit 713 O 1 * +bit 712 I 1 IO_5 +bit 711 O 1 IO_5 710 0 Z +bit 710 C 1 * +bit 709 O 1 * +bit 708 I 1 IO_6 +bit 707 O 1 IO_6 706 0 Z +bit 706 C 1 * +bit 705 O 1 * +bit 704 I 1 IO_7 +bit 703 O 1 IO_7 702 0 Z +bit 702 C 1 * +bit 701 O 1 * +bit 700 I 1 IO_8 +bit 699 O 1 IO_8 698 0 Z +bit 698 C 1 * +bit 697 O 1 * +bit 696 I 1 IO_9 +bit 695 O 1 IO_9 694 0 Z +bit 694 C 1 * +bit 693 O 1 * +bit 692 I 1 IO_10 +bit 691 O 1 IO_10 690 0 Z +bit 690 C 1 * +bit 689 O 1 * +bit 688 I 1 IO_11 +bit 687 O 1 IO_11 686 0 Z +bit 686 C 1 * +bit 685 O 1 * +bit 684 I 1 IO_12 +bit 683 O 1 IO_12 682 0 Z +bit 682 C 1 * +bit 681 O 1 * +bit 680 I 1 IO_13 +bit 679 O 1 IO_13 678 0 Z +bit 678 C 1 * +bit 677 O 1 * +bit 676 I 1 IO_14 +bit 675 O 1 IO_14 674 0 Z +bit 674 C 1 * +bit 673 O 1 * +bit 672 O 1 * +bit 671 O 1 * +bit 670 O 1 * +bit 669 O 1 * +bit 668 O 1 * +bit 667 O 1 * +bit 666 I 1 IO_15 +bit 665 O 1 IO_15 664 0 Z +bit 664 C 1 * +bit 663 O 1 * +bit 662 I 1 IO_16 +bit 661 O 1 IO_16 660 0 Z +bit 660 C 1 * +bit 659 O 1 * +bit 658 I 1 IO_17 +bit 657 O 1 IO_17 656 0 Z +bit 656 C 1 * +bit 655 O 1 * +bit 654 I 1 IO_18 +bit 653 O 1 IO_18 652 0 Z +bit 652 C 1 * +bit 651 O 1 * +bit 650 I 1 IO_19 +bit 649 O 1 IO_19 648 0 Z +bit 648 C 1 * +bit 647 O 1 * +bit 646 I 1 IO_20 +bit 645 O 1 IO_20 644 0 Z +bit 644 C 1 * +bit 643 O 1 * +bit 642 I 1 IO_21 +bit 641 O 1 IO_21 640 0 Z +bit 640 C 1 * +bit 639 O 1 * +bit 638 I 1 IO_22 +bit 637 O 1 IO_22 636 0 Z +bit 636 C 1 * +bit 635 O 1 * +bit 634 I 1 IO_23 +bit 633 O 1 IO_23 632 0 Z +bit 632 C 1 * +bit 631 O 1 * +bit 630 I 1 IO_24 +bit 629 O 1 IO_24 628 0 Z +bit 628 C 1 * +bit 627 O 1 * +bit 626 O 1 * +bit 625 O 1 * +bit 624 O 1 * +bit 623 O 1 * +bit 622 O 1 * +bit 621 O 1 * +bit 620 I 1 IO_25 +bit 619 O 1 IO_25 618 0 Z +bit 618 C 1 * +bit 617 O 1 * +bit 616 I 1 IO_26 +bit 615 O 1 IO_26 614 0 Z +bit 614 C 1 * +bit 613 O 1 * +bit 612 I 1 IO_27 +bit 611 O 1 IO_27 610 0 Z +bit 610 C 1 * +bit 609 O 1 * +bit 608 I 1 IO_28 +bit 607 O 1 IO_28 606 0 Z +bit 606 C 1 * +bit 605 O 1 * +bit 604 I 1 IO_29 +bit 603 O 1 IO_29 602 0 Z +bit 602 C 1 * +bit 601 O 1 * +bit 600 I 1 IO_30 +bit 599 O 1 IO_30 598 0 Z +bit 598 C 1 * +bit 597 O 1 * +bit 596 I 1 IO_31 +bit 595 O 1 IO_31 594 0 Z +bit 594 C 1 * +bit 593 O 1 * +bit 592 I 1 IO_32 +bit 591 O 1 IO_32 590 0 Z +bit 590 C 1 * +bit 589 O 1 * +bit 588 I 1 IO_33 +bit 587 O 1 IO_33 586 0 Z +bit 586 C 1 * +bit 585 O 1 * +bit 584 I 1 IO_34 +bit 583 O 1 IO_34 582 0 Z +bit 582 C 1 * +bit 581 O 1 * +bit 580 O 1 * +bit 579 O 1 * +bit 578 O 1 * +bit 577 O 1 * +bit 576 O 1 * +bit 575 O 1 * +bit 574 I 1 IO_35 +bit 573 O 1 IO_35 572 0 Z +bit 572 C 1 * +bit 571 O 1 * +bit 570 I 1 IO_36 +bit 569 O 1 IO_36 568 0 Z +bit 568 C 1 * +bit 567 O 1 * +bit 566 O 1 * +bit 565 O 1 * +bit 564 O 1 * +bit 563 O 1 * +bit 562 I 1 IO_38 +bit 561 O 1 IO_38 560 0 Z +bit 560 C 1 * +bit 559 O 1 * +bit 558 I 1 IO_39 +bit 557 O 1 IO_39 556 0 Z +bit 556 C 1 * +bit 555 O 1 * +bit 554 I 1 IO_40 +bit 553 O 1 IO_40 552 0 Z +bit 552 C 1 * +bit 551 O 1 * +bit 550 I 1 IO_41 +bit 549 O 1 IO_41 548 0 Z +bit 548 C 1 * +bit 547 O 1 * +bit 546 O 1 * +bit 545 O 1 * +bit 544 O 1 * +bit 543 O 1 * +bit 542 I 1 IO_43 +bit 541 O 1 IO_43 540 0 Z +bit 540 C 1 * +bit 539 O 1 * +bit 538 I 1 IO_44 +bit 537 O 1 IO_44 536 0 Z +bit 536 C 1 * +bit 535 O 1 * +bit 534 O 1 * +bit 533 O 1 * +bit 532 O 1 * +bit 531 O 1 * +bit 530 O 1 * +bit 529 O 1 * +bit 528 I 1 IO_45 +bit 527 O 1 IO_45 526 0 Z +bit 526 C 1 * +bit 525 O 1 * +bit 524 I 1 IO_46 +bit 523 O 1 IO_46 522 0 Z +bit 522 C 1 * +bit 521 O 1 * +bit 520 I 1 IO_47 +bit 519 O 1 IO_47 518 0 Z +bit 518 C 1 * +bit 517 O 1 * +bit 516 I 1 IO_48 +bit 515 O 1 IO_48 514 0 Z +bit 514 C 1 * +bit 513 O 1 * +bit 512 I 1 IO_49 +bit 511 O 1 IO_49 510 0 Z +bit 510 C 1 * +bit 509 O 1 * +bit 508 I 1 IO_50 +bit 507 O 1 IO_50 506 0 Z +bit 506 C 1 * +bit 505 O 1 * +bit 504 I 1 IO_51 +bit 503 O 1 IO_51 502 0 Z +bit 502 C 1 * +bit 501 O 1 * +bit 500 I 1 IO_52 +bit 499 O 1 IO_52 498 0 Z +bit 498 C 1 * +bit 497 O 1 * +bit 496 I 1 IO_53 +bit 495 O 1 IO_53 494 0 Z +bit 494 C 1 * +bit 493 O 1 * +bit 492 I 1 IO_54 +bit 491 O 1 IO_54 490 0 Z +bit 490 C 1 * +bit 489 O 1 * +bit 488 O 1 * +bit 487 O 1 * +bit 486 O 1 * +bit 485 O 1 * +bit 484 O 1 * +bit 483 O 1 * +bit 482 I 1 IO_55 +bit 481 O 1 IO_55 480 0 Z +bit 480 C 1 * +bit 479 O 1 * +bit 478 I 1 IO_56 +bit 477 O 1 IO_56 476 0 Z +bit 476 C 1 * +bit 475 O 1 * +bit 474 I 1 IO_57 +bit 473 O 1 IO_57 472 0 Z +bit 472 C 1 * +bit 471 O 1 * +bit 470 I 1 IO_58 +bit 469 O 1 IO_58 468 0 Z +bit 468 C 1 * +bit 467 O 1 * +bit 466 I 1 IO_59 +bit 465 O 1 IO_59 464 0 Z +bit 464 C 1 * +bit 463 O 1 * +bit 462 I 1 IO_60 +bit 461 O 1 IO_60 460 0 Z +bit 460 C 1 * +bit 459 O 1 * +bit 458 I 1 IO_61 +bit 457 O 1 IO_61 456 0 Z +bit 456 C 1 * +bit 455 O 1 * +bit 454 I 1 IO_62 +bit 453 O 1 IO_62 452 0 Z +bit 452 C 1 * +bit 451 O 1 * +bit 450 I 1 IO_63 +bit 449 O 1 IO_63 448 0 Z +bit 448 C 1 * +bit 447 O 1 * +bit 446 I 1 IO_64 +bit 445 O 1 IO_64 444 0 Z +bit 444 C 1 * +bit 443 O 1 * +bit 442 O 1 * +bit 441 O 1 * +bit 440 O 1 * +bit 439 O 1 * +bit 438 O 1 * +bit 437 O 1 * +bit 436 I 1 IO_65 +bit 435 O 1 IO_65 434 0 Z +bit 434 C 1 * +bit 433 O 1 * +bit 432 I 1 IO_66 +bit 431 O 1 IO_66 430 0 Z +bit 430 C 1 * +bit 429 O 1 * +bit 428 I 1 IO_67 +bit 427 O 1 IO_67 426 0 Z +bit 426 C 1 * +bit 425 O 1 * +bit 424 I 1 IO_68 +bit 423 O 1 IO_68 422 0 Z +bit 422 C 1 * +bit 421 O 1 * +bit 420 I 1 IO_69 +bit 419 O 1 IO_69 418 0 Z +bit 418 C 1 * +bit 417 O 1 * +bit 416 I 1 IO_70 +bit 415 O 1 IO_70 414 0 Z +bit 414 C 1 * +bit 413 O 1 * +bit 412 I 1 IO_71 +bit 411 O 1 IO_71 410 0 Z +bit 410 C 1 * +bit 409 O 1 * +bit 408 I 1 IO_72 +bit 407 O 1 IO_72 406 0 Z +bit 406 C 1 * +bit 405 O 1 * +bit 404 I 1 IO_73 +bit 403 O 1 IO_73 402 0 Z +bit 402 C 1 * +bit 401 O 1 * +bit 400 I 1 IO_74 +bit 399 O 1 IO_74 398 0 Z +bit 398 C 1 * +bit 397 O 1 * +bit 396 O 1 * +bit 395 O 1 * +bit 394 O 1 * +bit 393 O 1 * +bit 392 O 1 * +bit 391 O 1 * +bit 390 I 1 IO_75 +bit 389 O 1 IO_75 388 0 Z +bit 388 C 1 * +bit 387 O 1 * +bit 386 I 1 IO_76 +bit 385 O 1 IO_76 384 0 Z +bit 384 C 1 * +bit 383 O 1 * +bit 382 I 1 IO_77 +bit 381 O 1 IO_77 380 0 Z +bit 380 C 1 * +bit 379 O 1 * +bit 378 I 1 IO_78 +bit 377 O 1 IO_78 376 0 Z +bit 376 C 1 * +bit 375 O 1 * +bit 374 I 1 IO_79 +bit 373 O 1 IO_79 372 0 Z +bit 372 C 1 * +bit 371 O 1 * +bit 370 I 1 IO_80 +bit 369 O 1 IO_80 368 0 Z +bit 368 C 1 * +bit 367 O 1 * +bit 366 I 1 IO_81 +bit 365 O 1 IO_81 364 0 Z +bit 364 C 1 * +bit 363 O 1 * +bit 362 I 1 IO_82 +bit 361 O 1 IO_82 360 0 Z +bit 360 C 1 * +bit 359 O 1 * +bit 358 I 1 IO_83 +bit 357 O 1 IO_83 356 0 Z +bit 356 C 1 * +bit 355 O 1 * +bit 354 I 1 IO_84 +bit 353 O 1 IO_84 352 0 Z +bit 352 C 1 * +bit 351 O 1 * +bit 350 O 1 * +bit 349 O 1 * +bit 348 O 1 * +bit 347 O 1 * +bit 346 O 1 * +bit 345 O 1 * +bit 344 I 1 IO_85 +bit 343 O 1 IO_85 342 0 Z +bit 342 C 1 * +bit 341 O 1 * +bit 340 I 1 IO_86 +bit 339 O 1 IO_86 338 0 Z +bit 338 C 1 * +bit 337 O 1 * +bit 336 I 1 IO_87 +bit 335 O 1 IO_87 334 0 Z +bit 334 C 1 * +bit 333 O 1 * +bit 332 I 1 IO_88 +bit 331 O 1 IO_88 330 0 Z +bit 330 C 1 * +bit 329 O 1 * +bit 328 I 1 IO_89 +bit 327 O 1 IO_89 326 0 Z +bit 326 C 1 * +bit 325 O 1 * +bit 324 I 1 IO_90 +bit 323 O 1 IO_90 322 0 Z +bit 322 C 1 * +bit 321 O 1 * +bit 320 I 1 IO_91 +bit 319 O 1 IO_91 318 0 Z +bit 318 C 1 * +bit 317 O 1 * +bit 316 I 1 IO_92 +bit 315 O 1 IO_92 314 0 Z +bit 314 C 1 * +bit 313 O 1 * +bit 312 I 1 IO_93 +bit 311 O 1 IO_93 310 0 Z +bit 310 C 1 * +bit 309 O 1 * +bit 308 I 1 IO_94 +bit 307 O 1 IO_94 306 0 Z +bit 306 C 1 * +bit 305 O 1 * +bit 304 O 1 * +bit 303 O 1 * +bit 302 O 1 * +bit 301 O 1 * +bit 300 O 1 * +bit 299 O 1 * +bit 298 I 1 IO_95 +bit 297 O 1 IO_95 296 0 Z +bit 296 C 1 * +bit 295 O 1 * +bit 294 I 1 IO_96 +bit 293 O 1 IO_96 292 0 Z +bit 292 C 1 * +bit 291 O 1 * +bit 290 I 1 IO_97 +bit 289 O 1 IO_97 288 0 Z +bit 288 C 1 * +bit 287 O 1 * +bit 286 I 1 IO_98 +bit 285 O 1 IO_98 284 0 Z +bit 284 C 1 * +bit 283 O 1 * +bit 282 I 1 IO_99 +bit 281 O 1 IO_99 280 0 Z +bit 280 C 1 * +bit 279 O 1 * +bit 278 I 1 IO_100 +bit 277 O 1 IO_100 276 0 Z +bit 276 C 1 * +bit 275 O 1 * +bit 274 I 1 IO_101 +bit 273 O 1 IO_101 272 0 Z +bit 272 C 1 * +bit 271 O 1 * +bit 270 I 1 IO_102 +bit 269 O 1 IO_102 268 0 Z +bit 268 C 1 * +bit 267 O 1 * +bit 266 I 1 IO_103 +bit 265 O 1 IO_103 264 0 Z +bit 264 C 1 * +bit 263 O 1 * +bit 262 I 1 IO_104 +bit 261 O 1 IO_104 260 0 Z +bit 260 C 1 * +bit 259 O 1 * +bit 258 O 1 * +bit 257 O 1 * +bit 256 O 1 * +bit 255 O 1 * +bit 254 O 1 * +bit 253 O 1 * +bit 252 I 1 IO_105 +bit 251 O 1 IO_105 250 0 Z +bit 250 C 1 * +bit 249 O 1 * +bit 248 I 1 IO_106 +bit 247 O 1 IO_106 246 0 Z +bit 246 C 1 * +bit 245 O 1 * +bit 244 O 1 * +bit 243 O 1 * +bit 242 O 1 * +bit 241 O 1 * +bit 240 I 1 IO_108 +bit 239 O 1 IO_108 238 0 Z +bit 238 C 1 * +bit 237 O 1 * +bit 236 I 1 IO_109 +bit 235 O 1 IO_109 234 0 Z +bit 234 C 1 * +bit 233 O 1 * +bit 232 I 1 IO_110 +bit 231 O 1 IO_110 230 0 Z +bit 230 C 1 * +bit 229 O 1 * +bit 228 I 1 IO_111 +bit 227 O 1 IO_111 226 0 Z +bit 226 C 1 * +bit 225 O 1 * +bit 224 I 1 IO_112 +bit 223 O 1 IO_112 222 0 Z +bit 222 C 1 * +bit 221 O 1 * +bit 220 I 1 IO_113 +bit 219 O 1 IO_113 218 0 Z +bit 218 C 1 * +bit 217 O 1 * +bit 216 I 1 IO_114 +bit 215 O 1 IO_114 214 0 Z +bit 214 C 1 * +bit 213 O 1 * +bit 212 O 1 * +bit 211 O 1 * +bit 210 O 1 * +bit 209 O 1 * +bit 208 O 1 * +bit 207 O 1 * +bit 206 I 1 IO_115 +bit 205 O 1 IO_115 204 0 Z +bit 204 C 1 * +bit 203 O 1 * +bit 202 I 1 IO_116 +bit 201 O 1 IO_116 200 0 Z +bit 200 C 1 * +bit 199 O 1 * +bit 198 I 1 IO_117 +bit 197 O 1 IO_117 196 0 Z +bit 196 C 1 * +bit 195 O 1 * +bit 194 I 1 IO_118 +bit 193 O 1 IO_118 192 0 Z +bit 192 C 1 * +bit 191 O 1 * +bit 190 I 1 IO_119 +bit 189 O 1 IO_119 188 0 Z +bit 188 C 1 * +bit 187 O 1 * +bit 186 I 1 IO_120 +bit 185 O 1 IO_120 184 0 Z +bit 184 C 1 * +bit 183 O 1 * +bit 182 I 1 IO_121 +bit 181 O 1 IO_121 180 0 Z +bit 180 C 1 * +bit 179 O 1 * +bit 178 I 1 IO_122 +bit 177 O 1 IO_122 176 0 Z +bit 176 C 1 * +bit 175 O 1 * +bit 174 I 1 IO_123 +bit 173 O 1 IO_123 172 0 Z +bit 172 C 1 * +bit 171 O 1 * +bit 170 I 1 IO_124 +bit 169 O 1 IO_124 168 0 Z +bit 168 C 1 * +bit 167 O 1 * +bit 166 O 1 * +bit 165 O 1 * +bit 164 O 1 * +bit 163 O 1 * +bit 162 O 1 * +bit 161 O 1 * +bit 160 I 1 IO_125 +bit 159 O 1 IO_125 158 0 Z +bit 158 C 1 * +bit 157 O 1 * +bit 156 I 1 IO_126 +bit 155 O 1 IO_126 154 0 Z +bit 154 C 1 * +bit 153 O 1 * +bit 152 I 1 IO_127 +bit 151 O 1 IO_127 150 0 Z +bit 150 C 1 * +bit 149 O 1 * +bit 148 I 1 IO_128 +bit 147 O 1 IO_128 146 0 Z +bit 146 C 1 * +bit 145 O 1 * +bit 144 I 1 IO_129 +bit 143 O 1 IO_129 142 0 Z +bit 142 C 1 * +bit 141 O 1 * +bit 140 I 1 IO_130 +bit 139 O 1 IO_130 138 0 Z +bit 138 C 1 * +bit 137 O 1 * +bit 136 I 1 IO_131 +bit 135 O 1 IO_131 134 0 Z +bit 134 C 1 * +bit 133 O 1 * +bit 132 O 1 * +bit 131 O 1 * +bit 130 O 1 * +bit 129 O 1 * +bit 128 I 1 IO_133 +bit 127 O 1 IO_133 126 0 Z +bit 126 C 1 * +bit 125 O 1 * +bit 124 I 1 IO_134 +bit 123 O 1 IO_134 122 0 Z +bit 122 C 1 * +bit 121 O 1 * +bit 120 O 1 * +bit 119 O 1 * +bit 118 O 1 * +bit 117 O 1 * +bit 116 O 1 * +bit 115 O 1 * +bit 114 I 1 IO_135 +bit 113 O 1 IO_135 112 0 Z +bit 112 C 1 * +bit 111 O 1 * +bit 110 I 1 IO_136 +bit 109 O 1 IO_136 108 0 Z +bit 108 C 1 * +bit 107 O 1 * +bit 106 I 1 IO_137 +bit 105 O 1 IO_137 104 0 Z +bit 104 C 1 * +bit 103 O 1 * +bit 102 I 1 IO_138 +bit 101 O 1 IO_138 100 0 Z +bit 100 C 1 * +bit 99 O 1 * +bit 98 I 1 IO_139 +bit 97 O 1 IO_139 96 0 Z +bit 96 C 1 * +bit 95 O 1 * +bit 94 I 1 IO_140 +bit 93 O 1 IO_140 92 0 Z +bit 92 C 1 * +bit 91 O 1 * +bit 90 I 1 IO_141 +bit 89 O 1 IO_141 88 0 Z +bit 88 C 1 * +bit 87 O 1 * +bit 86 I 1 IO_142 +bit 85 O 1 IO_142 84 0 Z +bit 84 C 1 * +bit 83 O 1 * +bit 82 I 1 IO_143 +bit 81 O 1 IO_143 80 0 Z +bit 80 C 1 * +bit 79 O 1 * +bit 78 I 1 IO_144 +bit 77 O 1 IO_144 76 0 Z +bit 76 C 1 * +bit 75 O 1 * +bit 74 O 1 * +bit 73 O 1 * +bit 72 O 1 * +bit 71 O 1 * +bit 70 O 1 * +bit 69 O 1 * +bit 68 I 1 IO_145 +bit 67 O 1 IO_145 66 0 Z +bit 66 C 1 * +bit 65 O 1 * +bit 64 I 1 IO_146 +bit 63 O 1 IO_146 62 0 Z +bit 62 C 1 * +bit 61 O 1 * +bit 60 I 1 IO_147 +bit 59 O 1 IO_147 58 0 Z +bit 58 C 1 * +bit 57 O 1 * +bit 56 I 1 IO_148 +bit 55 O 1 IO_148 54 0 Z +bit 54 C 1 * +bit 53 O 1 * +bit 52 I 1 IO_149 +bit 51 O 1 IO_149 50 0 Z +bit 50 C 1 * +bit 49 O 1 * +bit 48 I 1 IO_150 +bit 47 O 1 IO_150 46 0 Z +bit 46 C 1 * +bit 45 O 1 * +bit 44 I 1 IO_151 +bit 43 O 1 IO_151 42 0 Z +bit 42 C 1 * +bit 41 O 1 * +bit 40 I 1 IO_152 +bit 39 O 1 IO_152 38 0 Z +bit 38 C 1 * +bit 37 O 1 * +bit 36 I 1 IO_153 +bit 35 O 1 IO_153 34 0 Z +bit 34 C 1 * +bit 33 O 1 * +bit 32 I 1 IO_154 +bit 31 O 1 IO_154 30 0 Z +bit 30 C 1 * +bit 29 O 1 * +bit 28 O 1 * +bit 27 O 1 * +bit 26 O 1 * +bit 25 O 1 * +bit 24 O 1 * +bit 23 O 1 * +bit 22 I 1 IO_155 +bit 21 O 1 IO_155 20 0 Z +bit 20 C 1 * +bit 19 O 1 * +bit 18 I 1 IO_156 +bit 17 O 1 IO_156 16 0 Z +bit 16 C 1 * +bit 15 O 1 * +bit 14 I 1 IO_157 +bit 13 O 1 IO_157 12 0 Z +bit 12 C 1 * +bit 11 O 1 * +bit 10 I 1 IO_158 +bit 9 O 1 IO_158 8 0 Z +bit 8 C 1 * +bit 7 O 1 * +bit 6 I 1 IO_159 +bit 5 O 1 IO_159 4 0 Z +bit 4 C 1 * +bit 3 I 1 CLK0 +bit 2 I 1 CLK1 +bit 1 I 1 CLK2 +bit 0 I 1 CLK3 diff --git a/sie_fs/usr/share/xc3sprog/devlist.txt b/sie_fs/usr/share/xc3sprog/devlist.txt new file mode 100644 index 0000000..8ea6f2e --- /dev/null +++ b/sie_fs/usr/share/xc3sprog/devlist.txt @@ -0,0 +1,20 @@ +# IDCODE IR Length Text +0140d093 6 XC3S50 +01414093 6 XC3S200 +0141c093 6 XC3S400 +01428093 6 XC3S1000 +01434093 6 XC3S1500 +01440093 6 XC3S2000 +01448093 6 XC3S4000 +01450093 6 XC3S5000 +05045093 8 XCF02S +00608093 5 XC2S15 +0060c093 5 XC2S30 +00610093 5 XC2S50 +00614093 5 XC2S100 +00618093 5 XC2S150 +0061c093 5 XC2S200 +11c10093 6 XC3S100E +11c1a093 6 XC3S250E +41c22093 6 XC3S500E +