From a7c692d3f0ac73a083b9a236bc988cef412c3b37 Mon Sep 17 00:00:00 2001 From: Carlos Camargo Date: Wed, 17 Mar 2010 15:42:11 -0500 Subject: [PATCH] Adding Xc3sprog ported to SAKC Adding FPGA sram hdl code and user space code Fixing some errors: LCD's pinout connector is swapped FPGA TDI SIGNAL must be routed to another pin (C14), right now is DQMH Remove R11 Check JZ4725 symbol's component (PORTD is wrong) Adding PB2 and PB3 wiring ADC's vref to external connector Adding power LED Adding CPU Led --- Examples/sram/logic/Makefile | 74 ++++++ Examples/sram/logic/sram_bus.ucf | 43 +++ Examples/sram/logic/sram_bus.v | 75 ++++++ Examples/sram/logic/test_sram.ise | Bin 0 -> 245960 bytes Examples/sram/src/Makefile | 39 +++ Examples/sram/src/jz47xx_gpio.c | 108 ++++++++ Examples/sram/src/jz47xx_gpio.h | 84 ++++++ Examples/sram/src/jz47xx_mmap.c | 39 +++ Examples/sram/src/jz47xx_mmap.h | 14 + Examples/sram/src/jz_init_sram.c | 65 +++++ Examples/sram/src/jz_test_gpio.c | 50 ++++ Software/xc3sprog/COPYING | 340 ++++++++++++++++++++++++ Software/xc3sprog/Makefile | 81 ++++++ Software/xc3sprog/README | 45 ++++ Software/xc3sprog/bitfile.cpp | 143 ++++++++++ Software/xc3sprog/bitfile.h | 114 ++++++++ Software/xc3sprog/bitfile.o | Bin 0 -> 11060 bytes Software/xc3sprog/bitparse.cpp | 41 +++ Software/xc3sprog/byte-order.txt | 29 ++ Software/xc3sprog/debug.cpp | 119 +++++++++ Software/xc3sprog/detectchain.cpp | 59 +++++ Software/xc3sprog/devicedb.cpp | 71 +++++ Software/xc3sprog/devicedb.h | 47 ++++ Software/xc3sprog/devicedb.o | Bin 0 -> 28204 bytes Software/xc3sprog/devlist.txt | 16 ++ Software/xc3sprog/iobase.cpp | 352 +++++++++++++++++++++++++ Software/xc3sprog/iobase.h | 65 +++++ Software/xc3sprog/iobase.o | Bin 0 -> 8408 bytes Software/xc3sprog/iodebug.cpp | 39 +++ Software/xc3sprog/iodebug.h | 37 +++ Software/xc3sprog/iodebug.o | Bin 0 -> 3004 bytes Software/xc3sprog/ioparport.cpp | 119 +++++++++ Software/xc3sprog/ioparport.h | 41 +++ Software/xc3sprog/jtag.cpp | 106 ++++++++ Software/xc3sprog/jtag.h | 74 ++++++ Software/xc3sprog/jtag.o | Bin 0 -> 27124 bytes Software/xc3sprog/jz47xx_gpio.c | 91 +++++++ Software/xc3sprog/jz47xx_gpio.h | 69 +++++ Software/xc3sprog/jz47xx_gpio.o | Bin 0 -> 3296 bytes Software/xc3sprog/progalgxc3s.cpp | 66 +++++ Software/xc3sprog/progalgxc3s.h | 44 ++++ Software/xc3sprog/progalgxc3s.o | Bin 0 -> 4376 bytes Software/xc3sprog/progalgxcf.cpp | 113 ++++++++ Software/xc3sprog/progalgxcf.h | 58 ++++ Software/xc3sprog/progalgxcf.o | Bin 0 -> 7352 bytes Software/xc3sprog/sakcXCProgrammer.cpp | 51 ++++ Software/xc3sprog/sakcXCProgrammer.h | 75 ++++++ Software/xc3sprog/sakcXCProgrammer.o | Bin 0 -> 4928 bytes Software/xc3sprog/xc3sprog | Bin 0 -> 72835 bytes Software/xc3sprog/xc3sprog.cpp | 123 +++++++++ Software/xc3sprog/xc3sprog.o | Bin 0 -> 17784 bytes docs/SAKC_BOT.png | Bin 0 -> 247533 bytes docs/SAKC_SST.png | Bin 0 -> 58840 bytes docs/SAKC_TOP.png | Bin 0 -> 256148 bytes docs/schematics/SAKC_V1.0.pdf | Bin 0 -> 91656 bytes 55 files changed, 3219 insertions(+) create mode 100644 Examples/sram/logic/Makefile create mode 100644 Examples/sram/logic/sram_bus.ucf create mode 100644 Examples/sram/logic/sram_bus.v create mode 100644 Examples/sram/logic/test_sram.ise create mode 100644 Examples/sram/src/Makefile create mode 100644 Examples/sram/src/jz47xx_gpio.c create mode 100644 Examples/sram/src/jz47xx_gpio.h create mode 100644 Examples/sram/src/jz47xx_mmap.c create mode 100644 Examples/sram/src/jz47xx_mmap.h create mode 100644 Examples/sram/src/jz_init_sram.c create mode 100644 Examples/sram/src/jz_test_gpio.c create mode 100644 Software/xc3sprog/COPYING create mode 100755 Software/xc3sprog/Makefile create mode 100644 Software/xc3sprog/README create mode 100644 Software/xc3sprog/bitfile.cpp create mode 100644 Software/xc3sprog/bitfile.h create mode 100644 Software/xc3sprog/bitfile.o create mode 100644 Software/xc3sprog/bitparse.cpp create mode 100644 Software/xc3sprog/byte-order.txt create mode 100644 Software/xc3sprog/debug.cpp create mode 100644 Software/xc3sprog/detectchain.cpp create mode 100644 Software/xc3sprog/devicedb.cpp create mode 100644 Software/xc3sprog/devicedb.h create mode 100644 Software/xc3sprog/devicedb.o create mode 100644 Software/xc3sprog/devlist.txt create mode 100644 Software/xc3sprog/iobase.cpp create mode 100644 Software/xc3sprog/iobase.h create mode 100644 Software/xc3sprog/iobase.o create mode 100644 Software/xc3sprog/iodebug.cpp create mode 100644 Software/xc3sprog/iodebug.h create mode 100644 Software/xc3sprog/iodebug.o create mode 100644 Software/xc3sprog/ioparport.cpp create mode 100644 Software/xc3sprog/ioparport.h create mode 100644 Software/xc3sprog/jtag.cpp create mode 100644 Software/xc3sprog/jtag.h create mode 100644 Software/xc3sprog/jtag.o create mode 100644 Software/xc3sprog/jz47xx_gpio.c create mode 100644 Software/xc3sprog/jz47xx_gpio.h create mode 100644 Software/xc3sprog/jz47xx_gpio.o create mode 100644 Software/xc3sprog/progalgxc3s.cpp create mode 100644 Software/xc3sprog/progalgxc3s.h create mode 100644 Software/xc3sprog/progalgxc3s.o create mode 100644 Software/xc3sprog/progalgxcf.cpp create mode 100644 Software/xc3sprog/progalgxcf.h create mode 100644 Software/xc3sprog/progalgxcf.o create mode 100644 Software/xc3sprog/sakcXCProgrammer.cpp create mode 100644 Software/xc3sprog/sakcXCProgrammer.h create mode 100644 Software/xc3sprog/sakcXCProgrammer.o create mode 100755 Software/xc3sprog/xc3sprog create mode 100644 Software/xc3sprog/xc3sprog.cpp create mode 100644 Software/xc3sprog/xc3sprog.o create mode 100644 docs/SAKC_BOT.png create mode 100644 docs/SAKC_SST.png create mode 100644 docs/SAKC_TOP.png create mode 100644 docs/schematics/SAKC_V1.0.pdf diff --git a/Examples/sram/logic/Makefile b/Examples/sram/logic/Makefile new file mode 100644 index 0000000..9f3a495 --- /dev/null +++ b/Examples/sram/logic/Makefile @@ -0,0 +1,74 @@ +DESIGN = sram_bus +PINS = sram_bus.ucf +DEVICE = xc3s250e-VQ100-4 +BGFLAGS = -g TdoPin:PULLNONE -g DonePin:PULLUP \ + -g CRC:enable -g StartUpClk:CCLK + +SIM_CMD = /opt/cad/modeltech/bin/vsim +SIM_COMP_SCRIPT = simulation/$(DESIGN)_TB.do +#SIM_INIT_SCRIPT = simulation/$(DESIGN)_init.do +SIMGEN_OPTIONS = -p $(FPGA_ARCH) -lang $(LANGUAGE) +SAKC_IP = 192.168.254.101 + +SRC = sram_bus.v + +all: bits + +remake: clean-build all + +clean: + rm -f *~ */*~ a.out *.log *.key *.edf *.ps trace.dat + rm *.bit + +clean-build: clean + rm -rf build + +cleanall: clean + rm -rf build $(DESIGN).bit + +bits: $(DESIGN).bit + +# +# Synthesis +# +build/project.src: + @[ -d build ] || mkdir build + @rm -f $@ + for i in $(SRC); do echo verilog work ../$$i >> $@; done + for i in $(SRC_HDL); do echo VHDL work ../$$i >> $@; done + +build/project.xst: build/project.src + echo "run" > $@ + echo "-top $(DESIGN) " >> $@ + echo "-p $(DEVICE)" >> $@ + echo "-opt_mode Area" >> $@ + echo "-opt_level 1" >> $@ + echo "-ifn project.src" >> $@ + echo "-ifmt mixed" >> $@ + echo "-ofn project.ngc" >> $@ + echo "-ofmt NGC" >> $@ + echo "-rtlview yes" >> $@ + +build/project.ngc: build/project.xst $(SRC) + cd build && xst -ifn project.xst -ofn project.log + +build/project.ngd: build/project.ngc $(PINS) + cd build && ngdbuild -p $(DEVICE) project.ngc -uc ../$(PINS) + +build/project.ncd: build/project.ngd + cd build && map -pr b -p $(DEVICE) project + +build/project_r.ncd: build/project.ncd + cd build && par -w project project_r.ncd + +build/project_r.twr: build/project_r.ncd + cd build && trce -v 25 project_r.ncd project.pcf + +$(DESIGN).bit: build/project_r.ncd build/project_r.twr + cd build && bitgen project_r.ncd -l -w $(BGFLAGS) + @mv -f build/project_r.bit $@ +sim: + cd simulation; $(SIM_CMD) -do $(DESIGN)_TB.do + +upload: $(DESIGN).bit + scp $(DESIGN).bit root@$(SAKC_IP): diff --git a/Examples/sram/logic/sram_bus.ucf b/Examples/sram/logic/sram_bus.ucf new file mode 100644 index 0000000..e68cf66 --- /dev/null +++ b/Examples/sram/logic/sram_bus.ucf @@ -0,0 +1,43 @@ +NET clk LOC = "P38"; +NET reset LOC = "P71"; +NET led LOC = "P44"; + +#ADDRESS BUS +NET "addr<12>" LOC = "P90"; +NET "addr<11>" LOC = "P91"; +NET "addr<10>" LOC = "P85"; +NET "addr<9>" LOC = "P92"; +NET "addr<8>" LOC = "P94"; +NET "addr<7>" LOC = "P95"; +NET "addr<6>" LOC = "P98"; +NET "addr<5>" LOC = "P3"; +NET "addr<4>" LOC = "P2"; +NET "addr<3>" LOC = "P78"; +NET "addr<2>" LOC = "P79"; +NET "addr<1>" LOC = "P83"; +NET "addr<0>" LOC = "P84"; + +#DATA BUS +NET "sram_data<7>" LOC = "P4"; +NET "sram_data<6>" LOC = "P5"; +NET "sram_data<5>" LOC = "P9"; +NET "sram_data<4>" LOC = "P10"; +NET "sram_data<3>" LOC = "P11"; +NET "sram_data<2>" LOC = "P12"; +NET "sram_data<1>" LOC = "P15"; +NET "sram_data<0>" LOC = "P16"; + +#CONTROL BUS +NET "nwe" LOC = "P88"; +NET "noe" LOC = "P86"; +NET "ncs" LOC = "P69"; + +#ADC +#NET "ADC_EOC" LOC = "P17"; +#NET "ADC_SCLK" LOC = "P18"; +#NET "ADC_SDIN" LOC = "P22"; +#NET "ADC_SDOUT" LOC = "P23"; +#NET "ADC_CS" LOC = "P24"; +#NET "ADC_CSTART" LOC = "P26"; + + diff --git a/Examples/sram/logic/sram_bus.v b/Examples/sram/logic/sram_bus.v new file mode 100644 index 0000000..233e050 --- /dev/null +++ b/Examples/sram/logic/sram_bus.v @@ -0,0 +1,75 @@ +`timescale 1ns / 1ps +module sram_bus(clk, sram_data, addr, nwe, ncs, noe, reset, led); + parameter B = (7); + + input clk, addr, nwe, ncs, noe, reset; + inout [B:0] sram_data; + output led; + +// Internal conection + wire led; + + // synchronize signals + reg sncs, snwe; + reg [12:0] buffer_addr; + reg [B:0] buffer_data; + + // interfaz fpga signals + wire [12:0] addr; + + // bram interfaz signals + reg we; + reg w_st; + + reg [B:0] wdBus; + wire [B:0] rdBus; + + // interefaz signals assignments + wire T = ~noe | ncs; + assign sram_data = T?8'bZ:rdBus; + + //-------------------------------------------------------------------------- + + // synchronize assignment + always @(negedge clk) + begin + sncs <= ncs; + snwe <= nwe; + buffer_data <= sram_data; + buffer_addr <= addr; + end + + // write access cpu to bram + always @(posedge clk) + if(reset) {w_st, we, wdBus} <= 0; + else begin + wdBus <= buffer_data; + case (w_st) + 0: begin + we <= 0; + if(sncs | snwe) w_st <= 1; + end + 1: begin + if(~(sncs | snwe)) begin + we <= 1; + w_st <= 0; + end + else we <= 0; + end + endcase + end + +RAMB16_S18 ba0( .CLK(~clk), .EN(1'b1), .SSR(1'b0), .ADDR(buffer_addr), + .WE(we), .DIP(2'b00), .DI(wdBus), .DO(rdBus) ); + + reg [32:0] counter; + always @(posedge clk) begin + if (reset) + counter <= {32{1'b0}}; + else + counter <= counter + 1; + end + assign led = counter[24]; + +endmodule + diff --git a/Examples/sram/logic/test_sram.ise b/Examples/sram/logic/test_sram.ise new file mode 100644 index 0000000000000000000000000000000000000000..00f553c1575b26cf4ce75e7a7c1f3cb44a8a4e07 GIT binary patch literal 245960 zcmb4M2Y3}l*Pd-Rp(91Q2zG3c1PDc18i7DU3Ps8#q!9=tCZQuJB1KeGih@c<>7alV zQA9zIX2Ay1dl5l;=ij}%XS{j8o!$HUJbd50d)|4^nKNZ)=kC2pXsf8jncaV-^ow3^ ze5*D|$?=I%Uhne>LTUx1rsekQm)qZ=#uI`Xt+O-oQ}fe$XQ!p+L}nCZXQ4>)L*I@W zp;tEk)eT&vb!0+*Zl8>_LdIm-_;=s>PnTel@jv>1#{a9n|Cg9fVhU5pq%oD((0Iwi zOF_I8%uBk0^`GMV|6+_4P2o?e-EQ{KO~${5`oALCwo+)55Z)GVQel2_uNB8_r%V#}C__>NJDCQN7<(bg;_#-}8krKB$H zlUqk6#U?Q>QZ(evwJ$Yksyj6PJuL{?>n$kE&Cf{p=Jql&?k!C1m6PH1>RG33S`iod zzb7r!n0JStxo8l623AoAMe>%EpB7P=pW`t0YKy8Qr4GzUkIyU2&h1~|Q2!yUZw;;X zsROgKQuU@g)P3HyZhBrnZ=N|;z5PUPt?9IcWy9b|nP zs7%u*gmGQ=mGy%0AMeiS8bj8YmvD%;$ob&3F|>_;(fYq4UG+bC!|0yJ3pnCEtT3aX z&}$4iJ>4u0JKkD( zocvY{lP1&k4$agpbp64$S}F2-=}&bVZ{t?=66IliJEwJ&(x zrcw!NV~0udak^gfpfr@Ox7{U;w&Gziaq2K3DPu6pLN(KzfGKC{nW$c z_rd42#dN*g+v*02?b0myBE!Pgv^-I0g<#hf2B>8jex>TyC`Wfk5-z2Z1wv;NZQhV9; zZqi`#8`ntYbG%d?brsdUHe6jz`qw^}x)MKrv`q6+Dz;UMrt3-J+94iWocs>uws?@I z16@B`OI|{nyZWkYseJuqsUGR1yz1#kF&tbXe@L8NZM7q$bMhlkFX9~fO}@%G#XPSP zXa7WL2x-PWD#cJashYZ;>Rx+aJ4XCVb>%ChvvZL8CBVY3{EZseVoT z8wb=oG}qQGQoo_=*B;Rr&9C*b>L${;I)qiA`L+I0^?MrUHxi{ZinIMl_A;M$ZKRfT zJ!zA=m2|G((@yaCD@Z}ai3{>{rt2rWsXtIW*N>`KDE_r;aruuTN$bsV(a|%EzqhQq5ZGFQk8UI~&2<(n5ZV>YlnKwW64l z=Bay#f4#EwB3+N4CV8mb_N+XS^dB0douW4Wdqbu?NHu#XbPtj$y)U04e(`AaSMqzK zzx*y;uk@?>J6*rJ+~cLbII@{-436>5eHK1ievk93OOX^$Qoi~J&FdRgp|$X8Mddx`q1;c9srwY}I9 zPk-`t@Ll!=@jG3R&(J#g&>?M;6~7dm?MWm4q4iRA;&49_Tvd4B;bB)=_Y zYTuA%@ax(+;+##BDpB1|PkIKC{(%|ND9T&ZquN(IhQFkTiQhR^rnOND+M-n?&42f6 zd>;}#S6)f&m6OrcsRDF*2isWbVC-=X!Uc0D{-yTCQ)vGqJoRZ1X!!k5~=#QCd> zmQAtMiqiU!-&$WP;gq+_v$VdXUn^BmhsLFV_+Tiulw)^$lrmTBL@ZY@`6uPX)B*F&}T!=1j6LaCh6Txmsh1{<=-47g7Ox$P`k>nk5eM4u9PdKlVc_+{bxoa0nm3my*D1FpJ9h93$mWx!rr(UE=IZ zlYgW<+*$5PxAfVenaX_fqeL^)K>YWK9=QU zo4ICX=^4`O*h^|a<>WuLY83xhKeJL4^H+-$z6ZG7m<{GJM=GDwxbh5BRnjjVCp|!# ziANQF@9;!PA6hwKiT9=Aw9Ys0sa5CsT%hbF&aG3@)1=dJkXDtq_cvu9=j@PXP`Tq| zsS)v$hiDH`9=`6a@b&ZdL!Kb+>rJgD=g3kb)lGO+dz|vHv8JaO@lPCAXn!u1P*`co z&(~Kxe9RmisNAJIl!#?Rc&>^`S)|{-yi}gr-Xg)1L4A5?v{Z&TiMzBPsZTGD_Kc<& z_BYX6(ky>MrS)8ruWGYNv+NeBA^A%FQl&nX*h8L7 zi^C3Ot5lZQyV^10FR4{%MU?c#kj$kUzX+OaU{Nvhj% zpVXGhiH~TzIlrr?Jn39G?%{jX3)@uMo3Z=N)f~lJom7eL?-F50f&ME21qc{(Cm6{Mg zIY#5>ctLkOG&b0w_MRH#>qwkBh;;7$>KQ}V_bXBx(n$yi~sPqGv4m{p*y6&#yz3 zm7bLIJCn2(7DsyEMb9|S-=U;hYaP2YKwD0JANasCob(S=(&#KpDqGj{GF{()N9o1& z%Sta$9+GQF&r-Q#JI`a}>w+r9(e=dAN*Z0ieZ|A?DgT`IJVn?4*(oIxKcS(gfczdT z?s=MXR%r7mKNYg2{={$BM4L%%DO+BhO#GWirRRv#QPoxxr_AqCd%E7?xH^S=-FjI1 znEJY7J((mx1HiKfQuvq$l^3Y+Blt-L)lE$CA%S_e6$k#tDq;ABC z_j*Q9KKCE-gmTWK((}Yg`O;HJoC6o6kH}ZbX-{9ee(d4tM-O_E+Bow(zk zk;LEsz5ZF{Z0meri`0xb$-z<&D#zFGbR?ZqgP9WC*5ZeH8q)Rie|sA7@=u;bDxW#T ziUr4+{N0^c@!)o5d1on=&Ss^Gm8E#{mGGxDmgY;$o9a>;w-3(nG$GCNtEBg+9J62j z%W8}C;A@^#%E^(EQUPhkt@pe@{Nv-K?sPrAl~jfA@fNG}yu+?tQ~C2w@vTyG;wN2} zN)o^M$IKJl-fYXTi}KLmc(XkGQF%~sf?0mKw>+52!+(;>1$VHnt5RiN{zx80WAf!Y z@~gqdr=9wLFCUO!;n&mUk^Fj;JUTeZu*QELqMczr((j3wU|5ww3a! z&eXoAyr~DYANciXZ5zKnT1%mM`a_D=h340GkM<&!e;B2xG-sq<%DS6Q!=rt6jaX-znPfKt}#FRAuTh4wkpBcCbd=z5jmnwRpkeFA%s=Ir)# zwv^^hq_(5rHPUf#-r zh(BbE+?V)6*2%p&=LtER%0q4joexg-tus=UdqMy3@*R!urFYi%%(1Ss6JtDcd3m(w zBPt($&+{=azZ10IDoYO@4LZQfhl93Jxx&Jr?|C^ZXfrQA7W6HZ%O4Bc$jh^XmQuOw zb3qHKTxN36JSvy|Hi+VpO1BB3c%;&;gJy6}bkIy*jtrW`%dI?9tTOv+K+tS{y@ls2 zUBCI0=NvEZ_WaGuJ3W*`c5}PuJ-WVcq=)j!Zf*;D)4DE|x)SsjFJB6Jo0l&JP2}Z& zg5Kffzk}Z8<+DMgFO@nSG>Kn788n%fj|Wj(q*6zNrt<5DgWl)mgFzqg^8TP{yu2@H zIxqhjG?>aI76c99;%?*79;S&pKYN5~Nc3PUj$vmpcY|csbc~o65IG zdG7G?E1tW&Ji>E?$~O;tj`H$B&oN%!?>WxP`#dLj`A^SDUjE&4ikE-&oaW_UJU>(U z`eDy6ynN8JhnM$zcJlHt&n{jb;@Qp1gFIV#`9TlOHFmv%=LdehoM$DKuRh{g#mluk zt9iMmyp-0-+OuSSHd1@EO6RWZ#(0&^McIvS)y?$WbYq^ngX&iNMw&wFTZh^zeU2|x zoG0_M=-Rzy+K)-W*JZvA7kf^gOzY=EC6pJa9F*gElQj3;@wB(Dv%i{o-s0Cio_M;x zueCCd;;9{{K1@2r$}4Zs{-o9)8tqTm*-gR0Rvx5k>w=%8^26tXpW@{+!F71~RPdv` zJUjR?UY;5JI4^%3T%O7`$^}0_=X zRL~V(ekJHCFOLYi#>>NluJiKHpc}kAIOrxX4-C4+%Y{KSFQlsZK{PL)FQlsd zgYI#DPSAZ`?i0*-xp%O{%bCG4FQ;oSTV=NMwl;#7Z)ziX`MUNBFJIMO<>kxTC|>?o zdySVbXrp=gy!JXTpVP+h@)>O`FQ3xJ@$w06JTD*9-r(gU+5}!cqz$0*u55|(TL(*= z-`XQ_err{tHJ`PXC0g@YYbMc}&tmRLwC1yzI})w=EasL(Yd(v)A@TTI7uTjy47+1A zTC3UaDD6XjJwl`VAluziqx&G+-CUc*IUTggyd1Ah;pKK3-7nbgwi?|p*zQ=3$F@5~ z*M<{k=UwTi;1u)Or^gv- z2QPP!_E6dTs`MM}OT9;=U+KBYyIJ~$Uyqf3=GUK*ex&O?K9hFQ^&XR19_^Pp?~~@! zeyK}YX%6j?x{Q$K@^XSSkC$t+epI(>vb3J+c70n~L!7R6q_w=fTUy7^5;;IL(f8U)7YI?Y?dRrq_4ACFW1<-V%0>ztF%>XEOHudvD7 z*C}?4^keTSyGZ||xyl)e|D)Z?-=z6*7Z$>G!q^n9bAVmqzN)aJq#6B_vYRwNJfIxo zn!(B`()?&9J4AJxe856U|D&O7D%an`j&RMD%8#Tuy&?ON>Nc*g>>>T>y_6%Q|KVO1 zMw%b4V()X!AJ`VE+o(P}%=M=#{LJCqFO_`KeD|bMNY6ee*D8ake7d1BoP52vl+`C+ z?~P_3a9?k;gIxbr`(F)`>Vpw#*g+?UMF9Z4k_bF ze{yk!@1u@Bth__|lXtO3q(6B9`-tn$VZW1p)IsH4o}Xuwj->z2ZKWUSzx%jUllYx` zDS4#-?i$vZ^xu7tea!VgV80PRYLn85YqnH+ljb`)N?+1>=Q?XbI`90#W^kRi*{@t@ zp3<3gCJs`%lg`9BN+#ENfi>kimDxK&{3XA*H^4o_*wLX zBkCu_S?gghkp6@X>Zf%5vuHMp`x>h9cSYEJ_6zxqU85eLF>vUjyqSEx@sj)z>8v=e zJWb^{K9XlsyGE8*o}==FCs?HjLH*aHk4v$zMIXaa^pOy6vfbH6Z@IUvD4)_ z)bFS7%3CO&@r&hIR32GLd5q#2|GPYo%C9`CJVo)m(NCUF*GDd3%_*KYTFX3dBcs_I z9#5vco$8KS%6{VUyv(Xm-BE?|_Y}kEt866IZLY~j$k*!+ODffEGf$>>x!I|3nVx0X zzJu~<-o_#FPX2uHoqT~}c>Rd{E%};og0-L+USF%!Ctq(CvbhvP0DQiV>jvuAWCY`BE*nA%6YUL;5PY-3=DbA>T zR)gwJ`$yrQSDw4VUZuLtCd&U%%&(u27}ah4i+qWEjTxo>>hDiFO_doY-7u-{C$RVf6Di%U1OW6uMlVXF{KRU|JXa~e``o- zr6R>P_P#oo$}2u&krdn5eXJ&xSBztyP;8Bcve&5GtP0ykvBfrHcc|RDqw*lde{O?H z&*|*kEO{%%HoBhrDwUU4lJ`>lqr0j6KEAw_e28Kj{jWNW%F7S2D2i?LPWCXBmw&+q zP;4!xu+db0;h^*&#THwO-J){qdh#}k;kB1kIzwjXM$5laJgfJfMhC0>-nzUz`;=m6l*eijXW3b{m12l$!CoiM3v=Zk$k(XP*bU;eE-CLO zU!(S`{9b&nx6Gf3UhAj6Ms=4Kuo&|7T5EMI)m=J+Eg)ZwGSxSzEz45a_v9-oh}EXL z%bt z5v-<==E5i0Lh{w{0Ty;8&>_efBrJ4;#nzli3y0k0~w}lFqP9 zh2O_NeN7%gn!|UhZK%DUE|Z7TbL;TMYJ1`=XwDwveyg(a+*ccRnS8Z=N8$J7IqOuu z4$nER(q~WX1XKC@9;eo-{Jp!mOWEV3KX){HgX@=J|B`;2i7J0@;qXP3ztb{*kNN_| zKmVS}KTG;#0(*iqKS^g3NVDm$>;h@F9;WiolFn67o6{KkWTML7f%#;;8bf*bbR>I{ zG(UZjy~#DFvGb%Eoujs<@pkrx`WR`>{Y>TWA_ICdU7RwvCltJ%|}Ip-ZVkThFNkScP`#}vNy&W=(mkk0Hp zwG!#fzRjK?o!P&$iKNrC3Omhpf)&1I&KjwfA)Q%^)N)*>C3}|ZRA=vSoigkc>9omL z_*yq}jarO!W*${bkUxQZ3FY@vjxhpSsX6>o&z-Dr1 zsynbyP9e^~qjE=HUL|+pJG?~lc?^1IdTH$l$ASBdB9OQk(XDoOsdr*-JlH88Ug$LvYRLErgp&upB|v z_g^MILgjvgG53C6E=GQPXUHDn^xnggiStr> zIf!4MF9-ATAh{{keQBKBh_1hMO>WG~+vFy^Je_qV&AzY6)u?XYujIv%fi~w{(MFuOr>0a>gBYmUEtE2dLbnJNt{5YqLM7+;|Dw zMde1fSQ^EXevs|p*EdR6c|4V+YIMEDN$C>zJ4U+9%blewyj+K6lcx8Cw3l>xR+D}w zPS5euAH3XE`jeNRW$9EmJy^O(b<ULM8%~ZF0BWV+H zx_>Ht%gaNg?|8W_%b~j6>aey{9=uB0NIKoJ1!%?8zN^=dGH+;N4^Ffl~cKXWw{6EjFG*(+*$6)%XNY~nx9(v{qIPLRnmXo zVpdeAmY2s=h+=;D8sO`I7^Rjr@VJvQI7iqEGJ3wtBueE&x0N@OZ%Q0{#H-Ou(N2UJ7^>;PrsN0lW?H zkAQy%d665mjhfG@I!zf1^f))P{54=M*waMI1zAXz&!w` z1MUMj5Aa~XBLR;AJQ48wfM)=n2Y3jRDioCLTR;Fkc`A70ddB;X{#y#V(dQM7*S$f9^4;MW1i zzfzRn18_gU!vVhwcoyL0fHwo)1GwI+MdN7!I3Dl@z&il%1ANB9`aVs+zwBPN_O$7b z@Gijn0f#EHjP;d|zm{-+X<=f%{=Ng_H4^MIVSHaO*CVd~KHOhE2K#C*Q|Xw47WKh3lDOSE5H2KF~$KQ#&X=YYQi>~Bpdy5IW=@JU!7eg^y7fLmF7 zihn7@_w536e@N~5+lr5Hhj+|)sQzTA{}$j4ptl_K8iC$?sJ{{F{{;10oAvp6vJ(35 zvBCeX_s0Hp3D`dYJk1Xq_wy-!_fw<(IIyn(`>SAI2KJ$Tc0;cg#Fr2D9Ke}?djftD za5CU_e%NT=5{NGf?32LW6zq$@ULWiW!2X<{-DrOeX#eA2e;9C8z!dTD9kHNm(&u-+a3gqisux|kT1>og?9{{}tU@rsq z*RW_-MU4Dd4mj{)oj{1f2*fQJJ9 z4)B+NmjRv(csAe<0UrQ-9Pqz@tBfmpzkdvHSHKy7-vInB;12<>1iTLL9KhcI-Uj$b z!21DT1AHHFHNWw0jGyNKhXF1DxG~@sfNKMO67Y9`hxyHKBcAPG{}S*4zzpgi2m4LH ziNKElJQwhffDZva4Y)Y$2de^30Ne?17~oofFMz+hfUf~opnfdiQGmw*eim>R;1>W- z2K))&Wq?-$?hm*S@G!vL0UrT;3h;TrmjRc6_4zi~Ww3t@_AP*S0DcJSKLNNL;K~-J z=Ye&wf8PoCAmB}ae+7Kf!gN23HrGqZI8R}JZ298CV&DFr-#^NO{gP?t{O=AG<=-;T z^SS*B@YkDsZvPVS2Ebnd{u=N`z~2Dg1o&GM^Y-0_cuOrQ+MZx@eo1xpzb0_8(HCFj z{kA36IIpLCt@_-29wNNb#9aS8tViWxzWxmMnSd|B{pKw;T9B0{#i`F3?*E`Fo{+JFp18^@3ling&|1;q8%}l^q zfYSkY1l$R5XTT{Irg%0%`(l9K8gMM&XwZuXy>Q?+0^AsIL(r2zuh8#2(zw4506Y+I z0pJY4nSiqZr(2lv^#a7x5%`?|cLtmSI0kTQz_Ea%Ellxz3gamp_>BNJ2HX(z=7HW* zz^?=NX~0i{-rt~C75LQvR|i}La0$RA0haYEu|bB+D2asM&e^R;z8O#b#*`(3hs1$xK9|1rQv0Uy!v zs;0*}#ZHZ=I{(z9m%B%8=&1YmJ--_5J!SIw^X|jT|GPgL@h!FDqj**TUIp>Lvbkve zWq$D+=Yh2>fAqXvXg+WA=jkvw-z*R3E%m`(+poQbej~6q2HeEN{CR%>_lx|>jHkYp_2A z_E@mD0o)dF9N?O!p09t6`+pNy@0-AWq7C3KfHPsg)*JR8wXOL^g zZx-NwfC~VB2zWN&PXXtH|ABx9!T6G3d@eBc`1&xyTo3qqHSXJ@^JV#pqU~R3#Y6eI z3+vMyu$TU>sNQ1Gn+yE$p!bn!=kcb(`qc|?8novR@IMUve+>Sog8xFxPV3hIh$j@r zdpU@w0oW^mJ=|}68~2BSpx+AWKM3vH2L1K)@}m7U9O8ci@F2j$EKL171M)Ee_-~q+ z_s>(X9z9{LN0h&}fL~zQ$=}P+UrPZuhxSc^c-{v5o`p&OQ)tg*D;{J2W4xa}4bK0k z1O5>3M}V^dF9bXT@Jzt70M7>eC*VGSUj@7u@MshB`{fj9|5)IU13ccUPx*XgP0{(? z4*F**^v87QkLl1KFN3`S=)DGdBLI(r`g1{lv}yP4hmHRJ7}|3c_6x1S|LfpyJor0r z<%7n1f6E_zeiUrYcgo+-tBdAu>WZTCHy8AefPQbVZvegNpqCB&6QI`%?3+Mu2I!>$ zU;d?N{6lh!;^7vi_RluwH(x(G!u`J!;BJ6>0!}qC-;WN2{Y)>*PW>~~jEDPA1HE*> z8GthZX90c*a6aGyz=ePZ0PYNUpo#hCQ5*d}A2H7Je+7KZ!bbaz{Zu@hhYbfj0nW!x z{#1OKj{{0p1zrO+g9q=E3 z_X0j(V!nTx4)^2lRumoo-L3Xfyrm%Cj$oe*dS8NGC*W(KmjL!RL2o7KbpZZiSU;aM z?L42QtoBp?J_YuMuzzU^`<*)A?^lQ~ae2}F)wTHKuNmN{0Y4A=E1^Bjf!_jfOQ=5v z_9N>6XFTyM6yWy(e*$wxb9R$x3A16&4hMZnbn*8)5a{Jm*m%2yS*ztlGC^ZUX3aDV&&@HD{F0e=K|7U0={ z=a`s}r-tzPdNkk^_`H2C+;6t6C_4WivGPOhzY6P9b!dN2D<0y10PE9RpkD*@AAtNm z2=@0O-i@GF3HZf8uPoT#0lhV#_W{9_R0lWH?2uYvw~$nQOK{_*_25Bh&Y{Cz?H zKFr^npnn7G|3JK>LGLE;&w$<~u=j%aegnPBz&`29R~kHmls_xc7pysz*_t_M&fEVP>`xm*dsZwj+MeIQ-(K+dEUY(QTmI-g$piPBp)fuV!2H+< z`t?A6E!b;A{O3S#9q^w5z0bj31N2US-b&!l+EX-NZ(DZi|A{8%>*GS$Z!QMB1n^S8 z%K$G2yaMoNfIkPk67VX(s{wxjcrD;HfHwgC3h>u}Hv;|!@Fu|D0{#y0X24qje-C&o z;2!{Q2fV|?e1G>H?B{*~d<5`C*zZlV#uKf#QLx{i0^{o=z;gjF0{jl($rdJm?O=W^ z2D=9HqZ7op1oT%x{hM%}I}hybEIY;10rcmC{z9l! z{l>rX`Cz8W=k2Kq?YRk`zuf{{4fxdo-vfRPus;O&KJaUT{b9fi@+|>=6!X!ms8gO0EuLn2;a46s~z~O+)fWJmyZw$Bz;HH3I0DK$9Updns-@j+Ud?|0)ssCPr z{8fN>n}NTIR()grG(KNxY<<7S*pC>Wr<8{GQ)&Qy25=j|T>$q6JP7bbc)##IykD4U zu0MReC~dZf=jWR|bALtmgC_9#=T!53DPF%giGFL-pAVy{LestF6ciE{D$U!pZhNX?Jo(q6tuTKaqJP+^! z6Z7_ugYkR{_@^yQ@9X{s@AsZ6f+M05;Ci?IZz1ytz(&tT| zTF>+J{CNiA`v=-H)oKsbUjXL;?*sk-@Cd*o0l)5tS9DE`ZZQA9-Mc6_;f#!;eMi+eBK{r4j0{DNMOHpsHpum;5&ft0=@_MK46Ca z0n30Dz$#!3um^Au;9$VT02c>b0&q#dr2v-(e9!v660PSqAs=_aehct*;NL(D{EJ}! z7w{#(mjPb^d=2z}0Q+{pI{@zlyvxLVzMY5t{ZYVWVLq;e`SKg!iGYuQUK!B)9QeNi zejD%^&^ryd0_c|q`~~Q(2K*=BKLGy?{wjk08sP5*d>rbRgZlq~{Xwvw0{d@(-voaX z07rvf8^EmrM*?mIc(UK~h4Foi_W@4_yv=VvzT)X`qQ*@8@As7q{5s$-0k8MNhQ9@V zdInwucpc#NfWHL%Dc~xAncki|<8RJgyCmx5*dwbhteNATP2YDi{43DjVvw(rfQtdY zB;ZQWzf}QOhR=(u0j>i6sspYG{MvvY0e_DJehlJ$67bWY_Z;95!1Vz)0o)95OX#0S zz%jsY1Gqil4uBItF9~oL$VWH8X)yn?0H*;z6L1dja{&(qJPhywvF--gU$f?=8SLVLaUdd>8yNsDBTz zWcjD_i#71PxE}CEz~2Ji4tN*fUjY9Gct7AnfR6({Wn%t$!C>oojh^3z!TEPH$j>8i zf4dI(+zk9hV6O=H8sLS1p9j6~06$>ysXvZd?WOaTAc%K8@P7h+Bd~u3_S@k9afr7S z;E^!iKLxxB#@7#E{}ufG4*Zi~zXWy_@M92POTeFi|80QxfWO~B|1jA91-k5Jd0=y9Lr+_~JJP+_}z;gi40z4D&hZd&%r9*o&0B1t`H^O+C270MbzZc*% z(E9-5n+ke8puQJyPtcnJdXoW90{kA}cLBcxcp~7p0lx+KO~4ZXzX5oxg{gl!LVG&_ z?hLpK;1>aR1>6mAcMH?`Z7FNLq+9tHSSz^?!v z3HW8e!vPNiJQVO?3sXLlpnb`JQ=q+rKyLuxLcj%p^8x2snBqwQ{~Z7)LOi*k*AH+G z;J$#f0jC4b0-OOj4R9~OsepR|?g6+v;BJ7s0)7#27r>nXcLLlIa0=jLz)64;0e1jA z1MtUy69C5pZU;C9a5Ug3z~$in`vBmoz^?*01@;reVSk_kza-$&fJ*^pfF-~cAl@=y zmjU00{fGi~4R8?PNWc+*TLEriVVb|OFh3s#elx&L0XGKR2yjEd4FHD$4h0+nxGv!5 z06zn`4&WyNKLPl0z%>C^voPf^8rHiQz^x&_6`_6$;I{I0kSu;3&Y6fFl4m09+q%2;i}>UmOGYdEh?-_$k0oSeW{|8T3zc z=${SX?{Uz36!aQ{UK7Ag0lxtL9tQmyfNKM;3HTwv)d5!nTm|rhfGYv62)F{^@_@?$ zegJS83sZkQ0r`0n@Kb>60Dcsy%iuO*=U6@Xt6 z@PmLW0j>p zCBVKD?8U*p#j?}*+XVOz5w_l;C}&M0(=?p6~I>kUjuv{@D0E>0p9|A8}J>#cLCo6eBZ(} zA4@|1n*weExDnun7N&e31^ouV4+H++zz+p}J>Z`MeqG={2mG_Ze;Vv(z+MOR9tHd` z;D-QL2Rs1qOMvqL_XnH>I1O+H;GTfH0qz0#MGI5^owV{n_!QvNkgsH@e+>A?0iS^S zNf1v5zzKlk0k;Dj2e=vF(tu0C=S3v|7YAGna4=vG=&OK(0T%}>>v-tmkV?0{X)%6E z?2px!HF)cnt^>d5@O*{1H@@ol{^k<%CT6rLp3$M|uK2CvAHG}j{Q7nAQ8|B>y4EQE z@kL7eYn9gJH@PzX!{nD^BGnJqPJeIvTS;H_POQ0Q?BVwJmrnTO_B8F;7Ki6fUR&c@ z8|kgjdd(Z$tXaJg-EUTXFeawmB=6LcPgbdwHsiZLn?5$LFQqdFhIKe*Bd ziu6}}#1|t^H_drHJz`6n^xNkjYxm&zdvgl+?r7F?O?=$Ak1w@No?3IluY0}=ZvODV z1<@6+$F*EHw@IzqwJvqvQuUAfAv@b?v+9KAR12Rq=t#dMo8BDV;>3qP=d5UUdu!dD zd!xTAUN7RY6>85)i)%Lh^VY1^H{X0TcI)eVF7|oq!1#B+Dpo4zT2$+dS8pcA?(A@T zTeD{e+^o4I=I3{Fs_)s>A}%;&#`Z@KjEG8kvu5K_Ig4t|IMr_4^Zs z`F+{IjQs4J+$>g@QBdeD$WQIZ2K3J^$nM7mrsej_%k7`hzp#L{Y#$lYs@`zZGW>rm zAtH<5dZjL-=p{_(B~0igtW}uEkKlTJq5t|q|Mi9b>kIwYZ&hCu zf#7-r!S#lM>*03STO~!bZ10Uuh-n$2k3lgbDWPRza?AF%{c37hjL?>@BDO=o6zLe7 zm>kvF!HY?-?L>bC+g$n+Y=caRj))c*>{F8x9UU*S#6(1SZ6{l+lxW>1@Dme}oERsv zMaBtD?NU06y%|O)UBm8_SUq5!B8naO`ISlAHo^m<=T3&}~I2wlktA#Xgk8#4fheEh0K5E+yGsHmMioc9pdcScDi1*(KSIxpq#qQ>I-K?U-lhG&^P4CCQFCc22QV zhQpn^Hr%Oa!yP-;c9#(m-#$7v#&&)sMuggTN@7F<+q^|aL^!V0krAb`+UfwM?>Iw2W#Awzn+9l4N@W)GfAd+PCy3#3$NEmy{3|Zo7SoG%WU^85TQ7 zQSDkr*jtp4?c(|^QxfA7TXuF(AcmWU{qXYzX-ar)@5lZGaTX#{ z^s_A68=tR!tiBCsAKOYlh;oR+k0g%7k0g#JKB8Wu5QmhRc5%YuBf`QR0`-~2A^ObX z;1VKYjX9|ARRjx&FJt~Ju`7R;*kk9GDX|XC@tMUwd}g7z-K^IeP|w)Ww~I-3FpErH z$FWq;8=2D5_B6!sYOk5#P{(VHeL+<+3(`YoL3$jU;*Cmf9hKNVDp}Mu{}Dvqxq`@l zbZjgA{v6R-Kk5@T(2pW=<3|xW@|%_-M}8EMBR`7Bk<(cAI*nx0D3G-qH?jJ8U1XBC zRa{5?e?x38+IkD@OrHxwp|9P)Itlue6xYrd1rZa#&@nh}W5?h)4-FrK zcezs+6lFR^PGilvEBy7uX(X1*!1bfKMdmU>xRP5;E@Q;haTz2A$!(PA9AT5ZEn{qV zq{hUG(GTy1i%N_)COJ;Ovx%1ilOgu3*LSThuH8CGuO1t#Z!B#0QD*#{q=y+W(cPyj zBM@Ik+XLmfI&>p+48T*z03-78TphX*0thI;c$^23IRwPf#C42l7oSwmc1vImB8wrs z1IKtRhQmNK8REM*X}E^ru%_r?_=c2Ypl*ZC)Nl^e&~T3VmSf@!ZwAXf zCWGY?m%~CB*U?yNod!yBvV&QF6w>cj!c9Sp*CaS3L?<+8;G)pkogr>PlD=f>M>qPK zspm8RnmZ*hA!nVCfC4gDt~&1F=rYbKp#eo>uv~TAqtRuADk6i^4cqB{?R9wsaJ`-T z2*RjbZsta1VO@Tg7T?Ofoa4NJ72&x$bR#(2qsWob$pO;byt&w&ZsArmZg+5hnwvKl zyL+1h%Z$?`vBDKb;&$t%`?7G&C4%t&V-du+9wNBJD84J{0=^OC6r;-!bGv0*E?i@9 zzF}J`&i89Sl4H({7CGOo4JF~tS~oh~t97%(t=hT~3-8o!H)_M~bQd=4j<;zaK{#Ln zx=DLE%T>p5f{~1)irWp^7mU;WnFy|-INY6m8g4gdPI7FIuHqVr!=2eyTYsTR|2*FJ zs-petSFr}e;k_z9yX_gFpWS|`h>T3Me|XRh-Vpsb+%|6AXn#E_u4Na|t9!ALhSj#7 z{=u>6y zK4Y|3@cc@`5jA0xQ%VEU&X*mpC zKbFH7<}CfAmjL2Qvj4ExoS}YNfy9+$|7mlt-gRIH$UH20_sntL7$mV5sg ztiU|F_fLJV{uy>4f$6kBdZ4qd9FM%pqpd z0t(GFT|TYA0&`85t`*1_7&WNplU` zM|01oNed`6*L?c40t?JFpSo5cgUh4^;xmxJlMj8iI2yKZe6W7P1^$O{Y|Xqb$&JiA(%Q>2#W9`OuHET&JbQaU6yw$9Y0p z9H-7UDUPGhqy!L*V-FZAZZpv0xVmzid!~-##4{96b@ew9xh(n}vMe#wKPlVIlm7E!lN0i)^@Ql)*;q1uq1d_`bELR-|g5xud z^P`OOD0keoIBs+u$F$S7*1nnI6U3yb#K@>5Z~J&Rik)zqWc_uf1lu?7+eSqtL?zl) zGA#DjyLcnwqFUNkiW7dF$*|bT>DNWyhVhw1b?o1qHL3_|2rJn01z(1VixjlN_Um+U z`qz>~e(k^Mqt_5tun$y3w`Hd!>u+icEw-OU>)$H2eRo*D9wO8V@rtx;?d`|RpJZ=joOhmg-C zkc$>{mR?6UiR7YfyUVE4Kp35F63W^4i!RqtEZ0yhXZ!mhMs(p~xp1+Z?FOs8__t_G zlkKeane4j4FbIB*#xx1#1V2dQt0nRz_(>Y0j^HEVVZ0g1D{D0gy45+j5>lJ zrZG*zAOt^6W7e`Au|AV+eDR5b9~x?JSnNAPw+OUEJsY1W_@N=)i>P09i@=MhSK|{! zooZObYW7{K*Ae&^b!dE|s6P#h$iJvJjXL(7saxzKGd9yM-(j#Q;;$zVfqy%}!IAO4 ziShLo`^R`cCBciR{tOolhxh)uio4*9 z`68A>(mBOV#U<^gj!WJRl3U_+jwtiVqL&1G*%!SeU>ZfovfOHWJ0`3BnGd&$0~UP9 zLp2?p+TMcAnochX{KpW7)KziGVERa?P(%ZuAU9$ekhwsZ*zV{N`L-*0#qcUiLiMjW-@b!fvnKxMO zy)Axy0mK68Z9^@PRtD1BhE^bX4WzeS^WgjR-~Oc?|2&v9*Qk6nr_GHy#7vsovg2d9 z4hkPDu)qRIhUoJxNJb!oLXS*z{{KH}u4Bh17DzGz7&|_#0FvR_L2d;7qipAWvN;?~ znrk2WXzqi{qy-e3Yd(Eifd%H8PhBgJ!DZ3{@fpbA@~OEFE*~q9WCSp{d|Ck{!>NPB zuP@NVaeD}GdVRrP!{I4aza7#m2lii__16)95eHfJuP-3WB`$|YTJe{0$cOQXx7)O| zIF7^6hoT=j0ZgKt{ zUSBZBi^J;+oFMuF7AFW_U-*w94w1i?;QVyRY3@pa2|4RHz2soF$_PmGl0y-OdpNp` zb2LtGLj31T^d>|RhI=%+j6*bn*Ci|;f;Yd(>a?0T?ywy<){b+?X&WtSrqjWp)48GJ zx@#DP3y*FT=f*G!Lv=VZ)ayAp6s{_c-%dBWQuyt3GCB=1(YMomb@?%%{TGUga-4Sw z^rdm(Yf(kSg!#21aySGdM@FLqq`7%>u{)g+`hV@*JsRIhqMJ7tyStabGUGUC%x(}y z!q4EGrivd)cweSdk`TwqP?c z>z5U_A?4aBj>m!i?pzb#d>Htjl+!_gKP!Ot1<=8c2Z8?X+}r2Y!M@`?*Qk9g*CA)H z0+Mp=U_&V&cdiL=KL4X!`d9%t3}6NuQUSEjVXxvJlzaPJI@ot^CO-JHe3>k_1o&7E z+Y@sNo2)>>a!Zp>DWIU-(xfXnJre$xg#hNcPb-itxDOVeQ~+6UA1pp4_bj;eii=%8 z^l>^-u+nI<-1^POa!sSj3M4GIH2RbR3d${wx>5jx$z%oKP#-1%#O^+rd=3Lr3MeS| zEI9OvZwD;=2udF(E?Z=`b3IEze0*jR#9vDCZTkI={+ya?1ZyaX&-DD zOq&`m4HhQd-Qn9uWOqEh6aIdZuQorFb9{E=EMY5&5s-|dircvz{XCNJ zh>HlWp*S4c@t;O=6>&PUBb7iz#5r*|uQO(ucnUdA9;e%q(>~Z~syLj~*)~uAg(Lg9 zEBKwIc!%Fh^4SExm*lhQe|g0IO-@5JSyVG2S@i9Qc-@o|Yx_qugzr@gU#k|pRc*W= zZTlq~;XBpBSE>bXR2wfx3p@zkrxw0WZ5Zqq+C;%+!MoJLSE+?>QX2-L5BuGW;5F(* z`{|Tu`)!N(MEjdUVubC_eZ`w!*0Y-m`XaCYfgRhgsQFB>?UVICKG8DHThIP$T?uh< zqQ97Cm_&auEume6?N@O0F9n9xtuIvcS#6t<5*HU4->JRGmSWpN{SOD#3(>!4WE%<@ z^_9*}m77Jz2D+}es$q4g>EKkaX}cvf0&37X#K9KkU<()7Lh3s>3=OeehV)QEL!6rL zvkIDT*u>5ES;aMl&DZM*o3Go1&2JDUYGH#grxyCGf;bJExP?BexTdg$t?D&s)ye*w zvwDA+R&SF2yVCkUfxJJdkt@fAp#PCDcTWb%{!f(o#cYt=!f_E1$LgLEli}pRxuLo> z5Q1Zf-Xz=oikT#z1DE)`Nw&8sKLLjf>kgcv3vp|nPH+rgw>yWg6CA_W?T+C)dUqRx zZo!4QHD4z{{$q5t|q|Mi9b>-Q4-u^nQ1WTNY~_ZOXSdoHM7x7|PVDo9K1 z@6F81N=?hn&#>!hlTnbDnqQdO-?m?iFhWyZMQl6A6d9PEUzjo2!HY?-O`^YoZO;4& zwm}ZaOv@A)>{Bx!Gc#9Y$x6$x-yHNBkg3}QezMXE^K(SD^c{ zHjs=%w-u$>&WNHE`%v=phuBWHw9KrW0fqLmIeoq9*#qm<%`Zr|73FqEt4n34x*;x} zLu|)QniW@wvuE4oiRy;Acn)*)9OmrVz9X!t8@PCG;OM!5vuE3zjn!tCWIN{CIn_>? zc1g5jo}JU|lx3GBJLcFq#ZDOxckbG7r=AUW>{#1Pf!?qBt;Y5iWtw{1-X=|(?X=A8 zpP8LyYspUwweRKpv<9{vk)D?3xKgL5W#?xUWE9$YOHb?PO-&ytGWAL=ux+$aEzkCr zWmpPqZ-BbR)=mFZZ(eS`eRKtRVd1vhr*y+&ADUsYbCl69HO=mNX1e`8Au~NqXb@~< z^eVP7>DTjwT6tozJfYfP+ou-8k9`vbEf>bC3kiG+U8IYA2$ck}rss>D=jYoG$jo%x z`)X#oFu8)}3HwRhO``S*nwKvc3HpXh(5v>(A(`p<1;QEyVlhz$^KB=z?!|sc34M!Q zi#!V)+xpGU%(XvA%rq?FUi;5yOruCp|5&SGh&N-feUIt7K7vDS$EvpV`lZ@C%TMi> z;my!jbccOxCXj5moSB7!wV=>`o)+51lhxmLUS$>5tLv>-H!H*b%NYNeZC7G4XQnw< z*X`o^sRQzJ^Hc4|zt4e1u)UZ16KwCPeuTjeL7F}WJA|1wprA0<_QvOPk*#k7`e*mj z4V3g7GK8v zSz=fIEV0MIsRObdn&UHzefZ2mal2WsH=v%^aYWSfrVmK9J<~Ai8jlzKvI<4gx>;nh zzwULOL}V7EfXspvI5yOqQP?{pzkf!dDE}W$v%Rlo6?*+B zA~${%kt4sUCvxOR5jpash#WbMSFh7(HH`wr1B;VgkJp9ZR9k54U7)+xmjm0?&(|)Cp?eRE<>D#;m29J_?#_HP zH*bOH1nMs^hi(zM*ax~dd|+Vt@zLD8x!8r~oPlZmatrLg1nL_$7QuFx=1&k0JX68` z3sQaxwkwOD-Tuo{7Qr{roMLnti8%uef@6#Z!8L~TfgxV|pNjGe)JJm4m*L7FxyECV zoMW6M5(=P51-7fmYAfI>LKG>BY^j@GZhWf*l z^E@X~fGk&Mj)af^g3&qdu^1#bPmcD`03y*j?vWTIH%}sadV#l>KIIA=M!0TF&(6&B z<_-|vjtz?Q4OwU8q!&7SvEp#LEgLef(fSzrq|hg?L$fWG3nOE&5p)1jCNTiDfC4kr z#LI~<3&xt^mQNqYQD=Z_Iz>&&a@xCP=^u*dcwovY#{kxNYeEW4SsL67=nX+nz2<=eTX^436`j&Brje zV~zvEw_nl!$6+24#d&wc9XhNbmXiC%jl`UHZvL#mf^*)xnR+gpH$RedOWn3_x{z>~ zhzz#Z^%t7-&*OcsD%!t(m2EH_-mCJn+nyi#+3gp)^z?lDg9+W>4H2AKXX{4W_M~Uz zqz)0ix|i*<+Sb!QAQpYBoNd?ypDSnQ*na+%-QV_=iR@gbqfwtxw7vEjGX*~*Ve0Bu z+gD=9YX7q{+-jQ^%dLIST1H2w_WKH7O{a$iB8VRrI71w_=wZQE)%jt;k0RV}7vcD} zSq_ag-HC6Y{$c@nwBM5Z%ZL+W1|!^(`-wPi$&u&k(2XFr)0W(uY5yCC<|y`KIgD68 zmc!8XV>xsyXX!V=0HQCj|7;baFR=gh#s6Ui5SMFA_4SMj&Zd3cYcw>tEeb3ZxWcOAECj=kczG2`do!b4MEN;u6SFV^c7 z&J4#BaPCU@K7&8Q*^%SnHkT2;uHerQI?|7K9S^q+5y7FSVbZ^hDwNLfiWgPeuX*^M zwC&}@Cx`_Z`RN%2-u}666g%NQh58GVdA4uf_sz)2%gDE@WLWI45AmkuWTe_w$`QOt zo+J7?lWq`vSH!T``PZ)tz9wT>#A5belricEyx3nBIuHbF`!&0qbYT<3Ef6(85Wj8s z0}AzbyoDCqw;%Lx72Cd7tX~fiYK3@3TDG?~T}yxQMi5u1P%BhWBTT3jCe*UMpBmq& z7HZWOYT4fObgc&V2O2($sPzqmUTp7P{W9{iY)4|h?Ec=|OxtCw-+-LLdf|iXg%{X= zum3;0UB0*ywtT&8Vz8Fd;6qti`7 zIs1OmCE?g`ZE|&X0>fQppjic+|p4n9rZIV*T>~fMe4pZB-F(q+h zs_j70wPnRoV@s|iJ5HN2w<$BHkTNqfGcz+Y<9E()maSdMPt*5*eS6urc4yAaoHIPL zyHd^>Uy_O_;Jav)SI$-ouUtjJ9syrRqr3v68=L#9S`aEIrGT%b;V=Q;Nu#`S_8!VB zXJ-JfASD96mxjXxd@+sk3REKCt7%lIoHZ6+IrU4Wg9?{@au){q1jH3ovZ-{y7Y!xZ zC%2*G6Oc+!six9Fg~~p`vE?pO3KNiiP=Th>LFLIlLFosTCWpygnBo;iCi(=+#S-SP}nHDGt%3>TJQ&W;MF^{(*OPZGk|I1Mcf0VCZdK zw?yzZlTRv84eOR}?l*#5vEZ(6!1JGev3#io-5YktQ)qgDccVR#<f8h)VybPCwy@Whla zBZKcfxubzpUX55P=TPU5DsQ21Oa&#Ew@`v_CwY|$+Qafo6<}Wh1;YN#)ZBQX$h5Ri%_vo{j}V`Kkeg3R0?oTnO5u_U1wX)qu1L+Ozh@m9MfO zsseH$e`P^T`Ewy(spRpeJBOh&TU7y+RK9Y9RNl&@qzX|hUp6A9f|SaajTBP>l}Skz zkYE9o3327COb{v{7xGso#FRf5LP{n0_ORJR@=V*qZVtO*$bE0vB@ldT*e?`jZupI1 zUrgaTWkND7=&rDt0N+Q<)A~%2uu7*yLff4w5~8V+2&-#KBEKSG+0Jp~X=tWMzI5_5 zF%?T#`%)753=VHuDvmq`2WEQ6U0~FYkek4kf6zT(%RlfIuq_a%U1%|dj;WzVL!Kc? z1{X(IL4?hztXsN4H-3>3mQeVz+m;G8Amrw-olwXtRBoC4x&+-mcE=K`YRKzTb{t_h zm_0)IQVR0Vb9b53;P;CH-(ElF7F<>XFNvU_OChe|sx3WTPf)y%s+vye+xn3Tad>sgTxXONEU1 zF1@snU6;*q^~pcG<2`j6IcH73EwSsMHV*Y z^N1BdRcmNvFS^Q#WA{B@AU3X3d%X>(kKrIX%^D9 zIkG~m44L736f3~We5H+D$lx7RD>)^WHy= z`Kly>RSYk)@R2yYoI<hKUJJylPn^>Sg0XwqUyj!x62;Y;nMMC=hf_7!Ch{CsJ zl~kU6S(i|_R{3^jDWbp(4)Vz9PTz2pyGIT9o~2aC_a(tE;QNx`N54Fhd*hfR%?5?+ z%m%$3ks`0IM9v@42z;(O@UiNEr>gk@>6|aw1U^$8_(*lY6V?21bU+FL&r=6JPR$;< zN56D{bHKCIfsaxLK1t0UfobF(>H;34PUoH}q;tM)kxJ(tr_-@TIqSQ6Sud9tjoS1B zJ305;;gv{c>4zzr+nYw`{?&-i_V%D(Ok=O0Urg)lh~<0*hh7RCH)?!fjPT8AMpt`# zYieOK$geA>h4jNgqsP#DMmbfnJZV~xD^}Y($a4b48yu8Z>ghiqv@MbQN5QDnOo`C&c@rRkJQ3s^d+p?#GI{iC z%H-^FT@g$URUpiBOg{5SAXI(w4_BWALe(e#Q1wGor(b5vlYF>xxsgoy?bf~hy zo`F8}tFu8va!at)W2tdW7{@;d7!)z(TEMRla`!Nneqy&XuRw6zK|b7UxVUo8;W%Lk zgoVx*nEb>qRE#%wn)x}zooH?C8sKjG99U$OW15ndn%Um*DSL^zvG=vbi~`zImcM` z%Fv!&E*-LgJq`Kf3ec5Fn+-fHe|kyf%%bkxZBKWUF(}$iyBky@pN-Cn@br}hn`G!c08S^ zOvc)~TI1!3v{J2?bJO(pR7-QaZLg@Snl-($rm2E{J;OSm9#2wo?bc;YtkpW@B-&GL z_T~K6cF~?_nL!_1sl?)GiT3#7#UtmZI^rW^&57j5ijJ0eYiqo9WFpxXPbOj`GtCQP zBNwL93r0?>n_e<9LzCi>6eau6X;VvArZ|)BS!}9hsNYFSrSp3~^Ea?@x!`B&xJ)YFk^%ZzMEWT_?;xsZr0UhJrx$O_Lzc}2sl z#wOBoIq60}{t=)XP5;fNX68wGec8WD)GYsOIu;KteWd)aYMPy8*@ZzpiDO=4Wp!oE z^rpGhRm->7vkTLCv(`+zac<*sN!!d}8m9h_GPtR;xwSZ!>CS6tT}gRU#oV%r<(tPy zKHC%2yqq%T2k(276^=$4LqSNN9OX`+yrA)Tjm9l2KJy$}4))Z>G zHCLjOe>H-c*-A;abkT2Z{l|IRlx$1o&Cl}6`iio~+WMXTrDc@X$Yea*p2%d2+cWw5 z?y836HQ8yQA1%vQYp7&PXH`~}H&xY6|CffU=`O9n{^QcKyRcYCYZEP{^4eHdy&TLV zyTTIsSGC`^DA{HYJ~h+pt>uZg$<Det&2O;dxmAQy%MG^jUA|QE}x|HrMi5IHnYesTHor=>B4!Dzg(AM?V0@j zTvbEua>~a%O~fj_<&auqX|X*AH}_~f^%hCZuBfm4*S%Ucp3M~ZEVNS#F1tCi|D9pk zRA+IQvmsKF$)sY{sn#yqU@~`u-2H&dTQ$vD%WIz`JZBf1D%opxd%Z5yTHVTZ-bYlK zm5sN~PQ(|A*_9P@n(9)S?1%lTA0rvJDCP?&{`jB9#=4rIj`1O%2&}S1j8_ zyK;@G{6XqVYMMGJVmn@nkMyJsN2KXQred$4<)`D;m};cG#*9k7i8tv)BS#oZbuJ2! znwCnJVppdS$+A>3Lq^i`gc*o)>ef6*B?l#4N|<@jLn_6mwWm_)y7uNIZBeS!dOJHA zYenJoQrVeBWx2#Dn>w0lk9Sdx zn*J#LxuE94G{1L_}7FxonMd1xA9o#i;6(&Z$es-PIRWhi=EJ^@p&Ut6@!xCMq?}Kl~L`5_3#TdrX0P{8t77KP;GiZMLS-| z3~gaWGX;>SCpYSQ1Chyr5wd*z7RF9OZEd$Mv1ZMxd^%h65+_KSGC zM2>{kt=8S{v8p5}CbMhnOvmeacc+o|M$KNq?c(T4K^n3&FvL_on87LPX`OA&bPp$+ zGJ8d@lP3bVDDsYFdv&XRM{g7!aYRzx+-dfAbe5~%usR*`rb_1;j!?M+0y#~|C6K?H zA>p7-#uJaXt-(aAg_E4u*W0lu?*h9N5)SK3$UCqZ`ZI^}*wepyipA^Y>N@@>ZC%|( zh=yFX$(!_QPCND6o-tTnz1stAEIRVajAp95DDh6}yZsHqwMg90B>%rRfO= ze#)WOh?~n(McONf(x^G@Za1jR9w(1RZc#h9r_ERqxE;MwxP4Tvw8fKksfF=$d2_Z| z^%5Qz1n2phHO+JhLkZQ*R+d&asMe*JlNbk?kG9(7CTL4jC#yuEpmGPjwo%i9X?163x;~zvUoldZiPunY%a9B5*)8F;ohqu+@m5-o^qS02i_hta$a4C) z+Ds{}b4rrQ6h%y;SYMh8o|arbh%R+W2x%H_rs_X4EoyhIxmh!-90z zpPvnJ`5^XQLCq%FtU8r)dJd^AIV;1H#`%fP4CS9WKME5-%x1ULs-iHXoz>Y&3lUnY z(h4-*+%dPVL6u8SXNJ6NcU3e>*yXBnvX{y#1ME(>zP4ILN<~%K(MhX$He5x?VZDMV z^MEL=JdM+{R|#ukm_U^DFi{&lF2l3w##G8&*Sn*!!&oInduiN|t(^v{{PvcDt5EE5 zJ4m+oI8M7<)J5WvvAx~pTS!#atl7*SpN?jsk!$bu24ZjSwR%@Y-^*E*9M6wk4XTme zXfElbDL8k@aj&1*$juD%1$60UcJOLeX63EZ)~&wW>+j7&Y^($dIyq$KXR zO4QQY6&Pw;0n~KEx^g<@oOwj!Xbwpv#KS`lKeG>Yc?J!>-L$h;8lT_Xok;O!9gV)& zKydVMr=Y5ZV-r;1q17|RSBWd<#*`DeVTvyyBUsXz;Npb*q*R8IMWI|->aVrklFZ$MY{&{N-(Q%s|-&C>@J}!)j^NB zJ6p``8B29^RwY`}&FKXFs4S0YDt;x)d+K%5nyBL|uWYQWttqKekGWEb&x@zi@z$#5 zWLp<)rRek{4G?Vs5piL&xF`}WOX!eZqDzAh2bZadQW@&mHw(Zn1Tr$Gc**1*bJVJF9W{kE7 zx%3LgZk}M2K`I9->CDc+qM=U~w{fg5@-NjCD4iPdfJ+ zGis8Um!-Q#BD>ev*&d=%al#QR2Hx0Di)iqgS&P-|4 z6g6e#Me|5LQ#5oqKi@NKF+P+i@0{47y|GqOu9C&E#Jol2@p;ws05x?Pt+SikyUb)+ z0GIVttK((!CqUM`9Tj(inRbw=sMHA1WGYwOt)jL(l{LzZ!*Pjt!jVE&820zv z6ftAAG_d5X2lc$p^bw!fqWZrbCb1zB9=GP|M7kToEo^XdC_jWyr_8z`-RyMD# zsh)-|*G!zML^G`$i-wL?t;`ZfV=HNGE$yN()>{jn2q973+%v5?NqfrX%Q$0f5#>!$ zH)I!4BUEo8jlru0wv1b^^0G>`65_0OQY^_7)yMbhqBnBr?EtEHmVT7IWO-$>vnxB( zj@)d~^)T^ z9Tho?Q4~3IB$G9bjaMc!yo<>%pg3tXPzJ`ibF}k_QsL{$THXrF&{wtCiC3q(<5kU> z?6i4gA&rbmDOc*H&2&38#xd2HRhS~Wjisa*}BtOIv@bAvP;`(n?#h8x#>g;-91qiu}S4>Nnps5 zYCHaEnGO|y)vQL_u`EqRj`G4D0=)@kdm-OvWfSexSQAcW%}FQd-c7H2TUPOxrPA?C z1N|<2Y`*)&ocefkE4=LJc~6lR=2We0r_%b8>fQHfR@OPnt(^06_!d0(xYl z$lA0}t+XNDP69>rET(8^Z{~NXXud+P7L}&DxUnrNYKS!_Sy6igrO{K+Q@!?eaUv7r z5tTj!J-Ft$)6;J3P9I`M#azdIe!Pd>=|fbPH8}1au?)M@hp3oa>$t~zQtVD2qO5$H z;~s0Bhxl9P33>yn4U1B@cOM1@+AxxGP{cZXU__Zen9YrK1M-IhF@? z1|6L$Da&aZ^%8Z>+4;)VEj%k3OLgb=Qgb$1GpFds;^Q=DO!f|5(%MZ;skb|As#j5~ zF)el{$lL7|>2xYhgA8`+VHmF~$)+57Dvw-hDj%h#lRL__mtM*_hg!CWGNP=DN-UYh zUDHnLJ-u0Mjp#HEQZuBxW0?h^0^AYsHmj3Cz8KKsQX@&lddGvn3z{e`3PgK)-bhT} zhImYNe%UE7jMSXxd zXQ@feX{Kfp6yKb5wj$|BPY4vO;F|9Ujt>-sa(43La>`XEvmrK!vNC!W-mW2536yb{ zH>zivdGr>TmF&v!$V1;dR#Z-3Jl0#HvARKd&obMam47_zYb>wL5u&^@hhtd|^?Dn` zk=C_!^bTLGrhuL~XZ2_$r-ou`pQ{K{+t1h!;rUZ{;G^PeeQPNOW>FfBc5;dbx&7q85YU@?zrbJ~$ zMaAgxW5;;g9KGv;7je>RZmH&xb`<1G{XvX!(KX9tUu$}doc2%O)u3vLSc-ZU1df(8 zx1~N2Gw3)v2IbY!+(|Rf`l{M88i8p>q#m%d6{AozQR3+*&CF`kRGiM#k(x7k^IU@b zsFkDyttyK!h0mayP{WTaw`=IVg!a~1N&1GJ()Io@S&SZL=-wHV71)bGlq8jpB! zU=}a==gKp)X_V1AFO7M9Njh#$(X6L&$;zPaM77YGMr`3xR?uFLJ)N{#kTX4~ZqCN$ zmo17}%X8KD(nc_CQ+3chioR8}ntJo*HoH$UC6sHtiJA#j+92Pu=1zJv+N|f(5!tWr<;AW(?grv z8Jc0xmcznk?#sMlyxdTt)7x9jM7s|S&GX{4#B4|HFpcH(XuV#6 zS&DK~k0N1H-aMra#pBhPEp0|yjgwAU(dqZ4g5uiCLgwy)9Z^-Pxpg+J2-V^i6I(%B zck?pBn!4DcHC^;A7wOu0oQ6LI45N*)_J&wGVRb`xKu&|c(80+d+eZz#IXpNBy{n$X zhqnBbB0R_Pt3gH4lA`%RFBNDFM7uxqN`g;-TQQ1#W<1{Ms}k&|dI0QNs~mS6n@VPx z?F|vkt*tLhwYN8SX3QpP^)JEwyxMfAztah>}twZ)iqiId}<2tsY}3& z3@uhET-w~#D#lNwI}l>*q;bU2lj$CWn9L4JtR<0I$eTs!hWV+5G-z_q+isQ>cJ6X`r4w zPMJ*8L3*61kvH+_AqVQun_Bn|J!}e9HiuaLIGyx!>1ajiNCn4e<-4t8K2cYs_Cp2r zT}dukm&tU`Y*dlehjAIwpZT^C3A+THbln1;*aA|tBMIuxMMIA!IDIW$&!O+B;w}5) zk#u2)&IKXq;P9xvY~Yz zJyzoN<-xXhw>IY*m&#N@GJ(nN2H zNrrRYa3Ya$%}dbk5{LUG!*xqN0f_ zxiHn~s3Mw&k_(f8?6AyjNOjRJxow-}Oe-0BL>E1SN1Spt$ct?=#}A$W@W^2e9OR`s zB)n-#?anSnjt|oq+o#-hyQLL(TUyOx^Tt9;)qq&sKCZ}duRb71FF$uLpav*Aq!}f8 zaNE(b!|P6c&FmOvGfLFTUsu;_Tkg$hr*DRUZIl&*C%bJu;H%aHbhos!l@`oiKf5t; z&!6Kl1oX4^;~1_!$ZWHAcz#}i!qZ&@yliYv2T3caP@jrn4LT>$YTYBUyh?eTAQh)) z($sWIG9{S0TWu7f$%`FP{iZk6H{lD`JQ9rs#GlOs#-GhN@i#WO;xC)ekI&FUL$1z{ z2L!$miicciil{QwawW6)o;z)l**D!SFIwV}FZK1_5a_im8K>W}UAp6G%=miH{9%aaD$LXq)q2m(t2p_$ZhHF6P|e6ZsfKZHGfK_%^{c{1noWB z_f$z95$%pbJ=5fL5Y(u%m@v~m3O#PX``Wx)qty0#Vsg#5FmrigH1c_<_cdwSK!dgN zavA}TOSV$ou~bOUYz3M_`)`ns>Zw==t6@p3sbJYRd2`qqQm3!neXRO-({*8vp-e9vrWZ$R&~g zbk=RIVEH)9Fip|94Vhb@;3=_k}mSQV0LKM?fi`JiFj2J7%iSc5Bm?$QR$zp4< zjo4O9p>(zvQ$>j=6=kAaRETL}x|l)f^C3m05D|4goOF$f9Z27qqDoYY8c{3i#Ezm~ zG>AqqOUxE?#9XnH*jel%b``sc-9?kwLo|yP5fiNxhlyCP;+_;vBjXq{?jK z&ZLkaWo8jsH7Z0>OprQz5Zg#SK}yAldy_?n0_9te=0mLqG zAhBB9wd$> z9xRR{9x9F}9wts89xhHK9wAO59w|;H9wkm89xYBKE)k~@j}fO6j}>PSj}vDSj~8bV zPaum0g+GUQ5?L%L{CUJv#8Tp^;(X$1;sWC7;zHsX;v(XiVj1x)aWU~6aS8D}aVc@B zxQuwdxSTjjTtQqQt|V?Ht|BJH)x&vT4FnuG#}T|e}lN5$Yp9CoYfbI8*nAj z6r|`!ZK4j9Rid&=v$7f_CDC>zwOu|R46XpK2(ARK46XtW0apcA16K#v0M`W90@nuD z0oMiB1J?&P05=4Sz>UC-!A-zT!Og(U!7ad{;4pAFxFxt1I07sNM}nik(cl;MU+a;I`lta652&a4J{=mV#wqIamQs1E+&Cz)FxCo9Z|uIu41BL!#r5 z=r|<042kYTqT`V0I3zj_iOxcz`;chZ5)E6TE0O3#B&vu+7a-BFCAt-fZbFJZphGj* z0>;2rFb>WG+rar?0^Ac^0Jei2U=mD$ogi0RiLO(k+mNnqL!#S|=r$y}4T)|;qT7(@ zHYB9tj==9t|!5j{%Pbj{}bfPXM{w zkmxofx($hLL!#S|=r$y}4T)|;qT7(@HYBKyz{kNSz$d|{z^B1yz-Phdz~{jiz!$-nz?Z>Sz*oW7 zz}LYyz&F9Sz_-D7z<0s-!1uuqzz@NXz>mRCz)!)?z|X-iz%RkCz^}n?z;D6t!0*8y zz#qY%z@Nciz+b`Nz~8|?z(2viz`wzNn36!`4=FWJ2P0rVus=8ejDiL@5F7*!23G)A z1XluA23G-xfaw3_YB*mVTmxJaTnk(qTnAhiTn}6y+yLAVECM$IHwHHWHw8BXHwU)> zhl0bv;oz3wR^SM*7#smK=l7|9L~ps6Tpe!BycjgHMkA9EjR_-4%{A`3YLJS zU>R5rR)EvM>EH~o65Iit308sCU=3Ic)`2^M^Pa6Xs-_XHP!?O+F(1XExqxEGiPGhi0%0=vP5U=O$m+#B2n zTnz3D?g#D<9snK)9t0i?9s(W;9tIu`9swQ+9t9o^E&-1Lj|Gndj|WcxPXtc_PX%kkq8^N2vo55SaTfy7F+rc})JHflayTNHHhrvg{N5RLy z$H6DSC&8z{r@?2yXTj&d=fM}i7r~dnm%&%SSHai7*TFZyH^H~Sx50P7cft3-_rVXq z55bSXkHJsCPr=W?&%rOiFTtu@lfcQ~*5Eeaw%`VcKLkGlKL$SmKLtMnKL@`6zXZPmzXrbn zzXiVozXyK+e*}L5e+GX6e+7R7e+T~n{{;U6{|5hI>I9+$HISX89s&D-{lNiX6g0qr z;2>}?xB|E$xDvQBxC%G~ToqgmTpe5kToYUiTpL^mTo+sqTp!#3+z>1RHv%^XHvu;V zHv=~Zw*ZHN!@%L-mf%+42(TC&3626sgJZz4;5cwRI02jpP68)`TZ7wx+k#WT?ZEB9 zsbC3M3YLN8U&$4SPwRUjo>VBHaG{I3+@E&4DJH% z3hoB(4mN>%fX!eF7z10uI5-b%1LuPYa8Gan*ba7pNiYR=f_s5!Fau`6F0dP12=;)B zz`enJz{TLc;C|r#-~r%);6dQQ;343l;9=n5;1S@F;8Ebw;1ci{@L2FT@Obb9@I>$= z@MQ25@Ko?L@O1DD@J#S5@NDoL@Lcdba4C2`cma4JcoDb^ycoO$ycE0)yd1m&yb`<$ zyc)a)ycWC;ydJy(yb-(!ycxU&ycN6+ydAs)yc4_&yc@g+ycfI=ydQi3d=PvHd>DKL zd=z{Pd>niNd=h*Ld>VWPd=`8Td>(uOd=Y#Jd>MQNd=-2Rd>woPd=q>Nd>ecRd>4EV zd>{M({1E&I{22TM{1p5Q{2crO{1W^M{2KfQ{1*HU{2u%P{1N;K{2BZO{1yBS{2lxQ z{1f~O{2Tm-86glQsDV1j9x~Do><tw*Ho!3p3*a1uBf+#1{l+!mYyZU=4;P6bQAQm_mx2P?p7;B;^XSPAX`&IGH# zYOn^Z1?#{a!FsR(Yy@X9`5sSXHm>J@bHSa!oxxqeUBTVJ-N7bs53m_*0b^h*7zgKp zZQy(`0qzMd0NcS1FbSr>PH-2QCHA2QL6G1TO-Yffs|9fR}=oftQ0%VfcJv;f%k(CfDeKXfe(X^fRBQYfscbvfKP%?flq_afX{-@ zfzN|4fG>hCfiHuvfUknDfv z18xgW0k;FU2d9E1U@2GzmV*`GG;lgN1FQsh0B3?#U^Q3+)`E55j$l3505*cNz}es& za4xtLxHGs5xGT6DxI5Sc?g2J~Enp061>@j6unn9KCcr(x1z7!`-1y{`-2C72Z9HI2ZM)zhk}QJhl59eM}kLzM}teiW58p< zYR z-UQwZ-U8kV-Ui+d-T~eT-UZ$b-UHqX-Ur?fJ^(%lJ_J4tJ_0@pJ_bGxJ^?-nJ_SAv zJ_9}rJ_kMzz5u=mz68Duz5>1qz6QPyz5%`oz6HJwz5~7sz6ZV!egJ+5egu9Degb|9 zeg=LHegS?7eg%FFegl3Beg}RJ{s8_6{sjIE{sR6A{s#UI{sI08{ssOG{=*zVAWBdJ zbua?<1N(z4DhEVC0~`nr0tbUDfGdJ4fh&WnfJ4Al!PUUk!8O1&!L`7(!F9lO!S%rP z!41F-!6I-YaAR;2a8qzIaC2}Aa40wo91d;?ZUv42i@}lLC~!151{@2H1IL3Cz=_}_ za5A_xxDB{1I0f7e+#Z|?mVl*T8CVWhfYZR~;0&-5+yR^kR)N)E4Ok1-fjffrU<23) z&H`tHbHKUaPT58GyTOHE54Z^28{7w64DJi=2ks9Z03HY)1Re|?0v-w;1|AL`0Uik+1s)A9 z0gnNX1&;%d2TuS`1Wy7_22TM`1y2J{2hRY{1kVD`2G0S{1!H>X?!B4W0yhRX0XGFV12+e^0EdFZ zz~SJQ;8x%WuoxT(jsi!6W5BWCIB+~T0h|a<0w;r8gWG`Hf>Xfl!0o}QU&m)_}EO9k?S{4>o{};4E-9I0u{y?gZ`(?gH)#?gs7-Hi3J9 z&0q@{16#p3I1g+C=Yt7wPjCU)4t9V^Fa>skdx2>%17^W4up3+m_JE7Py}^CJ#o)f+ ze&GJ#0pNk)LEypQA>g6lVc_B55#W*FQQ*5&7g1bTY!B#-Zq22!>#ABf3CnFE&W6+2hv>(4s+^2)~;LYKgc4MqF^|HK_h8)N*EcfYG|OBu=$Kt{1&nt{=TbZVwSDCJvOF5c|nZiL1)Zh*7yY(U5Brb;)a(A(GcHE6ZWTmE>^ZAh{)RMY$DmupB{L zO%@YhkRypN%2C7(B(GtLN z8{$N{Epd{ZLYypl4YRe}p16&iN}M7~h-I>rSRu=Z+sbm{bXh^Hl+%dYOJ2j2P+<%4 zG}RXVLW8@Bvm~!-=EzE7Qru5`M(#kID`ygC%PQh7vYNPutRe17HC%|-#BIc9v4nkH z*3x;CtRuF|9f^C&dSa(+Aig1awezOr)y`XTHt|q7hj^HrOI#v%A|5MuCLSqwAs#Mw zCB7|pBOWMsC%z+_hzH9(h)2t2;+e9Acs9+b>B|dbjCh1>C7vkb#G~Xq;!??Lo(m+e zc`lR*;ze>#;>mIW@l@GPJY9AWkHqWH<2=xsZ67Jg#9Rtk^@X+7~;yXJ3OMF6=cYyeqXo!!9gT#l# z6~qU`mBgFGHN~sMwZ-$qjcMX0sAfM)+*~|O+(JA_94a0qZYkFwjv$SM9D`SrK9ZCO zrSUiMbMXW5Pw@@$Z~7GCEAcz=Tk$LL2k|rUXBspGx&B1_RlHB}tU~h`K^@Aw#MQ;y z#5E|K5dVnJ=v;~~i0s3U!hcHaCtfG6C0-`3BVHn|Ctf6OAYLFAiN}e<$VX7CdxSVr zJWL$TT?Dl#E+ZMeJbDFvS_fUrgXn@j*r{T*iOujD@J_jq2S+a_pDRKG!V^K<;G}vT zVd>{jBS`cAGX-+m^DiAkjrxD#sYS1hUgfJJdBR;Co|7WZ3xqi*DezB(CC#^ zPkC4n(W`pp2vs_H7`aM$I7NLm{GIUkejwx#;@ee8PM|3_WOlcLvAy}dqqL-ady;QwAd`mRvU5QRJb@2ZA|{C}=MsCJ>AIaLo05$c&Uy8mB0hMM~ydFITp|I4&; zDp0uNf28XFzoPxW$gZ4egl1FULxe^b>e=_S{{47UPz*U0%jwv6`}!VDK`Jjlp-_|l zch8)5N32;~^mdvE@oLIB$WP7YqPNSFqj$(t$csK$pz@)_Zx9@~Rz%2CRX`GBH=1Gb zdXlDbAHE{wX&f;fY9^!3;XsfS;&l4#74W{zy#Wi=@(fE$zb3z~>5b6R!2V?QdL7Hb zkxcYN%O;;mF1<`Xb6>B9q2=s}k}vwEX)dMC%B588w|!75ROA2MGpDV~Il7!_{1=Y@ zyWZTr+!?&wGQU;MCqT(z&2F~7+zWbp4U;$ef^;ZI=zq_L(2%;7NxoJ`?siXhw(57d zbnUgEF{Q>^(F=*_oE#BFe_rN|sPIaZt5Kdy!JVF>8IeUV-Qj;tBBwIB(mgL%y3e+G z(+w?&oJke#*!Q?|nXxpN8FQ)F+=CJ;)h~LNJU@Cj{oh0X_tO7;@_gkUy+3+4{oh0X z_tO7;(fc_7A8JGkX;Xe9C}9 zqIyN!lXT2n+V2_sUOWA^d2uG&!)}tYLN4Qzi=z)kt?&FrB(z)4-?r;Mq%6AS;d^@c zE0g6V@=|%3yj)%(uT&yAq&Mu7^m@V4l%2F)Nw*TNl2=RG4T{nhCLL~z_$Szklk}6C zbjauZhh;kK%{ zGd7RiP);}T$<5IxqWE%RzF;p{#9Q#rkb5%XE)mkzheFI|XLcgnlu-DK4>(21>T>gmX| zWk$B(=TnPu!G0*Nd-&wu=(ACLOEq7x7YyTjD9#~exT=2X@J8sDF2u0=D^2#%8B$d_?vj;W&$26y;AX*kLC(=@;uX+~ z?gQo53zfmGmy;$3S9(3qr>^```OQwjT_5WOIY+Nzx}GW|y7hedMK`y+`Q@a^K1wgT z4~D}UI9`^o$X6*C{nJ79VP$(LY)!}54fWwQK6yR*S`^2Qnu(uG*` zq5MdGOcqgL(c!ZQ%Xw72Psme#8hty8A1UAq7DujQ3ZSlt`7H8Fhg;;AF2thGHLM-}I{w4n=i>Rld!(&lb62E1i zVGo$~51nWt`biYOk;1O*g@S5UXD0KR<(CdO%P(DkS(?-|{(j!*r_jh_7IhZv$QEf4 zI??(?KZ}Yz*v)Ox9=NuU&mzBcxJ7>HLM-a94bY-w(dSUfXAxQ`EHcPj8yNi}il3dJ z3#W{l5Yj?Ei~Q2z7Wt(Mv1pJsSX+TC;=bBf^^(p)O>q@l7i`{n7RNb^|H)VjPKB$v09n^JRyZqAOcKM|X zv1?UrHEngW>uYG_v8#)In4PD6SpJ~~u0cZDn$d5f_$d^+aBASLrbX%_Uk&t2huh_s zF2t_2w6(Q$$SxjNRSh)fvb?F|SygN6l7N~}>;hqzRi=Y-&Rbf3>2Rz3(uG*Hp0>WW z0a^7OH1d=d+trcoWDk_phIFD8MZb^YCv4c2z0jlYtj;%8sAFCA``U%C*hrfAz~+mls)LnBW)#X4G>=r=3b1GR4| zooFS|f1>!^GIphZI>@R9b!IYOS^1^It@2A3VpXYDrj^rs8cHFyihn?x4j58FC#rL( zR|1Y9rh{_MTReX0a6|mkg%~nTo372^7fzs&r+AWWX?BzbEk!EnMBBm8qxg9_c4aRV z&5r8KWWJ*DONU$JmM(NmnyFQ3)nriw8u={3idh={q*g=TTCLGPil5D+3&)~5(-!rS z&mzBcxJ7PhV?gjuFQ;wpz-o2cjvAG%L2D;E+!i%;&u?un?o9K}wyJtunYBiPWNX>Y zZIs-!x3Y+fj1H%uOb6wh*CM}kxJ7>H zLM+-z+gYROt}zH2c`V8-QjLk1>^#rcb~6S?@f(gFi>Ttdj(k_DqT$(cv}IiPmDQ7{zZ>vMYO`d#F-pCi7Y3mkzhcFI|X5F|AdjJx*gK zXymcT-G%e!uGVI(9L10I(uHHuOw$(ikm8Z zNP1R7TRySNaW*pAGgXy50^IGGV4!6cHU5GVlEu+!Iz@WVcI$%wzv$)HA zHo;g!YF*^6bsK9&>GyVhMWZ@=ge2rE8ozY7F@EVnj9IAlXp6|0wV;w+)A*?vr>gDE z_Mocu_uJDSR+y8fP>ZCoHoas>hkc`S@DQ5R7YRXCC~ko8&y=L*$`8P^ExQ^STo2unyqvzH0$Be`83;K z30aysDN>sBv*=2%16T;Xr_xL1H#^C|=ZIJ@$T@l$H0Lf7+CmPPPp<=&kfoQCBI`BC z)axMDKs#7Fgg$j+J?&6qeS^F%)H0^Zl4DC$qEbVMF5-Rn= z4sKyAJc#~zP+O7yF%-;Ps!=_lW8hDhY3CRlYGmKJMiJY`HJT5pok!HPrAkfhe7=$g zX&11kcA>G6+sbvxP1i2cmT4EWHy^g8gZCY*ErpW_ZJBvu+cERJe|XMKkKJ8=41YM+ zw_^{0D+=2(kp8{;_do7t?Gj^SQj@&wL%Ya4UaX zw41eCv|F{?JSDld$2V|+RZ+f?X_EcE_-RW`dpSt zZYVBG@BN^6*=Sd>jPp9d>c!gCK9?Hp8n4TgKeTJTF54Arx3de?q|y8-228m|yMs^2 zWjue1e$(F5?&K54<(f+EF0adH`)GH2Tp~*^)9&%O^ndna^V+P#M@;lyUx_+x)hDYh z_O4IHE@x@?8k=%kywBK-+amf;icF$yDl#%{ZIyEOd*KiDP~wruL%zZkp5wP%ej z*rw-)IRIoBxds z!?m}J5p2WTMlsvKvoJoSqk{GhUz=s{t})VW!&HdAN5tXSFuPTI*m9-MHMRF?4EsR) z&>Y7;(mvKcagAl4nq%2#G@5-*}#ZT-?1&9+`>Dk!0+my@DG)w z8r6EYoI!J6(0(<>YM%6jW|cz0b=;kbHIC6l(;EM8c})Aw7{~ebyD^^gD`S~~gr)We zUu%C7q=wB}?Qdg(ij?z7igI}UL&V|Kj?;##z^2E#JTB_gSLvFr>k+-5-d`V}M|DFV zs1MT4)CcP;=qn;W|I*IVSJHmbSJqe2huEdiXN$R4a`N@O)HYCL;LpqSRgH;cvA&uy zi7mFPp`)(8I>}0X4Gy8NX-ro3PGv_?!qn|KqhoJ!jP@1z*~juPt9#9|($_Y&X3Z}$ z(~?M7>OAEXIy!!RJ)Ey^Y@>9C)}oXgrM>}s@!{y+^MVSj+{N0yvf41Htn~G$tWZvN zjx4Wo`u=jg$k>+4X(M9_Tf59u&a{)S^o1WbE>tzJ;+pTQSs_%2r%pCM_K$^kL*?_PzRWqr`2+cI>5ZX$Epx zQF_1jpykS+eb-(k|4Dyo4=6Xq<*)gz`e>tqH6LS4W6hVE$w?<+eJr_InvXN4yEW&gqv_-I z31%=y_rb?)rrmG3@~5umk`$HY>a1Np;IgBz);i;}1NmB~|9&PWXrnp|8 z>XZ3MFY&sJ9HMhi=F)KFbe%^dx62Z}+~;z;Ug32abC5pG>oRs#ooCFhc*eHsGkh*5 z=$Pp{I*)xopXrqu*RS`AMCTUaTG`a>p;xgB)ez*0SaJP*^=i`vvO>K7fnMWrkw@3- z+_Smr{3itSdW#;j+ppa=O{u^Swu^=RwmEhU zoVl&uYE-dZaif~;I?s$vI*RC=kyhW*X4JSX;T9qF`DP%OC7WHRW3R*V>AUtS8Ap3> ze?D;LC_Q1+vgUglb*%ZhW>V5gSYN>Enf-aYv7=jaZrxJvu=I1vVBJUb*_JEIXwURr z_d;@hQ$1z%BCssCO^qg#LOsimGa135Xr!XpRivn*HoTu0C7 zS^qjl?{Zc$dbf6pzRW*&F_qvdzaCyRpq@n<|>t@|Au(LFy;q{6u4Scm3cwj?_@|&*FUza6Uc6dA%V_4(I@@Sro6l4lk{Pg;uXOI$q>kQM zKhKCMi`6)|K6z>SQvG}uu%3P`|Dq_pL0Fv2fhxOnQlB# zzrbkKJSnWHU9MlKUqrhf*Xqmki}g$NOZCh2%k?YtEA^}NtMzO2YxV2&>-8J-8}*y? zn>{^bQI2^j8Y|7Inpqby;H*>iWqjhyvZluLn|v-W=@)xlN=o!gd@fJv*m88@DZNg= z%qvs+vwpePrF@Wnh0moy_s)~G^7r(syfPK@^{ag@f9cnFU8W7!ul2e#Zl~Yib(waL zZtdl_u#eED_tSm*^eu7Sx&vU!w4SZs?2V`O{T#Eh!xPcfimef?Ii%c}|fHjhiRV+Z|qkBc$vZ~YFh%RgiFJ3TG~PybxM%i}U=_%{08 z9+$xycZ5#;?e~rMy1&}o8bC5UuZYsWe@5q98-UR zz4)+mc7?n4RKb)?a=_e~CjnF0ZVo zzwC2K=&$%(F4150x_o${{+ie2qYd=eeJ-=~H+(Kf=x?$M^&?mz(Y?=Z{Vk7+zWuiP z+a8z5Iw$t7OQwXXTZ4Dm%Nt_!r+m#N$^TYK^6b`IiUU`gqQ7Iz=TdyvNN_1))F3(O zWU~GqUz;<;_l-T>r8E|z9}sakrF6sT`qP#xeMa;T)o#6~bX)?#r)&WirDX+IT<<*H zxads%BVz$u@v+g)R`3YOhjf(CKjCZBicgIWw-sX``Wc7d!?EJB=k=$Qn_}O!SIIcq z`!zpW|J+Ej=3f{o*4!G?q?0g)9!vADj83=aqe(BRe{JdLXnx+K`jeI`%g_qreDj(! zv!J7poL{VeW9-HHe`};!|C7y_rIWn=9l2The{W>m`j28S9b+j6bo4*vYyAm!F^|Et z5B{V6lm4^*i~g(roBq50hyJJjm;U#EV^vE3$HT-k*KlA zZ9_48MGP~Lt7HzGu0LkE(q}&^8I(z6;D2LBV`PxAH`_7T*oW;n!Ax8_YD9QtW7)By zvDj_L2=OOpEEevgKgurV@gMh46_J&Vec6gtjQ!Y({-f5dX-&%T#|N+!66njBf(IDj=@!#I#NKh8`}ItfSCBsWX*wTy$@ zns3Qok+sc0F3nrl)E~B7`E&VeK0LCHaWHGXu5k!!-fbo)orEJ;B&bm#vc7SsTl39H zTt+r91GzL`DXTwZxzgvldM2`A?%j__k$NhL_dg;V`Sw5lgZ_BXgo7d*8;7yYn;3_) z%}1GvN~o+wHjQk?E)iwi7C0Yj9HH!nqZmexT4Y#cID7Kpw3(?Zso=`p+W&}bsr?e+ zP<#|Tx>)sYAO-3@rVpAnF0z$zq~=La*roA5rNX_0cl;fA?@f^r#!;MK#m3Q`U&pWw zbVwvDBixmX2!^Q$54IvQ##o|MXN#raT#^x<2jOt?s{RTU*z{OGc>g0ZP9GE*&+F;P z1hoSa8LgcanP}~R*d@_tt9j1kYhH$l!ktyuOhhy{51(8jao8s~>udA%`+RiwhH9y)+P9k9$!A5^%D!Ix?3HwD# zjT4ma&{_`7Z~Z~#2Fl3HzW2g~ z%o2x0s*F=u|7zn@*8fN|QRyTfsUbIO45>9vbL+n;dqwKZK#u-{{~V@2V7c;V-?dlC z1do3GBRd+Wv*z{28LascW^&R=IMP6FmgbGdnQqNDVXw$6GmuO3?+?+vcSX;)BDQ4n zedf{p!rvmZjk8$uImX$n`QcUqPQsD7gBBD+VLB6~!dBQ23wq%{(c%!{-|=0_5dJtGSu?U9a1GLnjPM)r!NBbi7x z(iQ2BER6I-7I{i-b9o5IZl_1jc1Ct(7kkC7Wd=re^SK-u+1=-IOQgx?@>gUJuS<5z zNVCsn*9dlooV2p1MPfdg2P3UMmwzI0uS?gKk$FCs7b0z5mu?xE?{gU&+0*B;UnJpk z`7E-)>(WydY4^D-iFEi}o`@v9E{g_6Qa+c;NT<)`h{#?(mzyJLugl(zk&Msf#~oGU^3?9^F9@kg+7^wB0XN0#r-0Sd@f^qKOY;}g=OseGx+w+d+(k^_Es|g z)bc02KDJ|IAFsd=MB`$&_C^0+d+z}rRnaw$&+gv2cXtM`chpNjK_m&G z8=8~^LX9K{3K%!Z0xQXG+}#imvGL}0(>g98?=?$D&?faPuh*zYV9WNX6+X3R&9-Tn|8Z)hjyoSmv*L zH@Hb#2hv`z-KX8JJ)k|PJ)}LXJrdeedsKT&dt7@$ds2H!ds=%&dscf+dtQ4%dr{k< zy`;UYy`sIUy{5gcy`jCSy`{aay%RW3dsll;dtckAeV|=yF$HoWWSVv-zJVo=irC1c z2JJ2-=1Og?67z<(-XAl$hjzab(-7LzA2VgD_NWqby7q(;^N9A8KW1uBdq#;Fr9G>} zB(&$0m=)S9{+Q||+S^LZ^ErH#cl~Mho1wk0#4OX+VGOhq6Mu>E-Zj~4d)fyGa;3W8 zecBB&rXGJ`P4hx+l|M$?TDwV!IWzkdjCQk<=0)vRe+=DPTcgBOX}2peCu?{3WAsz9 zPs(Uw#mnvGjjMteODs!UV9heP$se=&LG2ztW`_2-5_78dq!RPG_Pjraovpp#kKz5a7nPWW+6E=& zUhO4+Os5sv%Sy~I+N=JU&V#krl$f;UU!4kezD;{WN%MpDraz`jnYK}hd0D$r#>loj z0rml4jAPLw4}7StR?S}UeF#< zVm4_{`(t*PDE{n9+i0IzF%nBl zzN&rhOB0^FQTxId6WRGO?Mq)w=%^H)4q<}Wc6PN5s&tb3WP z=`nBo*9#3iH?x2zbBH&YyX7g#7)XlTo9{7&=*?Hz^RizJ8J+fBKJBZluWS36+@)!iT zIR)3*iP|aQ+ykf)xyQ=I(r>Bd6dOH0qLkS-4?#{|+G892@9z)7cdQC;DHXeMEG$<> z?gh=DEV2$Y<8VZRCqOxVTVki3AWX5{($2Jt)pF?;k@c32h=g!@ACYX1J=*cX1VIYG z%43VhQ--@mf900xUSYE^A(p|D@ZRs~mXZ5{k^AXZk^7PFjE8uLZcVqbGNsrMVYdrK z!$Roxkq5jyW3>n94hX`>X{CY5r%}}T?8o7`^0Iy}2{0ceJO%f?VkpLALXp@57*2PL zJczR1De@5VEGAkooyaUecSc0Ii-_qe!n=aGz*k}R17cAjNOz++@)M8C@yu}+x(%Vb z$xsfC$N!2jm$6Beb|TbL45MYV+TrDAEr&2X&5sE!6}begyB09okshK2t@z5zdaXei zVe>zyCI%`Oe|0hb683Tef84w)gb>{K@v9JS7236Diq>v_9PJi)1SMG%c@!nN7?I$y zWEi5|X%D&wM$kP)Y)=vH6?x1ej0QhIwu0{<-HY}{AU>`%*QG3UD;{nP*hG5wWA3@gBPCT>tyJm+{>zGf$5uducx630e|)Jm@PIaWu(OdllPW zDyp7zxnnpjl{t;UUt-*Pk$jh&tU1vCeivE+A1AhDb?0&T*UM?&$P-w5WsxVb_HITb zcmjgC0PTk_w7-ZMAi@J9Pg%voIt!4U1W4F71iKP{X}yJvvG9ofv~;k#`fD|oH0vHZ=Pe7N;&@`tpG}1|bFt39 zE+iVG8*{Y-)`cTNLJ!=*Y7cNhB5!1Bd{%+BHOg#?@mo_zPo?&>kWrzjE1#e`4V$ z9fgEk3qSQNd`Hn@(c zzr&z4k(W_cwUJj)RyRuIfXFyNr{g=_AHD)~h6vA$ylN3eIR(h}@ExQFP~r7p0#~0- z%UI|b3(r{Vdrn4ijqvYm9-ayH%MmRi{%mVZve4%wB=3o(yM&7g}hcXKg9AgEj z)x$v0mD|;yTF8h5PXIh^Te<~v-Hu$&ptK?K7INMgc^f&u$;tM3>I?o2XZDV8)Dm)wsiO~5pP7k3AXcKLw37WL}0s;NUr9jzZ8^PMO26}AG z^|U4OE|%iZ$a`3dHbEMhbJ(CMh?BEXk@peqOyEX-Mv+EPC9Na+a1?4&@F$v%Y!uwN z33uO#&`e~b&;c4Ckq?lL@&srr!~~H-peNFk=*jdHdMcz21kR3p9Qh>jY2>rW=aDZW zUq-%)d>#2F@@?e1$oG*SB0olcifoGf9Qg%OeH8gM@|!4=<3Yo?jL_2}zd0QJo`a(R zJso0$AfJmPzeoO1C|rC#p=U(?01^HRpdUp3wBgcY8gvGsXGZ>Xa`-Dp4new%qT>_9 z8i@QA`CHKN-jhb?*^$3(8lnNJWGFp{o=eZ8=hF)S6bPNUYul zPW0=Mb%9*yYEl(A8?Mbc1)4$=G=?}dg=RWGln#Cb7c6}N7cPAq{2Z3c-Si4?( zf?h^1r&rJ`=?Z!ky_#M_ucg<~>*)=2C0#{tq^s#o^k#Ysy_K$^x6#|_9rR9m7rmRV zrT5T#={il*&WA;GSV9l3fo0yc!P~X_;V{Hca4_OGI2iG3=odID@h2RT*rYM-6*!2! z3@=NB?Z~&#_4Gb^KYf5cNFSmP(?{r|aLL}Sa4E(FxF(|tF37NsAl?DT5$_a-6t9P4 zimO96)5qxJ^a=VTY!O@u#}!wFZh$Rb^;#4z*%%L(YD|Rd`tA%>Y6szUeQ>?TSh#Fs z9DPb#iH8|s8`xaf7}fw=!e-N_=`*-wO`oOD!M3nE&&IGhuq|vcYz$ino5I>)dsqrK zjva~{$l&nG!J#NzQ-D_#93&2Vy#mL*-h!iFaO4ZF0DBXT7rmvO2U{gBqe)oohDB~z z>V6p(xi`Wx_T#Y3eJd=9uYslQJ78J-c329>#qJHT$o(QLioXtv+;6~DA;aLZkP)FF z^m$lpUqxRK8;Q_;uct4f>d_7KMf#GQUfe*oh8ak7t1$j$`U-tjhF_(xiD_zxC);T2 z=<726b@~QRaj9M`8Td`ZoHuGQ6$6oi1Dhh~Hk{LAQo#8}uFZo%Ee$ znw|Asbeso8+^+hr`ff73o4&hl%CM<-(~D%dNbfF(wHwHmbe!Hp#`nV0LnuU;l54Y9mi(E)lt8Q)LuuMd#n0s26F zkPHvf2kS#*c!<7_UM|Ds`cNGw39wwl^x^sl86KgJ)c2L)ef3eo-Nd}Mrw7ZS>;|$U zog$~dHjtg@D1Ed{KUyE7kCoxEdWBvo!e~hC5fS+3O8tm*CT6Uzuj# z;CDpUBk3AC(7Kom(vO0>za;-;G~A7~t4zNuoJ}1g!(-qsH(BpwH|==q5;wpd3wNHO zcZ59bu3b$`88)@$q?-(P)6TLs8c`+NciU zUa+SdeQS*03(g=&Jt4it-hAq@M_t_ZtWR;<*K1%f}T9~_uzaWV2(}EXLx920H%3(p6TK3 z01rP0dh){B(Omni&+_Q}Y_cyvQMUUAe|GCSgu^V&V_)WYY{Wqx9X;Bki|Dyg*T(C0 zu5H#2_Slc8r_T5G)UD8PPv7wl(Bp33px1loHMsUyZ}iyBm}>|1xgJ|K&ttRZyS7P> zdu-Dou1(Pwcx*uv@DcIw(d^+P;mJGc$*;we-=UuTQl9+Mp8PVN{LriSmV2Qmzcx>~ z7kTnt>?!wQ9zK?M_&D6d$5Ia;M|k)+(!NX)o;G>9r>$JYI-&FJ`sAIiX(sv^tdsD`bp$C- zKtEH)1g(3eSZ9U8#m^Ca8S4zGSQiWA%m8h@kmzSI?0N~?LT2p@=-B)T9c6vCoi+Uv zOcs$@dnjD1WDOt~3gPqOo!+dsRCr!?Bhk-cTeef`b1gD3CK7i7XO;SdZK@#iQS=k_oHFjh^Q26b-Y>*_l=*n!6 z6xQu#UeK|DY>*Vy>>TBipgyNNSlcLqT6{gxFJ!PYA;27vZWXv#JYNX zHb`QP&Py=-=E{6n;Wc25a&s6uup%$0chmuGs}%XL-HCn?gHc0(IUu{pZ)Afc^V81C z2H8b^I~!yd`M!Lh@LT!WXzQ65Yt5H=K}Wxu4YD{49r%1+P;ZA|_#PoBXO6JbL1SR) zE_@GK6Skcn)_M94L|@LfvrMsoVY2Ke2q$2F0sQSn8fQ`uHZlxUQ;9pe}K?1fG7c`Dj~_IlhDRH4oozU9R)UWH(FRPMHQluXhF8 zO~@OzkuYm1C7XCK^kQ&1+nt$~&9k?2!R8#IU&%~?pKmE7sISnk0+Kmwp2J6PkAH6Q z=(v)B&c7DOqaG1FS~kzc57fH#X3=(S*6zgx(xyLpXgm0km6znD9ckOUP}&=F(yj-e z$=2m=O1ci;va3wQz)%Tj7cmRpkqLSC$O7@{p8~(Tl7Dan(XV0Mo&0eU zG1r5GmgTGmv+&*gZ?7y6pMEd!_fYaLyOikHvOTQ)b7d^!LZG*&?%LV^8RRUicrpj7~s!ltterLaO$P@DnwH8?D`f?3p^ z3JQe5i7rUM(CEDUITXkn^#xJ@74uSX8W%HJp%i}fQE+*&yE_5RJFmy-- z$$@?;DLCdwQn>plRmx5YBd5a{vM}l!C3S1g14{Ut;E(d z!5%+Qh4ub63(v9Bv(Cwa*)%(SLGr`ih5pf2H6_1JFL~5Zg*`DNFJ6}`N9KifTDKuD ztjpn-<%hLglOMLtws~QlzqukmY-3hExPAM`tTMQ;-Jx&x%gpufw%$H3tjl*h=Z76N zB|q%o%k#oIzy3ph*u%f%hh4lP3ugP6fj3{y0gGB{om`y*X8ERp=RN+6r7zH+ELiX! z=ob~4*}e)p(Dt#Mago~)N9>gYPtv>z{11iZPE{$vXQvDLWWgL>uKF`CEO2`!2h1va zq}Q7{V3L;$z$`1g*!cynzbgmKq8a{bhrBRWxm<-gGl+aP_z7A0Nt*8-oC7BLJYr@J zn5^3&Fz=K_)560&oY^p$-wQwHfJwZ={>}lDcn^P;7si6%|K;ID+vcUbus{>|A6a-Z zzdO6AFjt-nBK)ZXL4Sekqy7)hdq8_URe0JpYy{O z=FcxY9~`4Ra*5mpQ$TrO;h87ofLV1Lia(VfcF=Jim|te>E89+ZWhQA3h53jqUL>p( zd{YM|Xu9LP25=|@492dO?QxuqK&l-*JKglq3?Nab{vtJ}dSF%=Jjd_t{IcquE%l85 z#mWXQrkH|&@&3Cx@T~G_*M;5udI?^f@iSaVL-gwzEd8^+SZ|w83oi)Q4C^;AT;hfO z`fP6n3O7XRE7{%%4E)6UD9{k-+A0QH2UsyHQDCW)h<+n00az*PtH64nNc7bVwid85 z)=zJ6fh(kjha#4tc^t<%C^|gY=1hx+=XG7t87#j}sMkw?;L+5`FgZ&q5B->YkbtxnI zy=-3r8>PTH-$wLxY?OeFR$vk6kk+%&D1tExh{IgKeGIoYu(1lL^I)Ri&tL~ffK@0U z4zoKCunIsbX4XkPXkk)wc|W2*$SQ?Eg5n#9=Xe6z0|7QpAsl{>=nt`RKzKZ>Qef43L`v0L8d1Y{SgLg5MUD(P#D%k9%T~|G)V#JF#GlxnWCqdS zV7PsSu-OW%(=SASlg&nE=LnEHj@y0>(cfZo5bGcnw2A0%vxAT#X=HUO^aY~7!|D+6 zU?sco1w?JKhxLv62l|KlNBYP5 zC;F%QXUG^lLD=?rIb_yo(~FAe$iwrA{yA%OsyUZQqxu*6m-<)w*ZMbr6wuBGGZa(k zJQyPSw@hq9XLH#+HlM{I)gf#FYhukT!IG?n9m-NRmek}cz^nR>r9|!_!BFn6fCj7G zEUjQ)32PePv$Vht=0+5;z%gMNKXT{GUmG=m!0GQ6caUZGUIEinvsJZ5r&3c8FKVRiyCKtk+96?zacA`AvXP^y#su+YuF z6N9mn5RskihXogYP7KaYE9+>=JgV0$Y3%F?M8^0_-w&xeBWy#!d_k?G@}w6~>6MGrLm2Rw%GVPZMJo zwnD(JQemeNqbs{gz^+zc=|73FE4y02u2Eo%CJ|#dc8!2ttHQbvV|R9~fL*7++MXkZ z$*vQy>lGN>O=War*8^-MyFrDWNsJUdazXjcB2Xd zk?p~5gxVu)wE}D1n;3ht)t2@O7$(bp0$KxMHz~-OM~Kmr-2|!G%_<1!_F^|9=oSUk z3W~NDy9G;ls{(?4!syLzMXWUnXdI~Z-fRs(2D94~Smpi1=)-Oku-gU99q!E-MvP*1 zyC~6)><$Gp^#n0W7>qNZvD~S^`Zp4zl--GZ-lc#_J|;$Ab{B%~RzRiq5TlIUjYU|i zfchOnjD8FTBH4f#1ZuwaS|J4!1s9?_`CVNi8_5tz2qyGVB zzLGtcS85^^d<7^<=6Mwxe2#JKd902X{2;Bem>A>P3k48{+GH=P*h`2}#o&BUfNhW< zdqiB>n-~+=24sxAq`)fX6JsKKNn{!nFq?n>FKPgLSwSB4EHNgrmkUFFML`~YD={Xs zS0r-&tRd$BdsRUmHHsKh*sF!*{F;KiZv!!=veybjeqBLsgbJ)?ucIvAP(T&XdG5#F zz$$oC0X1GijA`smA=3bROM%wMh*87d5)4rwoNLe&GHQ+K1`KUU2u{YqSvIi#Z!2_a zL2YNSw=Fs_T`<&NhvF?{%rp)#a5Ni&KkbKfhQfT%KJabL2fd@vEZv$Iv)DU`%idLB z{U0XAZ1ygK-V-2qh&$zAV$5OhSu#fCJP%HdvG*0arQo3)#NG$88`%d6Y?o7rQO7<& zA%CcVx^6{`gV~3G_7VG7fh{?n7*X~yz>3)?ewfkiJYv+dPk=-AsRA-@Cq@JN6hWUU zpxr_F8`)>b$mgPP&Ll54HV`9*vFr=>rHvL9iTIAY5o0dTvjKd>Ja*qJ{OV*&dSN&cjO z#=#JyiTz~NU_bx`v+7q651j_sCXvFOhWi-?Ud?QiL|2jAci1Qtyoj)$ZS<%BMJ{@V z7zy?>O7|B5g7W+>K*9A}5+lie5tKuq<-fYbe4!w$(l2Mfvfpgd^#ZJY3I1pcvra#^ zXY!CU*bef$jV?JmtSd1NWxp3xFhKu9L9Yfoonn6obPC*$_wj7K1dX(TGYJ9hHkgO} zmqKAuH)6ChIF$sdJQCo4D)6_#LM>!C5GU*}1@_}2VzjZptlR|3@%PM{)yG@~{oFsz0=BFJc_U!y^BP4T}n* zkxek9%N52K(8RYR6#!RK4PrmrH~9t)K>xSzmga?^1khGwp!8; z&^v&$G6jFX^NDd2FDrnv%L(tNB2!}A3@b*0v;KZy_=_&YxP|vGfbt5$2Pnv&^&!Ts zd;n190~OGNR}y0lx0VgtHJ|iK!UrkH_ro~-Ha-aH4)%lCDP_dCoewU6U#O!Y3i5Oq zuHC_{b;S0W0x|9*kX_9yYa_;;d>@fBbjiG2)|mPepf~e!KGdIe_;bL%iw~823!sW9 z-UD<+fDcoUAB5I&Hy>6&)~g}w;VO277;E|P0U;(!6!hk0xcb*;GYE@$OC)~z`+=dRe+zqLyQOc*mj6NBuFFi6@o&ALg9W; zriXb&I}{#qC|oHhRQf5f6Pt+fD7O}na~JS2hr$(t!Z?LO^=-s>oR4dVhbJ5gmkSEx z6$;b;BF2+^JczG~Pw>McQ(&&~DLw&2z$Yr8$vueiG{>c6K1l&hdV?6x@JR@otbitf zNBbgsl<4WPXR`z@@fS(6$atY^J;+Y$ET^VATeIRTc+7aUZcSFgYMu( zUV|dwwF2g}DYhfbRczq30ybTN?Er(Em-uu6+h3ts{X8*V<~Teie1-zsZw4`5;WM!4 zGZoO(1TkLaGX>fK3T(e+#CVM#00a-@vlQ5r(~0pqp9Qele2xN}x`G&Q@Hv9!K?-aF zbarp@gOHUv6*PeuZ}B=yX#@-@xKGe{+YrNWhzj6eI^YNMD6dzjOoG1UT@Jn)8_pY4 z7l^O(rJUGon^B0g6^K5Zy5HuAYP>pbXk?F$7$t>E;}Q?M6< zW`4lu$*cv?hh@LO=KKJkuOJ_D0Wm)0^E>3*8qD#yf__ndVtmBo0v$T8ybm4>Ap8&o z`&6jukNF{p#TQsG`w(2`^Jf#|6TYD1QiXVcHz~-=ekI1Iyb0B$SpnU1A~8PW%^emv z02ko$go3;toQKbOqA=v7g1i< zRA7S-&r>-nDrkId;N%DtoTqpiJ{g6|Ig5z#EzdYqT60j@#`wI}OO`LcG1gW8BE5CXROSt@=#33uXWS;Wt_f#{vXv&(D}?#$0_ zhd7L&#S;j12IA+a_;-=;7W^DY0i2&}1N#e%&gWl8!dvolE#d-Zk+Q!7VHit`2U{%q zMCU0K&Uul9x8~=y!`?PF1-#IjpReGb+mnR1<>x~hVDADyu*>WO32(!vD!ZO{wEO%LD{K2~LFB@3NO)&{iIp`-HE*$lXF{SD-{ZDN0abw{7ONgU1bQKPWTE1dGQbu-kq;-m?#{0l*w8)Y-X0b15#A%bCs6flB;q$%lpL?2@&gj?$!~BdeUO8TP`FokuP{vW z042C@l&{2#1^6nPr>H;)SAI*vd-GM0h~KDy#_vJGefW)1QUaJG8^EOV1AMiDT=6Rj z7jrQs!*5bxl}C_p3BL(6`(}QN3Iiiq%5M>w>R>Bw&6%Yr6Tg+OQL%@Ua9_R#DBs3! z7cjRiulj<7%lPd8+ne8E;W_eL3sUIE?~p~!lO;r>?d5k0xHD62ynh-A_ZP7tewUJI zauf*<;IQujvb|e@4gZ6L2Xfr_K=@h(w%rsG9>ihi1HkT4VI?FynBOB{_X?PE2CVbF zOG$VLY9QUuNPw?XkS{owg!kd=1aimD*Lnr}fwd%D&es=~^?eHR`Zf|C z%B{T@9r7(VUO2UP;Rq5Q#_unT@9K#mjb+ILBTV?z)lD5ep{91~w2HdA(z@zY@{nn< zmSj~T6H6tcO?9RJ0DF8qmWrkt=EobNO=Dx}_`F0r*xl5;G3!`EW=eEnd|ot@OpR-e zE9k3^zxD@gb@%~C$Jx|&R?wjRa~;t7*&$>d~XYg6p-bSm0hSKpc@ zSpl<`9aXY$FAH2dx^AJ2t{siBr6LJN6RXhX^h~@do{7iOSrMgUlFcpgrkFW?>?E@$ z-rU+0&BT)lb5gt>BquN`YNprLO&?Q9#){DX71OFFO&v$Z#S$RgOw5D=%>#a$BT+NCS556wdBNb5hTUWz>rP{#Ztv%T2m>|#bT>zK>>hUzr;b-@C0O&^YeMt@lW3KAwcmn6h1lm z%+A!xtQZ@4vE5+vXH5w1>JLJ#Y4B$gtU8M*HMNzfk!{I#aIxwRaG~l>aCzn~aB<(R zaKR{ECTfyyAeru@2iXIzitGtjfo=)cMD`|olRl&v!X==eeMuSVNBWZiAiqIyIqDF& z2(=uFwIvxwhLaIwB&6M!jDl-Tw}fjgd&7056%eX~&^R(4LfexnGJ#AalgMN;g-j*Y zWIr;E)R0<$Oegyj;+NeI*X!r~-IWmhjQ;mzr&`JxWZ1|>%}wUQSSpR?YPi|6tfX&G zGnQybHliVdi0QSJ#Y1|I96^Rz>QuZC6e4Y-3@<7x>0e}yAm*_7v1nr~1tD`7R9Fn^ zEqn~H1(oW#f<Ov`Z!%V$m2@ighhSXVv=rcw=KMF}x^~YK;};*8!`#$Jb7tlt&+w z%5RCLphls&rTz6^ZO2QNi%iLvUj>_^E&hC*9=*|4y{f6Bq0?-MK|V1kxRO5*C}~as z5vll)8l4X(dCpvcX@9QZd$h!y96ine8f!Jr5`ixBtBngE$Aglmq#fj09Z!IZlP5di zOlD^#YhR`vPDLt}Or_0eqS2fYO(j70p~5^m z=vbab>5N^ylVj<0bY5&y9HXqtty|Qbo|i6#L?t5R@Z@@N5(~(KUdP5Hn_8O_X)sgq zCKwT5P3Elk#JtA()_4XV-dKvbBpymwRw;lFPtLzz?qSS)Xi32FsY7Gf$a$@aQ=byOeE%!@&vxH-I7xC%F; z1;KwN7i=TgT80;??WB*4@aw1@>*$Cb;nbHM;fl+S@iXl--}W2_=~{Q(l6ot$7}eBd zO5_3sB04t3?NR&54y=#WpW24Uc4yXYUm^4|Oj(hwFNyG+pNWm!sRJ8PHBnb!7E{#!* z3(>=BwB{J(;N8zp?j-F}os?`dC&d=Vnp_$C_{#xrmtJ+27vm|c3Is~18u{w4G7ds_ zI#drGFTg`Van|id?YBO*uswZO9+j@gZqIgFN8TMLzkfma1InSa&B=w(@Fykb#T#rvXBTNe zLG%VH^s?y;EQrn^D3>yFPz5xoAVPzIq3X#wMaudWMjVuXRAZwU?SdsA8(SEMDFUz<$yV?) zA>US*J-g!M% zoCh##=Eq_gM>4kPTu^?Y+fuQo25np!uj-Fd*pA0jd5T*<51O%bX5<*kPMJsXWh&{G zyVWXf7y3Bz}F z#-ddUYirg*owW`L%b0aeR7s*~uESt8uGTr^?KL*Ev86rI%J}; z2^L9Y{-e^16AklINtoSDgUiqqwHv-|QQWwCY4?%yutBxkl>W1P z%uBf~-1cv+fmXXrblh$VlZR|KP$swCWa7=RU7$I;?Ff?p(C{p3!TvXY^YOE3m)YNR zN(2q5Hq}X3ruNm)uu^NaZ&+zctYlj&mm`$r)!CnXa@%RU5`v%2Twbk=Ho(4?#l>S| zbE7a8hDC5#3l9Xm+YzkAaYE+&Cl*}$i5@WTf@^JStdBXwoD9PaSbH64;@W7x@&NYCd2S6<~3rn2z*|C{yGZp~s}PhBF~9P9Rfi>Ueh@to_Vwwsv~n2%ESQmIWQ8zQ@L zbrIE>0f%1KKN07+EKWC(bKTNk>DYJwmliL58T&?%(pCQ-Siw~DbSEJHN0u?w?A#HN z-R)T;AyK#gi`FuwF8UYF3!VRW0c)N<(xEdcUAaZOuuFP zU$MA}YiZVFchc~-`OBO(G4ZQV+?+K}FFl2vy(E{0H1;4bdk!i0;${)j_0Y?ms$If4 zmN*4fKj9py+NJ7UqqNBZ0zMvgy{Q0Vmp*GRn&U>zQPZ!_|C?4e^GpvH zybSs8IHc{^ggVs|g`UzGedd`_g=r9VSyJdjo-bQX9JGSgTzpHGc_>4)O1!WI9d|J^ zKjj?6z3VyI4cjhX{*_K&W-8~KyX@&BYQLz&H+wDACg}ZDiT}g(Q7@_Nuu6P0S5LDE zV~k3CbJkRyHBU7QmH5BEs_Mx>CBFF!tRA9HFpK(sa?v$Q>i^AE*Su!4gCRmYCet^D zR!0XmULMo=f2**zfUI#_wiT6Q8@V0FU9OYy|M^weyc*Kcn9VMUHAM1zCf;?UoXbUd z^kZ{3UAZf^PUmg6X%}RBWqF0}m^K?%3O#EvH?Pb(9$mI4wfu{_|6y^-G3$SMO&Ct_ z*he!uoP=_gnq+PCzsNl2gYr?4TRVUa$4D)ZU_P0Yd5^_kt?0 zfR)?L5QBf+tuRIkT)q7-aZ}I=?th7wj#qIzW+YdGDy)`dg92q!8dPR8S8_XM)>_u} zT2()*?e${$S95c;C|^f_XFLm8>)ozLm*=*HBeeJ#R`^j`|Ji5t5N*)CUci5F7cy|3 z9U$a8^jw`7)tX68Yi;i;h28Tb)ik8yEt#}(w)>9HSFQdWu5Q9lS;xqb`&2klP?u?F z$}SWxvZh<`E`iuW*t@yNI>o<)De+@ln$0B)rl%Uraj{HQI<~(&OT1($#KS--4g2Kg z!WSOxHH*;agBP*k#S5*DX6BnkMHtl`u0Me7w{SOsm@|f>Ln17%5r`!g#$j&=-iuIT zr%t6aeN5OS2KN!PHe^I2+IaDxLR zzzZ3YDS;B7Yc81uba6Li1KjZtO~GvmO|is0V0W~Fs13@H zY)co-F^3N~`$}0zaR?rU3Lan>Bv8#b90D|FSV-nVxHBS}fI_8{W@{RGYK|8-B%6{6 z!PHV)a#p1X*#Rq1=3XAAop2KfQh9<(hjEC!%aBH>;a{jgZT-rx|>trKuJ?Fxd7`e7Hya>#E+W=u|lyb zOxW}rZ!+7kdZC`9CTucpuqp_IC{TcY1>AjM&Punyg&W@dtn7STH_VSUEU;v-L?SLN z?P04Nat04py_;|r)U~Km@GQ(F=Iq&Kskzkb;U*9T0yM0Ca~3MCEk!rc96%8oE;0}~ zV5Sx)4k?*Gq^XCpEs(E5R^rRbL9!D&v=y3~;9Za@0`+k-furVWv#4U)w5iiz&vrCn zCXyMkeKG|HYGXpac*#aOIXBaWdMZ!#mxxvc45VV2)>J~aGEe3WD1Rs?905*vGEYI) zSfKnYBwL#r1rvVEa*Ig*Y`dHDqYEvDg=i5SbiDzJ1R5X;V&6yr%{;Z(ZM?Q|u`ET~ ze5{xyX17@}&@Ul2FSA(ZaGE@3&Vpm|X$hAVkc!QVErPn2`3&z>(t9t^0FhkiqnVa@ zxfR&mtOXU0*27s0voRSImxzZi~sp;jS0E!r=M^(9T%8kB~%D6q;ZXN&)1s31JZ0P8-+<*BCT3CBaMx31A+w zrh7PLSZZ15G1ycplc_4Opym=V+ITUK*iIw043W_=!jWL1Q=pxgXM=V5N7G_6)tbOV z$_}d__i7*mm-`@pv1qCZ?h3-)Z#+Xxcc74wBbrPbPVKp@-Gn0~_hq!`no7=f@ zu`?P9ZR=^Q}@+nt?Q&>3d# z<2E%}QC5vhImzBAZ6@$S6swFVNMWTj(FIVM*7QE~Cor~J2(^g9b4UqQpPNcHoAoiP zJ4vNlTVUt25Rwr5WTb)u7iqy>G!`clO_u&c0_542&gOMSZV5$xwT?cw#o;WeRGYq{ z6-v8|y`$5anb11zmq7!HY{n%mPynf;iDaVqFxUwU_C5v5YbjBDZXZDy+L$=+o=!IT z2#f)xff~f(Ll4{>Pvey&pz}#nn62d8xn^4`o{83jCz5ew0(lEzMKjQ5>S60KG<2_G zo5fyY(c(5)A4|J+q65QhA-Dx%{|5e2KxEOYV$B-4~{j#7+5 zPbUVl$6`ZQpF;c63bw*(+<<|MfC<495Vf&I&>&`vnl`0s$~ZUxgcinD&bDY8Hqb(Y zNrP!^G~43P(MF}J+HFEMR%r94a~oI5>;!6oO-2-1C__CMCTPKK;n5kf>{tfK1IyU` zK&p5G{KI%7+=0?))-Q%6z?IC=>3X`uaTifU^wN@G>MZw2ia~U2Jv@c6M%ge{aGu7qgXzai%6&Is`7iDt?{8W{f zS53BRb!^kTsUtLc)?VKy;F+T&F7g~xp#+sqY2P8P|KtV z{U#_8ni}YJ(3~aBMk$skgrZH!d5(`7&$v?Ym!cN$uQ7|N;8G!QpfgxwqSHV<++U6s zdQCy2x|i)USiE>uAMVsK`}uN!G~La9z#Uvnl?DbIg{_D}&nWKEko-YM+|V>56>UMG z*WtxLB@HbtPX7(6C-gFwXl({fh5|xzTc5JIfN?Xn&i;Mz$>s(uTQjtbcv>7;few5i zyeH5o`OQmPz(sWo)VV=QcQD4!q8fvIqR05hq-^ z3yhcXgV&7a8L8WYZqK+m|7~P}{F4E2r7~nOtzukNP3^P;;CjaVNq16{&a9bKTMeUa zupJ88^1og_6t7^$kJ%m?_`VBbi_>GkRZC=~qD^hhKvSp$&y~qNYo3g(jcY8;^7Fa1 znjvg{Y*rZjqthiD`#?JUWR**#FN`xxx;ZT2w7J64PvP%aUY11q!dSwj1+awkPQHo< zi`bl0r+^+CT08)+o5c@mWG)QJ7TDC3xFa&!WK|?MmK6zTqp?OdHwq38RGO^eG0_(A zqhdA`FST-kQ1Q^Ci0TIq0D>jfkD4L}dD$3P_fmICD@Q|VS9`3h3q1{@Ce<(|lWJ0G z3uNIua2cLoFgA*mOnz0}Ud2qzYY=xFDY;!#@yLgLAuWF3Y=}QM2d6Oou#*>{BUvpe z17~g&*1nuwd~klX#q@=-g`^8$X*ad>V1RsAx+?4~dAa(6?tp`zJvzj-Rk^034lYHl zshT>aZqlfl+Pa!i`&W#un^9Fep6yf8FW#;KWi>2oJyqfB#%l&`RRa9rC#zvejKTzG zB`!d8rds?CZ{mG#^@%4x4_Nr=Spaqp@r{{QF{;*<-H?(plpV|rbtK+NFnqxI`LXd7 zE1jQgj+Hh<lBoryc*mhQA65#UAV44==h9(W zm#nO$A4EHC+l?+0(bZFHs^F6118n9XJp%GK-z;0PaDEFqmP%cpIyU|qUL}j4EL< z6js?Xbpf@VrG_|N5=$wp-O#CJa9%Z(7=>|WB`$!m95&Co*G*yV*a?p=YRBeDoWhv1 zcd8b^o~i2jKe}<+^4J^=t1HccJ$|gTSxSL7etqL<_{V+lW38AJO2-z0b!!h^+EWZm z(hPKWj3;V}yg!fG(lCo*bYN~?^MY|irVh_qmmrN|yn0zIS%|mBMzbz4FKHIzI1ShL zGSTK1v$(YZMr|!6tqpTwCd6FS&_CU8VBc8r!b8jY_AMS@+9$V5poj9lG%Dq&j;7S2 z%}i%7sv4%c;&4>A7k5b`zTTCou}+%}QJVV_A(X6NOz2;I$}{`|O(yf8}jt4o+dr*~#;;cg3+UCys&&!VmuC ra=B``z^Qfb2qNcb!#mW;9twG(ImemR6RGd7xvhC11kC+EkR>st literal 0 HcmV?d00001 diff --git a/Examples/sram/src/Makefile b/Examples/sram/src/Makefile new file mode 100644 index 0000000..9511db3 --- /dev/null +++ b/Examples/sram/src/Makefile @@ -0,0 +1,39 @@ +CC = mipsel-openwrt-linux-gcc + +all: jz_init_sram jz_test_gpio + +DEBUG = -O3 -g0 + +COMMON_SOURCES = jz47xx_gpio.c jz47xx_mmap.c + +H_SOURCES = jz47xx_gpio.h jz47xx_mmap.h + +INCLUDE = -I. + +WARNINGS= -Wcast-align -Wpacked -Wpadded -Wall + +CCFLAGS = ${INCLUDE} ${DEBUG} ${WARNINGS} + +LDFLAGS = + +COMMON_OBJECTS = $(COMMON_SOURCES:.c=.o) + +NANO_IP = 192.168.254.101 + +jz_init_sram: $(COMMON_OBJECTS) + $(CC) $(LDFLAGS) $(COMMON_OBJECTS) jz_init_sram.c -o jz_init_sram + +jz_test_gpio: $(COMMON_OBJECTS) + $(CC) $(LDFLAGS) $(COMMON_OBJECTS) jz_test_gpio.c -o jz_test_gpio + +.c.o: + $(CC) -c $(CCFLAGS) $< -o $@ + +upload: jz_init_sram jz_test_gpio + scp jz_test_gpio jz_init_sram root@$(NANO_IP): + +clean: + rm -f *.o jz_init_sram jz_test_gpio ${EXEC} *~ + +indent: + indent -bad -bap -nbc -bl -nce -i2 --no-tabs --line-length120 $(COMMON_SOURCES) $(H_SOURCES) diff --git a/Examples/sram/src/jz47xx_gpio.c b/Examples/sram/src/jz47xx_gpio.c new file mode 100644 index 0000000..affa85e --- /dev/null +++ b/Examples/sram/src/jz47xx_gpio.c @@ -0,0 +1,108 @@ +/* + JZ47xx GPIO at userspace + + Copyright (C) 2010 Andres Calderon andres.calderon@emqbit.com + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + +#include +#include +#include + +#include +#include + + +#define JZ_GPIO_BASE 0x10010000 + +void +jz_gpio_as_output (JZ_PIO * pio, unsigned int o) +{ + pio->PXFUNC = (1 << (o)); + pio->PXSELC = (1 << (o)); + pio->PXDIRS = (1 << (o)); +} + +void +jz_gpio_as_input (JZ_PIO * pio, unsigned int o) +{ + pio->PXFUNC = (1 << (o)); + pio->PXSELC = (1 << (o)); + pio->PXDIRC = (1 << (o)); +} + +void +jz_gpio_set_pin (JZ_PIO * pio, unsigned int o) +{ + pio->PXDATS = (1 << (o)); +} + +void +jz_gpio_clear_pin (JZ_PIO * pio, unsigned int o) +{ + pio->PXDATC = (1 << (o)); +} + +void +jz_gpio_out (JZ_PIO * pio, unsigned int o, unsigned int val) +{ + if (val == 0) + pio->PXDATC = (1 << (o)); + else + pio->PXDATS = (1 << (o)); +} + +unsigned int +jz_gpio_get_pin (JZ_PIO * pio, unsigned int o) +{ + return (pio->PXPIN & (1 << o)) ? 1 : 0; +} + +int +jz_gpio_as_func (JZ_PIO * pio, unsigned int o, int func) +{ + switch (func) + { + case 0: + pio->PXFUNS = (1 << o); + pio->PXTRGC = (1 << o); + pio->PXSELC = (1 << o); + return 1; + + case 1: + pio->PXFUNS = (1 << o); + pio->PXTRGC = (1 << o); + pio->PXSELS = (1 << o); + return 1; + + case 2: + pio->PXFUNS = (1 << o); + pio->PXTRGS = (1 << o); + pio->PXSELC = (1 << o); + return 1; + } + return 0; +} + +JZ_PIO * +jz_gpio_map (int port) +{ + JZ_PIO *pio; + + pio = (JZ_PIO *) jz_mmap (JZ_GPIO_BASE); + pio = (JZ_PIO *) ((unsigned int) pio + port * 0x100); + + return pio; +} diff --git a/Examples/sram/src/jz47xx_gpio.h b/Examples/sram/src/jz47xx_gpio.h new file mode 100644 index 0000000..d8b0113 --- /dev/null +++ b/Examples/sram/src/jz47xx_gpio.h @@ -0,0 +1,84 @@ +/* + JZ47xx GPIO at userspace + + Copyright (C) 2010 Andres Calderon andres.calderon@emqbit.com + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + +#ifndef __jz47xx_gpio_h__ +#define __jz47xx_gpio_h__ + +#define JZ_GPIO_PORT_A 0 +#define JZ_GPIO_PORT_B 1 +#define JZ_GPIO_PORT_C 2 +#define JZ_GPIO_PORT_D 3 + +typedef volatile unsigned int JZ_REG; /* Hardware register definition */ + +typedef struct _JZ_PIO +{ + JZ_REG PXPIN; /* PIN Level Register */ + JZ_REG Reserved0; + JZ_REG Reserved1; + JZ_REG Reserved2; + JZ_REG PXDAT; /* Port Data Register */ + JZ_REG PXDATS; /* Port Data Set Register */ + JZ_REG PXDATC; /* Port Data Clear Register */ + JZ_REG Reserved3; + JZ_REG PXIM; /* Interrupt Mask Register */ + JZ_REG PXIMS; /* Interrupt Mask Set Reg */ + JZ_REG PXIMC; /* Interrupt Mask Clear Reg */ + JZ_REG Reserved4; + JZ_REG PXPE; /* Pull Enable Register */ + JZ_REG PXPES; /* Pull Enable Set Reg. */ + JZ_REG PXPEC; /* Pull Enable Clear Reg. */ + JZ_REG Reserved5; + JZ_REG PXFUN; /* Function Register */ + JZ_REG PXFUNS; /* Function Set Register */ + JZ_REG PXFUNC; /* Function Clear Register */ + JZ_REG Reserved6; + JZ_REG PXSEL; /* Select Register */ + JZ_REG PXSELS; /* Select Set Register */ + JZ_REG PXSELC; /* Select Clear Register */ + JZ_REG Reserved7; + JZ_REG PXDIR; /* Direction Register */ + JZ_REG PXDIRS; /* Direction Set Register */ + JZ_REG PXDIRC; /* Direction Clear Register */ + JZ_REG Reserved8; + JZ_REG PXTRG; /* Trigger Register */ + JZ_REG PXTRGS; /* Trigger Set Register */ + JZ_REG PXTRGC; /* Trigger Set Register */ + JZ_REG Reserved9; + JZ_REG PXFLG; /* Port Flag Register */ + JZ_REG PXFLGC; /* Port Flag clear Register */ +} JZ_PIO, *PJZ_PIO; + +void jz_gpio_as_output (JZ_PIO * pio, unsigned int o); + +void jz_gpio_as_input (JZ_PIO * pio, unsigned int o); + +void jz_gpio_set_pin (JZ_PIO * pio, unsigned int o); + +void jz_gpio_clear_pin (JZ_PIO * pio, unsigned int o); + +void jz_gpio_out (JZ_PIO * pio, unsigned int o, unsigned int val); + +unsigned int jz_gpio_get_pin (JZ_PIO * pio, unsigned int o); + +int jz_gpio_as_func (JZ_PIO * pio, unsigned int o, int func); + +JZ_PIO *jz_gpio_map (int port); + +#endif diff --git a/Examples/sram/src/jz47xx_mmap.c b/Examples/sram/src/jz47xx_mmap.c new file mode 100644 index 0000000..e8cf317 --- /dev/null +++ b/Examples/sram/src/jz47xx_mmap.c @@ -0,0 +1,39 @@ +/* + * JZ47xx GPIO lines + * + * Written 2010 by Andres Calderon andres.calderon@emqbit.com + */ + +#include +#include +#include +#include +#include +#include + +#include + + +void * +jz_mmap (off_t address) +{ + int fd; + + void *pio; + + if ((fd = open ("/dev/mem", O_RDWR | O_SYNC)) == -1) + { + fprintf (stderr, "Cannot open /dev/mem.\n"); + return 0; + } + + pio = (void *) mmap (0, getpagesize (), PROT_READ | PROT_WRITE, MAP_SHARED, fd, address); + + if (pio == (void *) -1) + { + fprintf (stderr, "Cannot mmap.\n"); + return 0; + } + + return pio; +} diff --git a/Examples/sram/src/jz47xx_mmap.h b/Examples/sram/src/jz47xx_mmap.h new file mode 100644 index 0000000..492825b --- /dev/null +++ b/Examples/sram/src/jz47xx_mmap.h @@ -0,0 +1,14 @@ +/* + * JZ47xx GPIO lines + * + * Written 2010 by Andres Calderon andres.calderon@emqbit.com + */ + +#ifndef __jz47xx_mmap_h__ +#define __jz47xx_mmap_h__ + +#include + +void *jz_mmap (off_t address); + +#endif diff --git a/Examples/sram/src/jz_init_sram.c b/Examples/sram/src/jz_init_sram.c new file mode 100644 index 0000000..d408e67 --- /dev/null +++ b/Examples/sram/src/jz_init_sram.c @@ -0,0 +1,65 @@ +/* SAKC FPGA/SRAM interface test + +Copyright (C) 2010 Carlos Camargo cicamargoba@unal.edu.co + Andres Calderon andres.calderon@emqbit.com + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + +#include +#include + +#include "jz47xx_gpio.h" +#include "jz47xx_mmap.h" + +#define TEST_PORT JZ_GPIO_PORT_B +#define TEST_PIN 26 + +int +main () +{ + int i,j; + JZ_PIO *pio; + JZ_REG *virt_addr; + + pio = jz_gpio_map (TEST_PORT); + jz_gpio_as_func (pio, TEST_PIN, 0); + + virt_addr = (JZ_REG *) jz_mmap (0x13010000) + 0x18; + + if (*virt_addr != 0xFFF7700) + { // 0 WS, 8 bits + *virt_addr = 0xFFF7700; + printf ("Configuring CS3 16 bits and 1 WS\n"); + } + else + printf ("CS3, already configured\n"); + + virt_addr = (JZ_REG *) jz_mmap (0x15000000); + + for (i = 0; i < 255; i++) + { + virt_addr[i] = i; + } + + + printf ("Reading Memory..\n"); + for (i = 0; i < 255; i++) + { + j = virt_addr[i]; + printf ("%X = %X\n", i, j); + } + + return 0; +} diff --git a/Examples/sram/src/jz_test_gpio.c b/Examples/sram/src/jz_test_gpio.c new file mode 100644 index 0000000..a9ef404 --- /dev/null +++ b/Examples/sram/src/jz_test_gpio.c @@ -0,0 +1,50 @@ +/* + JZ47xx test gpio + + Copyright (C) 2010 Andres Calderon andres.calderon@emqbit.com + Carlos Camargo cicamargoba@unal.edu.co + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + +#include +#include + +#include "jz47xx_gpio.h" + +#define TEST_PORT JZ_GPIO_PORT_D +#define TEST_PIN 23 + +int +main () +{ + JZ_PIO *pio = jz_gpio_map (TEST_PORT); + + if (!pio) + return -1; + + jz_gpio_as_output (pio, TEST_PIN); + + int tg = 1; + + while (1) + { + jz_gpio_out (pio, TEST_PIN, tg); + printf ("[%d]", jz_gpio_get_pin (pio, TEST_PIN)); + fflush (stdout); + usleep (500 * 1000); + tg = !tg; + } + return 0; +} diff --git a/Software/xc3sprog/COPYING b/Software/xc3sprog/COPYING new file mode 100644 index 0000000..5b6e7c6 --- /dev/null +++ b/Software/xc3sprog/COPYING @@ -0,0 +1,340 @@ + GNU GENERAL PUBLIC LICENSE + Version 2, June 1991 + + Copyright (C) 1989, 1991 Free Software Foundation, Inc. + 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The licenses for most software are designed to take away your +freedom to share and change it. By contrast, the GNU General Public +License is intended to guarantee your freedom to share and change free +software--to make sure the software is free for all its users. This +General Public License applies to most of the Free Software +Foundation's software and to any other program whose authors commit to +using it. (Some other Free Software Foundation software is covered by +the GNU Library General Public License instead.) You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +this service if you wish), that you receive source code or can get it +if you want it, that you can change the software or use pieces of it +in new free programs; and that you know you can do these things. + + To protect your rights, we need to make restrictions that forbid +anyone to deny you these rights or to ask you to surrender the rights. +These restrictions translate to certain responsibilities for you if you +distribute copies of the software, or if you modify it. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must give the recipients all the rights that +you have. You must make sure that they, too, receive or can get the +source code. And you must show them these terms so they know their +rights. + + We protect your rights with two steps: (1) copyright the software, and +(2) offer you this license which gives you legal permission to copy, +distribute and/or modify the software. + + Also, for each author's protection and ours, we want to make certain +that everyone understands that there is no warranty for this free +software. If the software is modified by someone else and passed on, we +want its recipients to know that what they have is not the original, so +that any problems introduced by others will not reflect on the original +authors' reputations. + + Finally, any free program is threatened constantly by software +patents. We wish to avoid the danger that redistributors of a free +program will individually obtain patent licenses, in effect making the +program proprietary. To prevent this, we have made it clear that any +patent must be licensed for everyone's free use or not licensed at all. + + The precise terms and conditions for copying, distribution and +modification follow. + + GNU GENERAL PUBLIC LICENSE + TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + + 0. This License applies to any program or other work which contains +a notice placed by the copyright holder saying it may be distributed +under the terms of this General Public License. The "Program", below, +refers to any such program or work, and a "work based on the Program" +means either the Program or any derivative work under copyright law: +that is to say, a work containing the Program or a portion of it, +either verbatim or with modifications and/or translated into another +language. (Hereinafter, translation is included without limitation in +the term "modification".) Each licensee is addressed as "you". + +Activities other than copying, distribution and modification are not +covered by this License; they are outside its scope. The act of +running the Program is not restricted, and the output from the Program +is covered only if its contents constitute a work based on the +Program (independent of having been made by running the Program). +Whether that is true depends on what the Program does. + + 1. You may copy and distribute verbatim copies of the Program's +source code as you receive it, in any medium, provided that you +conspicuously and appropriately publish on each copy an appropriate +copyright notice and disclaimer of warranty; keep intact all the +notices that refer to this License and to the absence of any warranty; +and give any other recipients of the Program a copy of this License +along with the Program. + +You may charge a fee for the physical act of transferring a copy, and +you may at your option offer warranty protection in exchange for a fee. + + 2. You may modify your copy or copies of the Program or any portion +of it, thus forming a work based on the Program, and copy and +distribute such modifications or work under the terms of Section 1 +above, provided that you also meet all of these conditions: + + a) You must cause the modified files to carry prominent notices + stating that you changed the files and the date of any change. + + b) You must cause any work that you distribute or publish, that in + whole or in part contains or is derived from the Program or any + part thereof, to be licensed as a whole at no charge to all third + parties under the terms of this License. + + c) If the modified program normally reads commands interactively + when run, you must cause it, when started running for such + interactive use in the most ordinary way, to print or display an + announcement including an appropriate copyright notice and a + notice that there is no warranty (or else, saying that you provide + a warranty) and that users may redistribute the program under + these conditions, and telling the user how to view a copy of this + License. (Exception: if the Program itself is interactive but + does not normally print such an announcement, your work based on + the Program is not required to print an announcement.) + +These requirements apply to the modified work as a whole. If +identifiable sections of that work are not derived from the Program, +and can be reasonably considered independent and separate works in +themselves, then this License, and its terms, do not apply to those +sections when you distribute them as separate works. But when you +distribute the same sections as part of a whole which is a work based +on the Program, the distribution of the whole must be on the terms of +this License, whose permissions for other licensees extend to the +entire whole, and thus to each and every part regardless of who wrote it. + +Thus, it is not the intent of this section to claim rights or contest +your rights to work written entirely by you; rather, the intent is to +exercise the right to control the distribution of derivative or +collective works based on the Program. + +In addition, mere aggregation of another work not based on the Program +with the Program (or with a work based on the Program) on a volume of +a storage or distribution medium does not bring the other work under +the scope of this License. + + 3. You may copy and distribute the Program (or a work based on it, +under Section 2) in object code or executable form under the terms of +Sections 1 and 2 above provided that you also do one of the following: + + a) Accompany it with the complete corresponding machine-readable + source code, which must be distributed under the terms of Sections + 1 and 2 above on a medium customarily used for software interchange; or, + + b) Accompany it with a written offer, valid for at least three + years, to give any third party, for a charge no more than your + cost of physically performing source distribution, a complete + machine-readable copy of the corresponding source code, to be + distributed under the terms of Sections 1 and 2 above on a medium + customarily used for software interchange; or, + + c) Accompany it with the information you received as to the offer + to distribute corresponding source code. (This alternative is + allowed only for noncommercial distribution and only if you + received the program in object code or executable form with such + an offer, in accord with Subsection b above.) + +The source code for a work means the preferred form of the work for +making modifications to it. For an executable work, complete source +code means all the source code for all modules it contains, plus any +associated interface definition files, plus the scripts used to +control compilation and installation of the executable. However, as a +special exception, the source code distributed need not include +anything that is normally distributed (in either source or binary +form) with the major components (compiler, kernel, and so on) of the +operating system on which the executable runs, unless that component +itself accompanies the executable. + +If distribution of executable or object code is made by offering +access to copy from a designated place, then offering equivalent +access to copy the source code from the same place counts as +distribution of the source code, even though third parties are not +compelled to copy the source along with the object code. + + 4. You may not copy, modify, sublicense, or distribute the Program +except as expressly provided under this License. Any attempt +otherwise to copy, modify, sublicense or distribute the Program is +void, and will automatically terminate your rights under this License. +However, parties who have received copies, or rights, from you under +this License will not have their licenses terminated so long as such +parties remain in full compliance. + + 5. You are not required to accept this License, since you have not +signed it. However, nothing else grants you permission to modify or +distribute the Program or its derivative works. These actions are +prohibited by law if you do not accept this License. Therefore, by +modifying or distributing the Program (or any work based on the +Program), you indicate your acceptance of this License to do so, and +all its terms and conditions for copying, distributing or modifying +the Program or works based on it. + + 6. Each time you redistribute the Program (or any work based on the +Program), the recipient automatically receives a license from the +original licensor to copy, distribute or modify the Program subject to +these terms and conditions. You may not impose any further +restrictions on the recipients' exercise of the rights granted herein. +You are not responsible for enforcing compliance by third parties to +this License. + + 7. If, as a consequence of a court judgment or allegation of patent +infringement or for any other reason (not limited to patent issues), +conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot +distribute so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you +may not distribute the Program at all. For example, if a patent +license would not permit royalty-free redistribution of the Program by +all those who receive copies directly or indirectly through you, then +the only way you could satisfy both it and this License would be to +refrain entirely from distribution of the Program. + +If any portion of this section is held invalid or unenforceable under +any particular circumstance, the balance of the section is intended to +apply and the section as a whole is intended to apply in other +circumstances. + +It is not the purpose of this section to induce you to infringe any +patents or other property right claims or to contest validity of any +such claims; this section has the sole purpose of protecting the +integrity of the free software distribution system, which is +implemented by public license practices. Many people have made +generous contributions to the wide range of software distributed +through that system in reliance on consistent application of that +system; it is up to the author/donor to decide if he or she is willing +to distribute software through any other system and a licensee cannot +impose that choice. + +This section is intended to make thoroughly clear what is believed to +be a consequence of the rest of this License. + + 8. If the distribution and/or use of the Program is restricted in +certain countries either by patents or by copyrighted interfaces, the +original copyright holder who places the Program under this License +may add an explicit geographical distribution limitation excluding +those countries, so that distribution is permitted only in or among +countries not thus excluded. In such case, this License incorporates +the limitation as if written in the body of this License. + + 9. The Free Software Foundation may publish revised and/or new versions +of the General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the Program +specifies a version number of this License which applies to it and "any +later version", you have the option of following the terms and conditions +either of that version or of any later version published by the Free +Software Foundation. If the Program does not specify a version number of +this License, you may choose any version ever published by the Free Software +Foundation. + + 10. If you wish to incorporate parts of the Program into other free +programs whose distribution conditions are different, write to the author +to ask for permission. For software which is copyrighted by the Free +Software Foundation, write to the Free Software Foundation; we sometimes +make exceptions for this. Our decision will be guided by the two goals +of preserving the free status of all derivatives of our free software and +of promoting the sharing and reuse of software generally. + + NO WARRANTY + + 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY +FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN +OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES +PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED +OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF +MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS +TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE +PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, +REPAIR OR CORRECTION. + + 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR +REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, +INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING +OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED +TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY +YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER +PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE +POSSIBILITY OF SUCH DAMAGES. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +convey the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software; you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation; either version 2 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program; if not, write to the Free Software + Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +Also add information on how to contact you by electronic and paper mail. + +If the program is interactive, make it output a short notice like this +when it starts in an interactive mode: + + Gnomovision version 69, Copyright (C) year name of author + Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, the commands you use may +be called something other than `show w' and `show c'; they could even be +mouse-clicks or menu items--whatever suits your program. + +You should also get your employer (if you work as a programmer) or your +school, if any, to sign a "copyright disclaimer" for the program, if +necessary. Here is a sample; alter the names: + + Yoyodyne, Inc., hereby disclaims all copyright interest in the program + `Gnomovision' (which makes passes at compilers) written by James Hacker. + + , 1 April 1989 + Ty Coon, President of Vice + +This General Public License does not permit incorporating your program into +proprietary programs. If your program is a subroutine library, you may +consider it more useful to permit linking proprietary applications with the +library. If this is what you want to do, use the GNU Library General +Public License instead of this License. diff --git a/Software/xc3sprog/Makefile b/Software/xc3sprog/Makefile new file mode 100755 index 0000000..9b5ed83 --- /dev/null +++ b/Software/xc3sprog/Makefile @@ -0,0 +1,81 @@ +# Spartan3 JTAG programmer and other utilities + +# Copyright (C) 2004 Andrew Rogers + +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2 of the License, or +# (at your option) any later version. + +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. + +# You should have received a copy of the GNU General Public License +# along with this program; if not, write to the Free Software +# Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + +GXX=mipsel-openwrt-linux-g++ +GCC=mipsel-openwrt-linux-gcc +LIBS=-lstdc++ + +all: xc3sprog +#debug bitparse detectchain xc3sprog + +debug: debug.o iobase.o sakcXCProgrammer.o iodebug.o + ${GXX} -Wall ${LIBS} $^ -o $@ + +bitparse: bitparse.o bitfile.o + ${GXX} -Wall ${LIBS} $^ -o $@ + +detectchain: detectchain.o jtag.o iobase.o sakcXCProgrammer.o iodebug.o devicedb.o + ${GXX} -Wall ${LIBS} $^ -o $@ + +xc3sprog: xc3sprog.o jtag.o iobase.o sakcXCProgrammer.o iodebug.o bitfile.o devicedb.o progalgxcf.o progalgxc3s.o jz47xx_gpio.o + ${GXX} -Wall ${LIBS} $^ -o $@ + +debug.o: debug.cpp iobase.h sakcXCProgrammer.h iodebug.h + ${GXX} -Wall -c $< -o $@ + +bitparse.o: bitparse.cpp bitfile.h + ${GXX} -Wall -c $< -o $@ + +detectchain.o: detectchain.cpp iobase.h sakcXCProgrammer.h jtag.h iodebug.h devicedb.h + ${GXX} -Wall -c $< -o $@ + +xc3sprog.o: xc3sprog.cpp iobase.h sakcXCProgrammer.h jtag.h iodebug.h bitfile.h devicedb.h progalgxcf.h progalgxc3s.h + ${GXX} -Wall -c $< -o $@ + +iobase.o: iobase.cpp iobase.h + ${GXX} -c $< -o $@ + +iodebug.o: iodebug.cpp iodebug.h iobase.h + ${GXX} -c $< -o $@ + +sakcXCProgrammer.o: sakcXCProgrammer.cpp sakcXCProgrammer.h iobase.h + ${GXX} -c $< -o $@ + +bitfile.o: bitfile.cpp bitfile.h + ${GXX} -c $< -o $@ + +jtag.o: jtag.cpp jtag.h + ${GXX} -c $< -o $@ + +devicedb.o: devicedb.cpp devicedb.h + ${GXX} -c $< -o $@ + +progalgxcf.o: progalgxcf.cpp progalgxcf.h iobase.h jtag.h bitfile.h + ${GXX} -c $< -o $@ + +progalgxc3s.o: progalgxc3s.cpp progalgxc3s.h iobase.h jtag.h bitfile.h + ${GXX} -c $< -o $@ + +jz47xx_gpio.o: jz47xx_gpio.c jz47xx_gpio.h + ${GCC} -c $< -o $@ + +clean: + rm -f debug.o iobase.o sakcXCProgrammer.o iodebug.o bitfile.o jtag.o xc3sprog.o + rm -f devicedb.o bitparse.o detectchain.o progalgxcf.o progalgxc3s.o + rm -f debug bitparse detectchain xc3sprog jz47xx_gpio.o *~ diff --git a/Software/xc3sprog/README b/Software/xc3sprog/README new file mode 100644 index 0000000..c192096 --- /dev/null +++ b/Software/xc3sprog/README @@ -0,0 +1,45 @@ +Spartan3 JTAG programmer and other utilities + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + + + +Please also read the file "COPYING" which is a copy of the GNU General Public License + + + + +This program should run without installation as root. + +To compile: + +$ make + + +A simple example is included that copies the switches to the LEDs on the Xilinx Spartan3 Starter Kit. + +$ ./xc3sprog echo_out.bit + + +The Platform Flash PROM of the Xilinx Spartan3 Starter Kit can be programmed by specifying it's location in the JTAG chain. Example command line below. + +$ ./xc3sprog echo_out.bit 1 + + +There is also a utility program included that parses and prints the header of a Xilinx .bit file. + +$ ./bitparse echo_out.bit \ No newline at end of file diff --git a/Software/xc3sprog/bitfile.cpp b/Software/xc3sprog/bitfile.cpp new file mode 100644 index 0000000..79e1dad --- /dev/null +++ b/Software/xc3sprog/bitfile.cpp @@ -0,0 +1,143 @@ +/* Xilinx .bit file parser + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#include "bitfile.h" + +using namespace std; + +BitFile::BitFile() +{ + Error=false; + logfile=stderr; + initFlip(); + buffer=0; + length=0; +} + +unsigned long BitFile::load(const char *fname) +{ + FILE *fp=fopen(fname,"rb"); + if(fp==0){ + string err="Cannot open file '"; + err+=fname; + err+="'"; + error(err); + return 0; + } + filename=fname; + + // Parse the header + char hdr[13]; + fread(hdr,1,13,fp); // 13 byte header + char key; + do{ + fread(&key,1,1,fp); + if(key=='a')readField(ncdFilename,fp); + if(key=='b')readField(partName,fp); + if(key=='c')readField(date,fp); + if(key=='d')readField(time,fp); + }while(key!='e'&&!feof(fp)); + if(key=='e')processData(fp); // This is the data + else{ + error("Unexpected end of file"); + fclose(fp); + return 0; + } + fclose(fp); + return getLength(); +} + +void BitFile::processData(FILE *fp) +{ + byte t[4]; + fread(t,1,4,fp); + length=(t[0]<<24)+(t[1]<<16)+(t[2]<<8)+t[3]; + if(buffer) delete [] buffer; + buffer=new byte[length]; + for(int i=0; i>1; + fnum=(fnum<<1)+bit; + } + bitRevTable[i]=fnum; + } +} + + +BitFile::~BitFile() +{ + if(buffer) delete [] buffer; +} diff --git a/Software/xc3sprog/bitfile.h b/Software/xc3sprog/bitfile.h new file mode 100644 index 0000000..f7c8c4d --- /dev/null +++ b/Software/xc3sprog/bitfile.h @@ -0,0 +1,114 @@ +/* Xilinx .bit file parser + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#ifndef BITFILE_H +#define BITFILE_H + +#include +#include + +// ----------------------Xilinx .bit file format--------------------------- + +// 00000000: 00 09 0f f0 0f f0 0f f0 0f f0 00 00 01 61 00 0a *.............a..* +// 00000010: 78 66 6f 72 6d 2e 6e 63 64 00 62 00 0c 76 31 30 *xform.ncd.b..v10* +// 00000020: 30 30 65 66 67 38 36 30 00 63 00 0b 32 30 30 31 *00efg860.c..2001* +// 00000030: 2f 30 38 2f 31 30 00 64 00 09 30 36 3a 35 35 3a */08/10.d..06:55:* +// 00000040: 30 34 00 65 00 0c 28 18 ff ff ff ff aa 99 55 66 *04.e..(.......Uf* +/* +Field 1 +2 bytes length 0x0009 (big endian) +9 bytes some sort of header +2 bytes length 0x0001 + +Field 2 +1 byte key 0x61 (The letter "a") +2 bytes length 0x000a (value depends on file name length) +10 bytes string design name "xform.ncd" (including a trailing 0x00) + +Field 3 +1 byte key 0x62 (The letter "b") +2 bytes length 0x000c (value depends on part name length) +12 bytes string part name "v1000efg860" (including a trailing 0x00) + +Field 4 +1 byte key 0x63 (The letter "c") +2 bytes length 0x000b +11 bytes string date "2001/08/10" (including a trailing 0x00) + +Field 5 +1 byte key 0x64 (The letter "d") +2 bytes length 0x0009 +9 bytes string time "06:55:04" (including a trailing 0x00) + +Field 6 +1 byte key 0x65 (The letter "e") +4 bytes length 0x000c9090 (value depends on device type, + and maybe design details) +8233440 bytes raw bit stream starting with 0xffffffff aa995566 sync +word. */ + +// Modified to reflect parsing - Andrew Rogers +// Reference: http://www.fpga-faq.com/FAQ_Pages/0026_Tell_me_about_bit_files.htm + +//-------------------------------------------------------------------------------- + +typedef unsigned char byte; + +class BitFile +{ + private: + std::string ncdFilename; // key 'a' + std::string partName; // key 'b' + std::string date; // key 'c' + std::string time; // key 'd' + unsigned long length; // The length of the byte data that follows, multiply by 8 to get bitstream length. + byte *buffer; // Each byte is reversed, Xilinx does things MSB first and JTAG does things LSB first! + std::string filename; + byte bitRevTable[256]; // Bit reverse lookup table + bool Error; + std::string errorStr; + FILE *logfile; + + private: + void initFlip(); + void error(const std::string &str); + void readField(std::string &field, FILE *fp); + void processData(FILE *fp); + + public: + BitFile(); + ~BitFile(); + unsigned long load(const char *fname); + inline byte *getData(){return buffer;} + inline unsigned long getLength(){return length*8;} // Returns length of bitstream + inline const char *getError(){ + if(!Error)return(""); + Error=false; + return errorStr.c_str(); + } + inline const char *getNCDFilename(){return ncdFilename.c_str();} + inline const char *getPartName(){return partName.c_str();} + inline const char *getDate(){return date.c_str();} + inline const char *getTime(){return time.c_str();} + unsigned long saveAsBin(const char *fname); +}; + +#endif //BITFILE_H + diff --git a/Software/xc3sprog/bitfile.o b/Software/xc3sprog/bitfile.o new file mode 100644 index 0000000000000000000000000000000000000000..a461d11e340c996e49cedca8c953020a5796908f GIT binary patch literal 11060 zcmeHNU2I%e5uUxfcD5xAZgGp-n)bSEl5Lw>o5mFma;2?*Qb)Cdl{jFE!CrgUj*GKi ztv9KQT3R3v5wf(Yh}t{^YD9oYL~=j@MJP?J#4SPULn=igpi1>akb=lVfFR`|lKH-S z=j@)n-K|>?5)WMI<~uWIX3oqxbLO18&dWW0+d`p`m?tDrDN`bi%_4OTB5j^PTpHz0 zum5&cSYCN^W3!xHXt_Qgc4cXyK^7Mt7Z}0QAm@t2wQ_DD3VsA{i}r!%^@XG}A?P=B zSA(PSet)vbfd#+a(l83c=U}I#8$-K9N2N3Vmz88A$83CMmv_{}>%N)ETSBZ-U>5X#sQ27(JbW&TSmN#N=(f?-k>6 zv1n{n(?LJw7G73eBfA#%n_<7%vX7v=b**K;nvSvmdcZ!`D%J%1O_u#*tJtrmW9%;k z>_=d~5%wD``)5|MUroo@e>Y&?&zbVvGgpuoMdU>hc~L}OVBX7lQ)|wGcFcH?FRknU za?ah!f`O-2d>@r_&8quRIrs1?_CG4;+5+~g<A*srEz)~GLFzgqr$brt*7bd3GU zfc;>u{5Ny%GU{9mb-7N22)DWBxZ{vNh z*ob;+bb~&kk1`9t*LAC<7mV5H{b3co;2avgKd+(}Toa@Bk5%;S`8PUtJ^g=<{QEhw z)A8%(C(V(ORrG4*NOl#yS~>FKDtezJM|ekM{n&)Pl#q8&Q_gLqen{$Kj?~8z^1ITR zhrS>1T#>sRSy|~y+^aP2fH>EG&U@x5_3b{(PAFgpeZHN090}vygmbkeo}^{(&SdU= z<{k*!uQ$kf`XP^CtgF^t(9{UKHu(47w-C!&+%^2WAn(Dvf8l=S*)cT>yi$BF#t4ZM zYYvUh8@X7he=Y|9jBhPXNojG#@#|VotR*pmF5hqeZu%B#)mhY}lc-(gTs)21-HqCv zgijr)-Rb1s|~ZJ zMh17tjZJdiTW7`*g}xm}HF@R){4C8wTzyEuf9B36)Zql`a8MUK_93^*bI9@i9CbRH zE#Jw{QdZVBkN7O(Lwy9vcb^U^Q1Q_ z&3WSd43_e?>x5|szqNvV^Q{%+`#IsSvFS7OW%t?RV(m_FP5-ECin*#-7duX4vtqs7 zQQVXL_-(uPdW(Fw%D3tHqOoUuWiJP++X%O+H-_Gwuy7JRp$uxPiu>xM@^s`tvPBB z%n|#8b3|SOb7a@7bd6Z5$(nekR43@u^EGS*<%}JBURVdP&x_dSMeK9bfRo6>avhjQ z9T-9#7(^WyKpp7UIxvE@O=E4--W)p}Lss2Iy(<0IV$O`<8eu*va&06qkJaS4XEA1J zO@FO%^UUjpFWtzqUgTL&7d-Z%hL!Vy<5xQ~$VY4yIiAG6D$DzMu)ogF@!;4&xoTsN z=pHrS7IsYBBlL^+r8|(P4OU%!%<5wfSN5I%UJCVKjf{HdJjXC`G{`%pIeSdbe{U3W zw^?#Ln|L>@B|lb0o_Ek%^64t_{2r<$pMm`CGV1+~#^3bdj(2af>s$wNYQ4^R2i5~L z<>a?|<}ohXSyzf{sfh2nk}caV{V-!BVb`o>aK8lidaxd{-^66>k=G8J?baB>HFBkz ztjU*es!q@+I}YZh@jaM_K|N#F-UEo;y9Z!@W6z&0Vt=C!oJ8J~_dnC~90(bKcw!7IB`lenSSogO}HAWhJz6HhL^1heOc=j@$@EuQ+nai7tiZ zR5*G%B8MVTk!$?tlZnRSP)SCwg=H{$DlEC^Ek_Q9qDzj>Ue5 zFNfqpD0<0}0}dERon|zb*nA~?9Dk^QlUv%ZqcInW9<7u4NOUP8r@Z!sI((^|tdE{r zBTMzstMzi(YcH>XGSoUY0dqPP^Nw5$fxa4QM)MHuzv~>tFaC$?qsQ0C@%rey^>W5* zA70aobuiERncL-gT;sb^+3a+|oz7*l?)clN8P zk)6&@W+&XtY$2a=)2TwrO%*C-@>T4*G-^Ff+75lIzfVFhK3MP5Yd;4>_~PQdjy>7&o8$p*m-PQF)H~#dF z-4DBMty@~R$WxyNcOtt_LI_nUU6f zW@0isKF!8dYc8Fa*1hS>=)Q^8)ac}v$Hh}<9e#Gl7dj^k+mL2kCo+Y;Om?EMr)R&k zPK=EWXJ*GTxxz38o65ke7J)r;K?_ z-y2~3b7f`P@OMDY_(YzOWA2%?CI1_c`wbiV7&)Sefn9A#$m?Ky25pn4w9go9d$EVW zuoR6wa4R00ACbSU*qve906N0nY?LL!f?{et;fk50Jc^_Bgjoo!C+y8|nGyD{$0bI% zwCj9U*vr6DH|%o;%1^jrra6A7Gy-$(A^5}n$LBiUyAU7#=u&#PCePqyAI}{2f34K( zuj6Qdp0a4_e+REQi>Uu9XmjR}{;?&`b^Aqt{uO9*_E7#DXmiGp{(C_FW`K6MpqOT! zHaUB0rp#M(+ z`UBABtfTymK);Mm7I>#=9n0mU*5PCE+b6R)ou(#pIFp8VXP=+UriXWCX7=Iev81{; zv-{a}s-Li9*No2?o|v5-&Smm5)7jM2WZ{M3{fP>#$33mm0odhRfx6e4DWo&`ysgj` zP}rK!q|)0aGgIlFox5iGH*Xyt-Zjv@{Y%5!w)gd*xpQ~dfQ(bf_bS)dGiKX+0`{l# zJv*P-HRB7Uc9jV5o){a(0S_O?bGY6W#%~M6j0=iv7rs2#V((B03K!&JiJZTImZ)Us3v+()=$y)Qc1G zb}QYd^sLecl|H8Q38gP9eN|}`DbHT7;t&yq1m85CpYJRGrXou)$K6DPANZq&M-M7J zqV$~7N0r9ckte^X^fje#DcyupPJ1p9_WP6`Qo5k@8O4i47Cc-&B2BnsDDuujx>s>T zaaM5-SckLt5U?J2jC>TP2rd^KzmbUXJCyEIx}fv{rB5h*M(JgxZxG>E43`x8fj`=K zIG{MCctG)};z=O=It8R(7s!WSaU87ltDOkHMwHGeeMITwN?%lZS?LIlK>W}1G!Y*@3DoWg&iDbMi?ak+2U~l9^}rnYE%@djLjRifU)TN{ z;CkywguY7zf0h`>{1P9=ysiUc9?lT4A1@OhMeQd-z5z!&`wtQ~iOdthUsQUTi1=;* z>EBHt{o@sY@ih|RUz`a45=7_^D4kZiK*T&8RQkBmXNd6sG7)}UA;J&xIBpY=<2D01 zZj5}4+fKx|eMF2qKxF(%A5{7X5#!D)y{PmhB3>7lOWKbCX+I9+y7mz<{)qOcwSS6y zjQ=7Lb`KG;PDh9s|FrVoCBpvuK-ymh(*70lA%Bwy|JPzoymcf(zfI|0BJ|V5R*_eT zn4hDHOGMmdZz#qv2c!oSb41MJK_cv)AwGirMtoT05)o^;to_%Ch&OV#?{5@He;a^| zH%5fN?L^2XiLjeix}fv{BIkvOagHkgjPfrM5f>i^l|Z1){ + int length=file.load(args[1]); + byte *data=file.getData(); + if(length>0){ + printf("Created from NCD file: %s\n",file.getNCDFilename()); + printf("Target device: %s\n",file.getPartName()); + printf("Created: %s %s\n",file.getDate(),file.getTime()); + printf("Bitstream length: %d bits\n",length); + } + else return 1; + } + if(argc>2)if(file.saveAsBin(args[2])>0)printf("Bitstream saved in binary format in file: %s\n",args[2]); + if(argc<2){ + fprintf(stderr,"Usage: %s infile.bit [outfile.bin]\n",args[0]); + } +} diff --git a/Software/xc3sprog/byte-order.txt b/Software/xc3sprog/byte-order.txt new file mode 100644 index 0000000..32a11b0 --- /dev/null +++ b/Software/xc3sprog/byte-order.txt @@ -0,0 +1,29 @@ +The IO routines that shift data out to the JTAG port start with the first byte of the buffer given. Each byte is is output LSB first. If the byte order was the same as the SVF file then the entire buffer would have to be stored and then reversed, causing problems for embedded JTAG servers with limited memory. + + + +The table below shows an example of the byte order reversal required for playing an SVF file. + +SVF IO buffer +.. ff +.. aa +.. 33 +.. 00 +00 .. +33 .. +aa .. +ff .. + + + +For a Xilinx bit file, which is MSB first, each byte is bit-reversed as shown below. + +BIT IO buffer +ff ff +55 aa +cc 33 +00 00 +.. .. +.. .. +.. .. +.. .. \ No newline at end of file diff --git a/Software/xc3sprog/debug.cpp b/Software/xc3sprog/debug.cpp new file mode 100644 index 0000000..3a3aa5f --- /dev/null +++ b/Software/xc3sprog/debug.cpp @@ -0,0 +1,119 @@ +/* JTAG debugging code + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + +#include +#include + + +#include "iobase.h" +#include "at91XCProgramer.h" +#include "iodebug.h" + +#define MEMDEV "/dev/mem" +#define CPLD_PHY_BASE PXA_CS2_PHYS + +using namespace std; + +void testPP(); +void testDebug(); +void printBit(unsigned char *data, int bit); +void getSwitches(IOBase *io); +void getID(IOBase *io); + +int main(int argc, char**args) +{ + testPP(); + return 0; +} + +void testDebug() +{ + IOBase *io; + io=new IODebug(); + unsigned char tdi[]={0x3a,0xa3}; + unsigned char tdo[10]; + io->setTapState(IOBase::SHIFT_DR); + io->shiftTDITDO(tdi,tdo,16,false); + for(int i=0; i<2; i++)printf("TDO %02x\n",tdo[i]); + delete io; +} + +void testPP() +{ + IOBase *io; + io=new JTAGBus(MEMDEV,CPLD_PHY_BASE); + unsigned char tdi[]={0,0,0,0,0,0,0,0}; + unsigned char tdo[100]; + io->setTapState(IOBase::SHIFT_DR); + io->shiftTDITDO(tdi,tdo,64); + for(int i=0; i<8; i++)printf("TDO %02x\n",tdo[i]); + printf("\n"); + getSwitches(io); + getID(io); + delete io; +} + +void printBit1(bool val) +{ + if(val)printf("|=| "); + else printf("| | "); +} + +void getID(IOBase *io) +{ + unsigned char tdo[100]; + unsigned char tdi[]={0xfe,0x09}; + io->setTapState(IOBase::SHIFT_IR); + io->shiftTDI(tdi,14); + io->setTapState(IOBase::RUN_TEST_IDLE); + io->setTapState(IOBase::SHIFT_DR); + io->shiftTDO(tdo,64); + for(int i=0; i<8; i++)printf("TDO %02x\n",tdo[i]); + printf("\n"); +} + +void getSwitches(IOBase *io) +{ + unsigned char tdo[100]; + unsigned char tdi[]={0xff,0x01}; + io->setTapState(IOBase::SHIFT_IR); + io->shiftTDI(tdi,14); + io->setTapState(IOBase::SHIFT_DR); + io->shiftTDO(tdo,600); + int swi[]={506,509,518,521,539,536,557,569}; + for(int i=0; i<8; i++){ + int bit=swi[i]; + bool val=(tdo[bit/8]>>(bit%8))&1; + printBit1(val); + } + printf("\n"); + for(int i=0; i<8; i++){ + int bit=swi[i]; + bool val=(tdo[bit/8]>>(bit%8))&1; + printBit1(!val); + } + printf("\n\n"); +} + +void printBit(unsigned char *data, int bit) +{ + printf("bit %d = %d\n",bit,(data[bit/8]>>(bit%8))&1); +} + + diff --git a/Software/xc3sprog/detectchain.cpp b/Software/xc3sprog/detectchain.cpp new file mode 100644 index 0000000..7d0e8d1 --- /dev/null +++ b/Software/xc3sprog/detectchain.cpp @@ -0,0 +1,59 @@ +/* JTAG chain detection + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + +#include + +#include "iodebug.h" +#include "jtag.h" +#include "devicedb.h" +#include "jtag_bus.h" + +#define MEMDEV "/dev/mem" +#define CPLD_PHY_BASE PXA_CS2_PHYS + +#define DEVICEDB "devlist.txt" + +int main(int argc, char **args) +{ + JTAGBus io(MEMDEV,CPLD_PHY_BASE); + + if(io.checkError()){ + fprintf(stderr,"Can map physical address into virtual space! or can not open '%s'.\n",MEMDEV); + return 1; + } + + Jtag jtag(&io); + int num=jtag.getChain(); + DeviceDB db(DEVICEDB); + int dblast=0; + for(int i=0; i0){ + jtag.setDeviceIRLength(i,length); + printf("Desc: %s\tIR length: %d\n",db.getDeviceDescription(dblast),length); + dblast++; + } + else{ + printf("not found in '%s'.\n",DEVICEDB); + } + } + return 0; +} diff --git a/Software/xc3sprog/devicedb.cpp b/Software/xc3sprog/devicedb.cpp new file mode 100644 index 0000000..183fa7b --- /dev/null +++ b/Software/xc3sprog/devicedb.cpp @@ -0,0 +1,71 @@ +/* JTAG chain device database + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + +#include "devicedb.h" + +using namespace std; + +DeviceDB::DeviceDB(const char *fname) +{ + filename=fname; + FILE *fp=fopen(fname,"rt"); + if(fp==0)fprintf(stderr,"Cannot open device database file '%s'\n",fname); + else fclose(fp); +} + +int DeviceDB::loadDevice(const unsigned long id) +{ + FILE *fp=fopen(filename.c_str(),"rt"); + if(fp==0){ + fprintf(stderr,"Cannot open device database file '%s'\n",filename.c_str()); + return 0; + } + + int irlen; + while(!feof(fp)){ + unsigned long idr; + char text[256]; + char buffer[256]; + fgets(buffer,256,fp); // Get next line from file + sscanf(buffer,"%08x %d %s",&idr,&irlen,text); + if(id==idr){ + device_t dev; + dev.text=text; + dev.idcode=idr; + dev.irlen=irlen; + devices.push_back(dev); + fclose(fp); + return irlen; + } + } + fclose(fp); + return 0; +} + +int DeviceDB::getIRLength(int i) +{ + if(i>=devices.size())return 0; + return devices[i].irlen; +} + +const char *DeviceDB::getDeviceDescription(int i) +{ + if(i>=devices.size())return 0; + return devices[i].text.c_str(); +} diff --git a/Software/xc3sprog/devicedb.h b/Software/xc3sprog/devicedb.h new file mode 100644 index 0000000..5ffa503 --- /dev/null +++ b/Software/xc3sprog/devicedb.h @@ -0,0 +1,47 @@ +/* JTAG chain device database + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#ifndef DEVICEDB_H +#define DEVICEDB_H + +#include +#include + +typedef unsigned char byte; + +class DeviceDB +{ + private: + struct device_t + { + unsigned long idcode; // Store IDCODE + int irlen; // instruction register length. + std::string text; + }; + std::vector devices; + std::string filename; + public: + DeviceDB(const char *fname); + int loadDevice(const unsigned long id); + int getIRLength(int i); + const char *getDeviceDescription(int i); +}; + +#endif diff --git a/Software/xc3sprog/devicedb.o b/Software/xc3sprog/devicedb.o new file mode 100644 index 0000000000000000000000000000000000000000..1695ec19bec9b0d08a3f86c4d504ba245de1065b GIT binary patch literal 28204 zcmdU2e{fvIec!ux202kcp@t|_AtzbJA}Gqq7DP~`Ijj@dpg4*p2gh|d{W@C${fcz9 z1vns#LY$yPfSuwr4!8jlCvhv2n3Q%1(SQlGrBh|XOxzCBl9WG~Ofsc2q~m0qsGrZi z-S_tGy*u3*yVLIQ{C(fu&wls2-~H})_r13#?rv+pJ`#xtog$)4_?!@@uNGoig%C{= zP$v$E2W9y+;EB6d(LYi2BZ_`h(WIi+DY{P4Rz*{aUa#m4if&N!Mn&5d-Kc1XqMH=$ zQgpMTH!HeD(XEPZQ}h-^yA|zGv{%tSMKg--P;^ky+Y}vEbX3uCMYD?TQgl+$I~3ic z=v|6VDY{qDX+`f-^b3mK4{D~9i$7dlD}FQ=7thXBiDPrsZyt8)#EH3j@dB=e**fvu zEb-OxBuP2{xw0Y}jfi#4i(*|OCXyIkAu7cD++_lTkHk9l;`v$Dsjlm0maG>O>t@JQ zi09^T%~roL@1X5jv^|Tq(bf-FmxAZ5QJv$%uX>)0_CUFAy(H0@vYIUC0k9H~-$rYq7#3ScFg3JQ;FCR7g72x{j@sK&Fa#} z64Cj&NiHNviO6%SWt)*@wUvf1CnC?Uj*B0!t`aY;42Lu*Z4&AImC!yo4S8Z zuy=LkVNt!WTQo%%Fc*S2sgHTEXH~k zV?Db*>d!UWS*O#&c}?Gk(B$dG#YLUZ0Yc0d@7EbZELA>l*00R1zf}2B@|P%&1mxG{ zw7*pOlKoqv{Uz%M^b4`i67qxk$8-Akw%cDyzjIx_ME`^Rjs%VuCc-Bo)0l9}IE}u`> zm8pksu&z;p%Q=%6A!TwQ>348<3v?6NiR9yicU@1aH}(C!aVhO`{4Z3`S?0c3_lM6uxQCse$1|~O*WWDm z%4djD+rhI6Fu#I-jLKV%%LgTLeX81comNvZ1*-*H``{IX8T zcx!Q8E$+P-N1o5+cwae-HW~M1>_uG}0~b+FIhz0VVzP>JgYwuP9S6-ASg1dE$N3og zC)+~*y!MKbwF2)5D^#pDZA5um@9KR?#BhV3NqnShoy#>gpHD9J?ywoY*`#~~aS;2&SS@0wHx8!Htnl7% zpO|enFxVUO@k?+|SY5&QH%Z(t!2Tz&uKKc&hw^yTTwkbnp?9B)i;?Bq%7(%E5&NGp*qfxOCMSetx&r$&-;NQ_zb|4~NVg+y)rpoq3Mfbo$L_AGwSeCdd za^)VpRz4l6pO1=Tg#C)InNK^@k$vY!o>_*BXU?yG<9snwhFdfz6GUB{cop2?XlkD$ zPO;u`hfe}RyoB|r3Hy=+)}(s)d!6$4X4t4jyfBx9-IL1hv@yQTFf2fjNOM>}!6!>+|x5NFCl9?K9v{5h4xeT6Yz_--V1YF2u3 z4}g2jT~`P4)V*0eKii`6{#oq_h~e1626&hKEWUv}iTB&bpsT-rqPue5+PeDrxjN>X zSLU7q_n!aen-_)T)QT6q{mI#u1=&mL7M!!eZz-Iw_^#s1K%6r9m6g$`p3mIlnBS$~ zdtN=WPPHY2aR3+mH}@T|-al32yBEhkAP3K0+BdGm^Urei{@cV!~djJXHU z&m!+s?dZJ#_eWf>tKG1n*X+5AJsZr+`NqD7t%HunWu9`#1@_6lM4IElFJe*=CJaloB_ z{0^-5UP5%t==+%Xgm)j1FZT=BqZs=WU5E3g!t_aut^e)!NyO^l_umz0Td%7t5X^!7|-;@by@GV#@`CRV>g zh+Ub!?CAKl*QP&~9vqp-jAzq5J0~G%Rib$^zN$aIYC?#4*s29V_T-a=haJ};`6~zus$yExjKMD z9?P(Q@W+#DbVMw}_wQ&+y|~`{4h|pp_7k)tzF&L&Rq0#!PR#0h zj7R!j`zYg)&eLmOsqrY--t*WKyKz*A-lIwJJoa2J9|NyrmACFxwhE1}#=X`B@7#{s zeiZZ*KUQlyGA?j@g8KM4?$yoj%DSHIr+$8}e{!8dOu#hKhI^$-v=637qe2hV)EvK%Jq*#AC08SFJs*}E|xJB!VIAN zAg;r>l$^Tu2OK4-PRfxBD9TCmwRH?-OwG9RVP264A=qX<%qfLQ6~I(QT+o7#iR-?{ zk;f~kFzvWkZ3Qs3$nRH}X#>;oK%_!Uu?zJr6MsbNbrZD?_Okh0N-YW_- z?_&~XdkN$dcUs}LfX29zr7mbTakOz9S8WvkAg#xx^a`{YXPLqncF4gDDNIKJIS(jI z6LkU(IwgD?B&0sa6(*kz;;42~Va9N;kuR|8?REtw=&CsWt zI{m4{h1#ai7SK%L2#L1jSd0yzqyT;Nz zLqnr|J=l|)8r*bEI*nmS_f1YVemI>T866+)8A=akGvh>V=m_nO*V)cBY4&Xm`qtJ& zWzLQ0ekr%`fb?{>ZMvy5fx*?rJ!?IKKS1uT7>CROk8uD)uwXCVWhNi(3KHMOYz!L!do%p z)(j6w>nLsb|HdLCeBSz<*)@rDx^Hys_Vn=Rt_&Qs@3!4N*Uu;_A*zgkl5&kZ>|jHfR-4Dujv~dLHOI*mu>5S zRj?i~I_&7{OJ^qgGGkc`QtwbE*n~UgJ4XgF7Y2KVu=e*$`%CMzH8zKog!i|}8`i7S zomT}rg85RsAP#39!$DcNN3Ke6k8q_BAQ6lWaWWz!D`12$v2p?w5UX}euIQ`idYj;c z0kOr-N5qnkrTYdleYd5DG9zubcHGn#lvAP`G^P$Yk~Q%4!QT>Tdai-6>{GLcla%ytZvLMmlVx z3une|q>Vd0+t-TQ>0L9nb7DZobyG%WAiaIOXBd&8Z*+J#GlGX0Y_}VFvf1&$-ksSD zo@926kM86{Vv*^0SVwBZhI|X-i%<4qszE+(-dj%D{~l!0|a-xBHm_ zxGn>SSKfK`+h*YKnmsR$kE^=f$pSdO!_c_f3*gw6#@$f>x5vQUSpYX};P_2F-+0Uz zxVsAA4j8z*3*h+Zt@|-m0Qb0oyQcu|sDayC0C(KL-CF?njDe$#^4aBtf%{wm+{*^; zz5=*c4BY1n;9fOw`wHM*H*owNWWIj<%D{cG0PZaV$KO-tlXu#{(RcFUVt7ZS{oqRl zaODQ>%LQ;N3>;tZ=4+SlDs{UL6u{LQxCaa1>J1!YTfTPro=&&BzW}bqz;RCHlb14Z z4;R3-8@R6)z;zk8uNS~=GjNX-!0}zK?(d@oa6<;}Z~O^{Q1Y@P(+6w7e^kNBmN_1Tau%3{A;Id6nv(gzb|5 z2rjm(6V7Id!$v=dW8!b?&3;r32yp}z*$@02-|NRZ+?(?DU4RTD>9P*w@%}ueAaV5u zPPcoDg*y&hT?meQ4^!T&z@# zjdpKPIJW!mmb{lCuQo(p923p#@0)q_s{+pK@9RdpHyZ8UXvs@l6yD$KEqT8(>NI&*l^4>D!Z8YTly(RAm<9TdignrS#{%Sq>Gw(C zO#QYQcHUvg`>rLg3P0GH*2*ay*>73$`XMil{D*K2;?ny4-ja71@`g~ZlkV?tEqT+B zR|yd1-G)ocOTzih{+0u@PYY8xvMs=w{XJy#SHA}VL=0H+4nW>K1*oL;>$l`R4taIJ zP`^=JTEC~1JS-zJb-h=Jr`0`)miLcVyXBW+-D1a7(zq9`c3(!jTYzD^;A5ON3g%^t8iW+#C|J*gGev6Kpxwr{q*}B_IK2hx95G~es!BAZwB(< zGE&|iP_5tJS@L3+VbhIropgVHV99$N@+ttLes|&0@?!4{_BRW8NtElPD*VN39iT_&E`J|3~!uE3< zvDRl~d6UIATRfk7{p5&ieFk@q;1i>t9QibD@*njX+~Kzo7Jr?^ue118i%(hn^%j4F z#c#0q8!f)w;`t=tCnpfOssARw5OMj=;+tBRPS{N<5>gpPWF1W;q@@jpX1&yO|t; zhZZ9_eCRNeBd{qqk`s6%Ncq^r8p#ot8XU{9nKP1uUoV--5!g%_$&r6a#m{iezv_i- zN5uU?$yZx^jm59D_*#p{B55Q?TyAh|Z?(m92jM42U=cHtBR*hotbe7&*IWDtExy6x zKVDTq$bA4dMri=SbIx4dT}U{EgyWDkQk|+eL@snNd|L5IS-wSlR`LCk-zw$< z<=ez>0{ksvr3z7Qd);DdfbS8HDc<#mUhxaXyW`a-Dpg2ZknLr}gNk>{cZidUpO)o= zBCbN-kmPR@pHloj$q$R&iU%vlW7^;ujrJ>k9``j^@BY;)|4+s9nYIS&-A!cxsziVJ zw8h_M@pmeIJ+L*f`rj(v?awZ89yf+a9k^<+K6Wae&ww>pA0JdaF>G&6@%toxk9gB6 zUv7kS;xAPEn8e>DKCbwrPSmH%;{VLz zg?l`{zqg@pY)t5r_35qZOnv^7^eE|^kViyAG4h~~#OS*s&syr!^sHOdks^7H-qfM7 z5l7=UY--Pp?8pwZ4Wd*2iKI0Nl%?FC24p7s#s|l;gQFuZ=%&tztNPM74v53qvh}lY zWEFDG{#pF9Dpa1rH%;XIV8T0lPh(8D+ypJN#F;DK+MXz!gVm?IaFEUWae;e=6G!mb zf`@o8l{YS?(zEcR`axbSXbKQq52>$^em=6N?!yt ze<`VyAp1o zat1H#Q2&twP2M|Ud@zns#gH0>Fma@T&6+mSOk z+a50L3{SKdKjFg8u}f7jK+rTd0;wq5!&PD;Dtdwcrbb7CA9lPrZF)`8CGlDK=Mz_mv^RWr{{X`7U`u%t&iQ!@V1S#@gCq@2gm0ynMz}Rm0 zx9zd}h2Z(b*chIPNRJA^bAHS4GJt2*&I9FnzSv*k?}{=1uZ4J-`JgAsqx@C!ps$0* z=J5B+NYUOY(qm{8_vGtH$MI~Z_-&-$aSzQl#j=uyvn&}Q-|Zy^tw0;N9uZ8zKBLJEDl6~B)Z`W#gJGo;XGffV}G;dzjD;&UP8 zHiJ?w{znP2{VmK#yW5x#I>vn9rs}Pm}%)X)C;c+&lg^?(=>w<_dqy8bebR?epLD+v-J}PE9IxoZs81d*`{qf%j{2mJpg!yv zeH8Uc52HTmH&CDS3DhV3rVxiokDxy3w^5%I{v^%={VwX0K8gCI-$Q*;jO!HXarbN# z{l1ne!!HL&@%bV_3j4-MVOL3~+;8jjgG%OKqU!Bc^j`HhAKt6*u&1mCdrC@svL5Uy zDePBI9`@Ttx>Efu4~(zOhaKyf4|~H^C0(zm#KXQ)@6TbrFdtNw!`=hrzoP2F4<#OU z?`J-QPLskf50b)vWq&`Q;u-KTiHHFY7Zfq%OC0b=$^QlZJR&LjdqS1hM!lc2U5SkRCao^azo<;!>v{Z6wS^8$ezQ?s&bS2gk^fOwE@g!Y^dZd@59_eb-BdrtS=cHFq z2>ye=E++-g^)GrQ{F)R_$b;t552D|s@SCSeKjf}|(FE$FpV21NCuMz7U>X(A_x9vJ YrT9rw*zq34A0~x8PLKlkPb&X^06U}EBLDyZ literal 0 HcmV?d00001 diff --git a/Software/xc3sprog/devlist.txt b/Software/xc3sprog/devlist.txt new file mode 100644 index 0000000..8f3c222 --- /dev/null +++ b/Software/xc3sprog/devlist.txt @@ -0,0 +1,16 @@ +# IDCODE IR Length Text +0140d093 6 XC3S50 +01414093 6 XC3S200 +0141c093 6 XC3S400 +01428093 6 XC3S1000 +01434093 6 XC3S1500 +01440093 6 XC3S2000 +01448093 6 XC3S4000 +01450093 6 XC3S5000 +05045093 8 XCF02S +00608093 5 XC2S15 +0060c093 5 XC2S30 +00610093 5 XC2S50 +00614093 5 XC2S100 +00618093 5 XC2S150 +0061c093 5 XC2S200 diff --git a/Software/xc3sprog/iobase.cpp b/Software/xc3sprog/iobase.cpp new file mode 100644 index 0000000..2f5ec5a --- /dev/null +++ b/Software/xc3sprog/iobase.cpp @@ -0,0 +1,352 @@ +/* JTAG low level functions and base class for cables + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#include "iobase.h" + +#include + +using namespace std; + +IOBase::IOBase() +{ + current_state=UNKNOWN; +} + +int IOBase::shiftTDITDO(const unsigned char *tdi, unsigned char *tdo, int length, bool last) +{ + if(length==0)return 0; + int i=0; + int j=0; + unsigned char tdo_byte=0; + unsigned char tdi_byte=tdi[j]; + while(i>1; + i++; + if((i%8)==0){ // Next byte + tdo[j]=tdo_byte; // Save the TDO byte + tdo_byte=0; + j++; + tdi_byte=tdi[j]; // Get the next TDI byte + } + }; + tdo_byte=tdo_byte+(txrx(last, (tdi_byte&1)==1)<<(i%8)); // TMS set if last=true + tdo[j]=tdo_byte; + nextTapState(last); // If TMS is set the the state of the tap changes +} + +int IOBase::shiftTDI(const unsigned char *tdi, int length, bool last) +{ + if(length==0)return 0; + int i=0; + int j=0; + unsigned char tdi_byte=tdi[j]; + while(i>1; + i++; + if((i%8)==0){ // Next byte + j++; + tdi_byte=tdi[j]; //Get the next TDI byte + } + }; + tx(last, (tdi_byte&1)==1); // TMS set if last=true + nextTapState(last); // If TMS is set the the state of the tap changes +} + +// TDI gets a load of zeros, we just record TDO. +int IOBase::shiftTDO(unsigned char *tdo, int length, bool last) +{ + if(length==0)return 0; + int i=0; + int j=0; + unsigned char tdo_byte=0; + while(iDoSVSC7fL4gdGhtV0Fhrj{~Y!79mor%*e4|xb94`qa6##pk#AcJ+wgIDeMyWhFr zclBA4JK763=ic-0o`3gzSI$p{4t=MkrA6#$k#-4oA`d!6TH_+Uh9NDx*Rx#D0s{5 z^6vBPvKIY3y2a?g-IngYq}E zVfzNTz=nFcZ5D@mHhk9H+P-7^$1c+k-&jO{DsO$1FugB`gJ-+t#r;6AWPfB391oj>*8H?MLr_;@wB~SoFg(`e8Zk^w~A^$zAA^UD_uT=#$C#lBCeP5`K>y zSmYhprgs~(i|lc`wdW*|8+)%WX}@!28RM|*4oBA3O<30YZDR!6{20+6*jjVU5cn#ALWmOkh`qww;T3-_0&rsdQrUJM*IA@+xO-XN^KX>XR`E z>JxS2ePb6Qxh>M)o5FV#@xyo7i^q0(IYu9N*9oiD$rRR=N7V?S!R_s$%&ITL_*xw) z<%uD#RT;=4&ZbWJy~cVO`So*RbIo&LOZIWCgHGreRK8@_0OgDhyjhm$bEl&NYsAmf z$-G+q%bdMXmLs*7~&8a>zxW`sCg}`j1&&3)LF! zLaiIylZY+q>;nCWL(i)6T7PW~+WQF}lRv~ETg8MeT9-9<)$k0&Bb(MqhhHZ_EwK)o z*=T(Y*T|o=MjF|k!@aL>|9DNWK|C*de&rb!KR3t$di{IltdpB&ec+J%u`;4@Mjn=t za}#qnNA|A$B3j!IcAC54+?`OKMwLIWI&Gfp_l$e-Y+FHFW)_!|`8lNINk<^86NYW?sX*lB8SrE-50AHavV!0JW%;=K!l zcY?Lu^~L6d->Q$)pGI#gMr>W=FN6(7e!W_)S{j~GcpHj5V-X@Xbp8PUoarm>`FM7O z_1Dl7o6t9t&u=1+GHQDMX7Y(m)${ z?|sU2m|F8>!her>2%FOQ!_PS8Rm_K&>4-=P^D5>e%p88boX5P48N*9%1oJ%RUGu7g zg8yTD^T4b96LUrHBLg|}qYtp*-P8Ju$b z^ciV^$r6i7X71dn(nJBUH0!|=b~Rf(nJE?LOOiP{QJRoUVQx+`v&G|6r;kl@aWXS= zbXGE_jus1Nj%OwcQ~URc5y*_ad011_TbiGpA1XjUH93TRB@azCv(w%I!5WOC-?v#- zI&nt=oG(ZGff{`A#MrUfiBm<%OirIVRXhz3;Bsc7RGOVCoGBII#_`$dGc%0XryBnO z*G`AC7i;z@=I@J`EMd+tUk*6S_oXI$gAU)9oA4cS_)<;yMjgIq9X|G4mLba7jB)&X zhZUhCuJ9>9l&n84JuUJM1nDnj=_~!(i%EUB(q`aCRn4&5uLDc|&G@q5f&Lj@1rF-# z@|Nabp3D79m^{~xVelLfS^9hx88v7XUgaMi$9p>fwKc{nX!O>T|chD58~(td3Y}4djXT@MpWSp^kuQP zgFGCIn6AET0{s{`>Vt@{?=se|z9kT?4v-8V;dM-x?@9x{cfjYKyO8kg=ehj90qe(I ztc^ZEj%(0o`meY3&%J}atM5WWWEeQ=v-gemeuznZcHH`c=cnCU+I=8Cu2!cl{?Fix z+YO-QLpuFhCix@qeZqwf%SUK)OVTA=ZWcGLlixR}pFY^Tfu*#=feTg%_Rf-If4KOV z{Ilv4u!#D%+{5Y<(PxR)M2`HA`!p`1f{%_fqUL{f0z}kQio5R&HdsYXMgvsTWW?RO zktP4OfN11-LxT|&cE(^t2G4afXTN9_dmtjzBRai8+-e<;Qlw*+qVSwx3RZx z2k!rdy?rZi--ZIPZv*b1!`{9HxPM6tgm)l`d>p9RcgkzJ2ies5kDWbhT&AWAcxPoM zXJ(|%H$6b<*E~QB>>D}@k>Dflka`Tsz7TqP=8C1!iJ2p%iBfUs@R6~eY)N-xr6K3o zo_(kBgzz~F4(S_nC#H^-h6+BzU})rEEd{nv(dgg-BX;maNV4Yz zm^NCRD;=6XJ~jEhA}p`*rEl`wD<`ata<0Q;u`Q9*akQX$o=cOzXTXV z+219;L*xVE0o##R;u8vU3SU=vUg2ehw-r86NLeGVa6}>Byu_bZcv<0Hg%1^W;p&mE zSK(2G3kt6&ys2M?Y-a}(1K8Mys{0W8gXidbg5dt4aj0s24GKpU##C`;CD?*M49*PMkY6FhM`Dd|H~v8$B4|d;UnBu}!X)Gg_kfPUZ$8{MkoTQ?m}MY4 zE%%)YakSavC<7A;Qwq}xyA@^?vJX;EpTe9%bMCX=+kk$WbK$2sH=*akeseDTG3UZR ukc{8vT=-+o-2)`?d(|Hh*^462DL>B7a}PMKa6#dc!WD&U3Wae3|Nj7i);%i# literal 0 HcmV?d00001 diff --git a/Software/xc3sprog/iodebug.cpp b/Software/xc3sprog/iodebug.cpp new file mode 100644 index 0000000..e116637 --- /dev/null +++ b/Software/xc3sprog/iodebug.cpp @@ -0,0 +1,39 @@ +/* Monitor JTAG signals instead of using physical cable + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#include + +#include "iodebug.h" + +using namespace std; + +bool IODebug::txrx(bool tms, bool tdi) +{ + int tdo; + printf("txrx(%d,%d) enter tdo>",tms,tdi); + scanf("%d",&tdo); + return tdo!=0; +} + +void IODebug::tx(bool tms, bool tdi) +{ + printf("tx(%d,%d)\n",tms,tdi); + +} diff --git a/Software/xc3sprog/iodebug.h b/Software/xc3sprog/iodebug.h new file mode 100644 index 0000000..1ab24c3 --- /dev/null +++ b/Software/xc3sprog/iodebug.h @@ -0,0 +1,37 @@ +/* Monitor JTAG signals instead of using physical cable + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + + +#ifndef IODEBUG_H +#define IODEBUG_H + +#include "iobase.h" + +class IODebug : public IOBase +{ + protected: + virtual bool txrx(bool tms, bool tdi); + virtual void tx(bool tms, bool tdi); + public: + IODebug() : IOBase(){} +}; + + +#endif // IODEBUG_H diff --git a/Software/xc3sprog/iodebug.o b/Software/xc3sprog/iodebug.o new file mode 100644 index 0000000000000000000000000000000000000000..0943b02beaac866d0ee14f3a1ce8016094289053 GIT binary patch literal 3004 zcmb7GOK%%h6h0m&CC~t&l;T3#j;n;YYBbnMprI-#BsNXdl0?LyDngo$?MZAYvCViA zIaMVpgiwW$QmHIhAX+J1utC|eYl%|S9seN<7M0kP1-nY-`^IzQYtsri()D?K=bp!% z`|#(PiyuTH5m6M89%*SJ^HGuRK9RA&At6WQNPs^NoqjKd94-MpY}_3>EWd2`$-V9P zqubG#{JI_QyDjn9j`Yj!mMQnQ`sMyjQy$zDG0usk2jYp#oZHKv_`{tj=5J#DW=!r5 z^~fG75ZOcAe#DK1aZN4m0OBSPcK~q{Vcg!1xXd*GTc7L>#S;?@8RUb$N#%5(Aq|;K zGC%Sl_q|P1%TFKDRg@A4PyX3?+-Niu6oYUa_T+}bkd^=Ok1hjQe~yhN^^=nmB0WB6tg4CgT7X&YFqyvmc)_$E;CnRNm*7SuU?h$KEZ?pBVig?U5i3`#iRHP=rSejR!irTbcw(&<*plVsN~0q%SDMa* zo3dpuWIboz0vl^^%j)BMt!A7d&%&f&Q|fI*ta*rO1VI>)a=e^wd6 zf5(2D2G?V;jzJ8@3l1rGQolT)t9Yj&)BoT6lJG%oRRf&Fc-&`-*a!7@G4xc^P$png zL_g9y7Xu`xo&0 zjY3Guj~viGjpOo3!oZ$YeT>f@^ms)WHdGK`nh32$y1h&OY_t%@Q>)apM)yyj(s>iM zC@NSyjiSL$Xc?lxtE=n!$}pe4qSW=BLS5~?W{hatmm{uT1BJiRN(L#~u?o?)l_lP) zn0w_A`scl)h}FJ^QB7jk;+%AVlU3B_hrPdXL32IdZn_z zqyuAo{R!3bPML`If(=~>mE}_YBNx*$>l!|u z-^j1H*{KVeAbz&>9JAfoRMNKd^*WyQ_0$ODTFK6@IJKJXZ&Y2I{{fgRI}^4iw!K`h z+f~=ARm#pv$=|Toll-jQL*014s2l(v2k&}W +#include +#include +#include +#include +#include +#include + +#include "ioparport.h" + +using namespace std; + +void IOParport::delay(int del) +{ + struct timeval actualtime, endtime; + gettimeofday( &actualtime, NULL ); + + endtime.tv_usec=(actualtime.tv_usec+del)% 1000000; + endtime.tv_sec=actualtime.tv_sec+(actualtime.tv_usec+del)/1000000; + + while(1){ + gettimeofday( &actualtime, NULL ); + if ( actualtime.tv_sec > endtime.tv_sec ) + return; + if ( actualtime.tv_sec == endtime.tv_sec ) + if ( actualtime.tv_usec > endtime.tv_usec ) + return; + } +} + +IOParport::IOParport(const char *device_name) : IOBase() +{ + fd = open (device_name, O_RDWR); + + if (fd == -1) { + //perror ("open"); + error=true; + return; + } + + if (ioctl (fd, PPCLAIM)) { + perror ("PPCLAIM"); + close (fd); + error=true; + return; + } + + // Switch to compatibility mode. + int mode = IEEE1284_MODE_COMPAT; + if (ioctl (fd, PPNEGOT, &mode)) { + perror ("PPNEGOT"); + close (fd); + error=true; + return; + } + + error=false; +} + +bool IOParport::txrx(bool tms, bool tdi) +{ + unsigned char ret; + unsigned char data=0x10; // D4 pin5 TDI enable + if(tdi)data|=1; // D0 pin2 + if(tms)data|=4; // D2 pin4 + ioctl(fd, PPWDATA, &data); + //delay(2); + data|=2; // clk high D1 pin3 + ioctl(fd, PPWDATA, &data); + ioctl(fd, PPRSTATUS, &ret); + //delay(2); + //data=data^2; // clk low + //ioctl(fd, PPWDATA, &data); + //delay(2); + //ioctl(fd, PPRSTATUS, &ret); + return (ret&0x10)!=0; // TDO pin13 +} + +void IOParport::tx(bool tms, bool tdi) +{ + unsigned char data=0x10; // D4 pin5 TDI enable + if(tdi)data|=1; // D0 pin2 + if(tms)data|=4; // D2 pin4 + ioctl(fd, PPWDATA, &data); + //delay(2); + data|=2; // clk high D1 pin3 + ioctl(fd, PPWDATA, &data); + //delay(2); + //data=data^2; // clk low + //ioctl(fd, PPWDATA, &data); + //delay(2); +} + +IOParport::~IOParport() +{ + ioctl (fd, PPRELEASE); + close (fd); + +} diff --git a/Software/xc3sprog/ioparport.h b/Software/xc3sprog/ioparport.h new file mode 100644 index 0000000..61b635b --- /dev/null +++ b/Software/xc3sprog/ioparport.h @@ -0,0 +1,41 @@ +/* JTAG GNU/Linux parport device io + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#ifndef IOPARPORT_H +#define IOPARPORT_H + +#include "iobase.h" + +class IOParport : public IOBase +{ + protected: + int fd; + bool error; + public: + IOParport(const char *device_name); + ~IOParport(); + virtual bool txrx(bool tms, bool tdi); + virtual void tx(bool tms, bool tdi); + void delay(int del); + inline bool checkError(){return error;} +}; + + +#endif // IOPARPORT_H diff --git a/Software/xc3sprog/jtag.cpp b/Software/xc3sprog/jtag.cpp new file mode 100644 index 0000000..504c041 --- /dev/null +++ b/Software/xc3sprog/jtag.cpp @@ -0,0 +1,106 @@ +/* JTAG routines + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + +#include "jtag.h" + +Jtag::Jtag(IOBase *iob) +{ + io=iob; + postDRState=IOBase::RUN_TEST_IDLE; + postIRState=IOBase::RUN_TEST_IDLE; + deviceIndex=-1; + shiftDRincomplete=false; +} + +int Jtag::getChain() +{ + io->tapTestLogicReset(); + io->setTapState(IOBase::SHIFT_DR); + byte idx[4]; + byte zero[4]; + numDevices=0; + for(int i=0; i<4; i++)zero[i]=0; + do{ + io->shiftTDITDO(zero,idx,32,false); + unsigned long id=byteArrayToLong(idx); + if(id!=0){ + numDevices++; + chainParam_t dev; + dev.idcode=id; + printf("Device with ID=%x found\n", id); + devices.insert(devices.begin(),dev); + } + else break; + }while(numDevicessetTapState(IOBase::TEST_LOGIC_RESET); + return numDevices; +} + +int Jtag::selectDevice(int dev) +{ + if(dev>=numDevices)deviceIndex=-1; + else deviceIndex=dev; + return deviceIndex; +} + +int Jtag::setDeviceIRLength(int dev, int len) +{ + if(dev>=numDevices||dev<0)return -1; + devices[dev].irlen=len; + return dev; +} + +void Jtag::shiftDR(const byte *tdi, byte *tdo, int length, int align, bool exit) +{ + if(deviceIndex<0)return; + int post=deviceIndex; + if(!shiftDRincomplete){ + io->setTapState(IOBase::SHIFT_DR); + int pre=numDevices-deviceIndex-1; + if(align){ + pre=-post; + while(pre<=0)pre+=align; + } + io->shift(false,pre,false); + } + if(tdi!=0&&tdo!=0)io->shiftTDITDO(tdi,tdo,length,post==0&&exit); + else if(tdi!=0&&tdo==0)io->shiftTDI(tdi,length,post==0&&exit); + else if(tdi==0&&tdo!=0)io->shiftTDO(tdo,length,post==0&&exit); + else io->shift(false,length,post==0&&exit); + if(exit){ + io->shift(false,post); + io->setTapState(postDRState); + shiftDRincomplete=false; + } + else shiftDRincomplete=true; +} + +void Jtag::shiftIR(const byte *tdi, byte *tdo) +{ + if(deviceIndex<0)return; + io->setTapState(IOBase::SHIFT_IR); + int pre=0; + for(int dev=deviceIndex+1; devshift(true,pre,false); + if(tdo!=0)io->shiftTDITDO(tdi,tdo,devices[deviceIndex].irlen,post==0); + else if(tdo==0)io->shiftTDI(tdi,devices[deviceIndex].irlen,post==0); + io->shift(true,post); + io->setTapState(postIRState); +} diff --git a/Software/xc3sprog/jtag.h b/Software/xc3sprog/jtag.h new file mode 100644 index 0000000..b615e95 --- /dev/null +++ b/Software/xc3sprog/jtag.h @@ -0,0 +1,74 @@ +/* JTAG routines + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#ifndef JTAG_H +#define JTAG_H + +#include +#include + +#include "iobase.h" + +typedef unsigned char byte; + +class Jtag +{ + private: + static const int MAXNUMDEVICES=1000; + protected: + struct chainParam_t + { + unsigned long idcode; // Store IDCODE + //byte bypass[4]; // The bypass instruction. Most instruction register lengths are a lot less than 32 bits. + int irlen; // instruction register length. + }; + std::vector devices; + IOBase *io; + int numDevices; + IOBase::tapState_t postDRState; + IOBase::tapState_t postIRState; + int deviceIndex; + FILE *logfile; + bool shiftDRincomplete; + public: + Jtag(IOBase *iob); + int getChain(); // Shift IDCODEs from devices + inline void setPostDRState(IOBase::tapState_t s){postDRState=s;} + inline void setPostIRState(IOBase::tapState_t s){postIRState=s;} + int setDeviceIRLength(int dev, int len); + unsigned long getDeviceID(int dev){ + if(dev>=devices.size())return 0; + return devices[dev].idcode; + } + int selectDevice(int dev); + void shiftDR(const byte *tdi, byte *tdo, int length, int align=0, bool exit=true);// Some devices use TCK for aligning data, for example, Xilinx FPGAs for configuration data. + void shiftIR(const byte *tdi, byte *tdo=0); // No length argumant required as IR length specified in chainParam_t + inline void longToByteArray(unsigned long l, byte *b){ + b[0]=(byte)(l&0xff); + b[1]=(byte)((l>>8)&0xff); + b[2]=(byte)((l>>16)&0xff); + b[3]=(byte)((l>>24)&0xff); + } + inline unsigned long byteArrayToLong(byte *b){ + return (b[3]<<24)+(b[2]<<16)+(b[1]<<8)+b[0]; + } +}; + +#endif //JTAG_H diff --git a/Software/xc3sprog/jtag.o b/Software/xc3sprog/jtag.o new file mode 100644 index 0000000000000000000000000000000000000000..e22b26513c095231bdeba893bc204632d116b848 GIT binary patch literal 27124 zcmeHQeQ;gHbzi;v45GM>k|>FqD)1zfSOGhHIA&%66p_kCF==^x$U>fEz?_P1xx?wSFh zt_2#^qw2FdeKUB&|X7tF?743eTMEZ z^fp6pH*~cc#?bwS z&Kr8j(8Gp)#?S{q8Qxs^!N#b1X1VV5lU}7dzr0>Ow_K^tEitW1buX?r@7Gp!tIJfp zn(>wD*`<}0NJFg}KK)*@CQf7-FBr9|Yq9RtvmVM=s#HJNSgBt2D1Q>=?p8ls-et-j zMA<2nJ*IxNJfVKPoH6Cb)VXgDns;I`?+q34D5)D?tIjP;T!ZR5MwtrL)v= zUKZq8Z?Kf1HL9yYsk;{?zogg2;wq}vHC*v#OzSP_w2i!rUe{C&tCf|mh8pNGleM8= zE_Bm2y{0Onn4UIv!~4<<>`C3~U}L5+j{KV|@fuPoM|2XMs|)B{*QkK?qoq0w9ML^x za9fNm#th$7#5R?>9N+f+(9hMU4{=hzD@`AXsdJB0cd`FE6JMbs4ZV>6mZ^WQI=c*B z*SAXj*>ayczl46UgnqDuez4T9eyP)>4qNCz5Tq{6PF=1w<+wVE&0s^cvtO5IB?HW}4)kiZnE+;gj^6@R3XK zkxPS??|dGs0Z`bH4bvPuIHa9d?wqC@AP$SQ}G*uA=vbmZZD3lK$eRv%VFuRfFSq z;|9CljB7Wa_#@xX_AdtMx8wcUCE;mr=|eDK)}~TV)T@a;v@RBlpdY{w(f^~*J+C6- zKVuQ!e~M058-FQfxOD{&f4MfDM4!4A=+c2cVd;~v++sG4Ib$*(j*Q75y*q1Pq-E_X z_TiYr*jmEaTEf_Zk2wST2kVxXG4^UP_G-+!C5AEAfHBvIF(>W7zAOEl{RwlQ_U96} zPTj26FI+=ap&!neHevn-w6{BM>T2Q&{!;hPDArbj!@PKws55k0f=){@OV?MSYc+JO zGP>44*IMXWW3X6`^dpWT8E@-R26_6SYU{nmyvy28aOl6N1Ik)LS zzz%WPq0{)vUf4p`9d4h;IMZuEH=Xv9e&VLd7?%F#rZca-j+FE&6+c}IfA*T`1N57) z>qh#=YS>lUMEs-hQPtJ>hVhAl<<`UZCwDK}=}qd{jp(ZztJF#O35-Sf=a@COHKJ_T z2J@=jHv-?e(e!1(#9CyLov1=}b6uzVDEdG8kN=Lf#IjP6&KlJNpZgrswcqMhFD~_> zuITd{MGr|s|K8XFJ^gqU;aI01lk}h*zwB4NuEuAI*rHIoa1G(ME9=ERjeY|^`pgpg z4fGBAHI-p_OL_n5zlHvdzqPWmA}L(BUWMmsiBM{->1S>H!Ap+s<=|s0;p(509$THh z#`;wh!7rAsisUb9|D62XjFs1Z#q=wdUR1xb?8`~0JpFU+7gGOX_W6CMmt~)e7@uX; zFJye=%nOtOb|n#`YeFdy`5P zZLsx}eG1FN`5>pE?0$xJVcS+=&B=JB9y(oxbs^ul*Rkto?`^{RY?w{+?LV-l#6IXe z_B_Fwl=;K@Btd&8~f=-_|X}(YCAOaYh`G{w({tQrb4WtbXi8SC{c2 zl)>`?o{if!cFPH?JKKhJ2(v+$e3;zp*psa{`%<+1ak09v%3-gBcl~VHyCbj_C zy;9VAuf+RW%Xqu;yLQYs9xhgHSpJN67qV8y}kD|}wxx3VfXM5N`N9=mqWupv?!Q%5$-ttkN?Z4{G z9GI`JuKx-fk30jT|2JnXIc?0gqdj?6Vb>{C7VR%*emt*Xy~BLM|F_}W*M>5O^Nc9l zC-byJp}ugba2nK)v+Ihr>f~a9FIvYJF|Rh^tg{hwYl9ivEtp^1(dRqR=kXq3U~Jhu zd{PA84)u88e9N@~<|IAmc3AoBJoE=PkGUCh`8j-_lJmPkG>boH%3NNDwFiBvosa8! z@sHPGEL~x~OV~29E@b-UMlU-ZbLukgcW@h@#bO-iT8>TF1wQf__{uXl-w)OW#rlQl zPaWm_mG-#~zJfOLj4mQ4GX6XeM|9yeLq7i?=lrr}&ia}d#}oY%p?z%H^F7(RpVezA z+Yj4wN}>AJTJ4-}b@8aDmkuNUhfGPbNqMiY*jPS(m<}$M-yY}C9^7j^csh!G*Ll-_IM(?cljrtIZ3XqquYpdY zH}l))`DWZ}-@(2pa{PAamaP=OYX`?%ioLN;P(!e zy}G>M`(?C9*;NI~w!ecG!^v&`^Z177*H@`Tw(io$=vRVtzYS&0nTzx666~yPtgtuc zw_&L}_sTUU?JU+MBFA;9V58sZJq5-C{9pL@O?&>}ni&2yJ9l(qZmTtY67vc5(ESql z_$IpA-?J^+jZPdZ@-Fqpw?*+0x}Uq4_y)P^+Yt7w`aT!Fsp>m3Tmy5gw3@!l`vKx7 z-)nr3luJ9yJI9ZFOYgM$lAX>D$gvv4b)UY?l*9Wm9k4-IUXEjF7d;1HuBe8Nc<@~- z_uE_a~*bCW$U=?X%JibMUX;_%h$sE=Cz0r*j+ANs*g#`bI&?EOaMn-x9luNnj! z!-IjVzKgWO9$tDjbo3vKKIR!2o--0-5D!Cp1^)DGXz4p95-mD?fr$tU{L{0cM#`uG z%ls$tFwF2z&xV+!$H4MAi-!RdWL_O!(Gp568XW|q1@SB+iuhOH)ptfTMsJ`aPCGx| z-F;)M@#8!0{BW$jc~kQyb@MwQwP$Lds<@naJ=HvSa56JA44Rqs>6pCDrYD*+>G_Om zP7P&-RP*rMoNAs;?-`#Oo#x9#^Gs@1HBY9}!~6C$4-JoRYEwExbMl_41AF`TXSp5i zw`7L)v|c}aFq6J{c6R9C&gs7CsXdAQu|Q<|KxVT)mu)lRZ6jkt<5T@ZvqO`~Ok!YY z+dykFk!YVAKa@^b*d^v0pHJ)!N*lDkGliR5@DJxjrD{oxhL)_0&S$=bD{c8TuR#2woQ zHYI%n)BLdJjuPv5{V-dHib>m*k$F>kD&=Oi>a}SqeITfOxV;iLj7(3V`|KOZB>GVw z)T9kHF|`=TbR>JyNS;2J$0UjUJCknRi|1mu@H5^4Gwy6jCbC8D*lyKejV;s8ev;on zljC_R6||k*&WRlZ$*{h%Gsz0HUY{Bt9Zk=sr$*Ar%)yy7JH^e(fgYz{1hq@wS6=bM zcWf`HL1LggXt_&i#*{O7F%FZH94h`e3?D0f!!3!#retzBp*xh-y9Y9xCWq#??S+BR zcQBBw4!0vPPisltx4X@4Uv#L@!J7yXEzhN7-Ou>s%mhaLszF_ z##EWDA-Unf%h9>0Q?6wGc$?5%Rbk&i2 z4-Czwwy|UO4YwvBuz7Z9Dm6Ws92yx(&&_4Me-blaq7{AD%AKcACHi+J`?n8VpB(5& z4s6aH>L>tBV__H#l0#aD@L_|&kl5Kuk2266;#k{qwaOy4T|8v#Caq%DJ_Yn@Nn*gR zRts}oYpYe$Qcc_;c`nMhxec@io3^%#9_%MWYcORyEt$`$vtjcakezkk)Hnw6_|OEF zODWxPV9C}*qO~I=B~LT8V@2W@vpI=wnX{}wGnIi~rwSoEA1gwH&}!4LI#@LTtAltgJ%kXxTAf zY};pJ342)(wkAa9!Xh-mC70XuC6>6^KZ(1TlG0e&{!poRT4L>Z=K=6hJfnD`=5gh?KNh(?1>|}xxxEGC z`YgG73&`!X5VI zDImArlB4g)SKn$&?sEm?YAv}(3&=HCa-T0C*JR0YtmM;=XL(ZJFBFjLwB){6K(5D< zxluxudHG||DlS#Lj>iE$+bWRy3Ozymw|XZN0z{H$$tzF%a!mZ0? z{~Z9k9*5I7k48q;V-p^!M;G30ym@>iwS%Pf8@bDjf|P5r1f|?hI&!BV$MGmZhW_2uff8*3BrQ+UbF*5v-Z0Hydwf;FN(1CHG5kZTN)`+G;O=KV@#LgfAya&~=pTXyb)Jd$;Nf9BvV0v0Bh@esLpL(VQYWtDpy)?y+esBSJVeQ-P$i0B9kd(6T61@OZB^A{Yv^#Bq3$@u}Qp#IUp>xn7Vi+BlaH-;ZOcn!c~{-bzUKIO=_ z;TySK-^ExsUNhtXnGH=A-V|a5?|ujGLM(i|9dPj4ExZ{E@1GsKSY3Gg{?x(iwD9CS zg7v-b%G~l-49{G zJL}*zTx0G@7(l^$+QB;wJn>ia7T%TXbL)E?cyWV8B6ycW&aUs%z^g?1Q9Or?9QjW; zco%>-hy*0yIekCGPtMlkj8pClDEA4- zupXbmBjtVwdmOvppV?^ck~nzvkhATQ8u!Ns@gA`7ju||uN9Tv(Pec0EqJwwZ!h6WV zd&=M$b=2-_v1SzpRG_~Nx&K~S;ZdIsQ;-vzF+${c*6C>p|Ieo(_kHA*AabNq2c|uL zEATM3K8JVllf=IQkB#?>yOjC|*j|F*2`|)+kE;J%k$6&EyRljDEe_x6@Yg&1W{2P6 z@Es1%-Cz(rbz{Kb%~KzB_)drC&Lar8&l@m!!*3uRzT4pw4!_mmdma83hu`k-eGb3F z;kg10f~UBG41%Y)0t|wuxPl9Ur_jh&@N#x)tnb}cDtWG)g5W8xe1hO9u3UoPslkB3 zo2P~yKIQQ2kwNfsb}G!jH%P>rmoxK{=gbuZ&%cqDjqns_jv#nBI}PTi=MI9W=y`+S zY0qi%^n5|^v`4b}2Lc9fo_fgPKke{s4$l*eAb9HGfWe!m9&vbkG&0>D`Q+^pPM+Q~ z2p;~08asH|QzSpu!)<#zczQ+&V!RyBN_;pPD|q?YXwie-{M#v zHa}MI^v%W~!c$n>Tfx)+N<$Fg+5ch)qCFK05;b4v@bwOVjl*NowSuQl`+^8heJEh? z<|$07R`7Cmd`!nAX$4PRYjI4!&f%LJ{v+8Q!<2fpIopDZn%|=S#N^<}d>7^ydQ`si z{wsO$F#U+D$BpMNgEI8ssmE#Ic~H3zOZi`cZ^7hu8kGERKp7hG)MNhWHbW||`H!k6 z4e#T3suv8uOQ+wY{>Sh~G#^(9GX#CSE_I*beSNyscXHAb>bHiU(D}EjIWuI(G~cU! zW_Zq|_39SY7{v>MkH1|F8lG#~dhCq%8@@sFJJeqqKCb!O)K3kc(){g;=N$}jJoT7A zw&n15s(J7)!Cps@PJ8kEVGzSy_>)H7*XJ(viyVHJs=o{w5&ZVOTMZk&4{`OFU!FAl zDb4Rz@3LGDM?3f748Hw8sfG>D^6S;0`U}JR_8V6JmXn@Rw^i!wCjY4VqT&7gW9pv_ z@8{pExF2Qc#Z!;@<`Y~fA^7~1ddl#={xj-T!~6Qps?Ej)a!*o^`DUNx5?PkqNu15q|HZ@WtxVZIHcAU3wOCi8@#Fk}fZXUY$>zpJa!IfcG07osn%vGi4FKk8_v)+9IVLFM z(lfOvc-2|dEd4hVt1md0jiIkK>s+N@W`?--vbfpYzj@njT|;x}tiuAH9p~G<%e~H$(G?$q*&ZW4*OrVRqxQr%1*5Ac- zWnagfyT#?)($?A6a5b6v%ej!O4fA$gL)MkK_{+!4zWQpgzPDcJYH*$_$Khxfd(pUz zF&%cjSSy3e-xoVj3rqzJv%!+%ge_AFWcW_FZSvy$J+8(BKY{jLn;3f@qR$# z7k?~3`_$4W3FjX2MQ9ju`#5<|Y68DLEMJ?@tH&CqBrm(Ri^ipwb8**;fv+dV4*Za@ zo4>5YPmX40$EPx*3cp^QpHI%DXXmD;@PmQO!Q}oH?rY+BqS$xOV-KV$-bv3G+Jn6k z`HZ2JQJ?QH6hE5R=|{2GrCcBOu%s!{dzJd0;a?OUds4=~PD**~G07jn-jVzXQt&(s ziQ*&25krq&>8ICX4;I}6e9(36xX%H48R!h-zlpsZDK?1Qt3|&K9E8XyzF&|cUz~Id z`*zZCr4~r{`oH6ipxm?MC;aRv86-W3{G^AFpY(p@C&kg~%cP$|e%L*NqtX~D>=7qjKz`B( zke~EHl<^oAR(*11Z`IT}@M+4m-xl3l8kqNIoA9>>G*$d+U6#x2CYS))V&D z^@P23zK2cu=&xF@=Q z6nTuVr%BPDULpnlTck*jMf~&*QlyU={xE3 +#include +#include +#include +#include +#include + +#include "jz47xx_gpio.h" + +#define JZ_GPIO_BASE 0x10010000 + +PJZ_PIO* pio; + +void +jz_gpio_as_output (int port, unsigned int o) +{ + pio[port]->PXFUNC = (1 << (o)); + pio[port]->PXSELC = (1 << (o)); + pio[port]->PXDIRS = (1 << (o)); +} + +void +jz_gpio_as_input (int port, unsigned int o) +{ + pio[port]->PXFUNC = (1 << (o)); + pio[port]->PXSELC = (1 << (o)); + pio[port]->PXDIRC = (1 << (o)); +} + +void +jz_gpio_set_pin (int port, unsigned int o) +{ + pio[port]->PXDATS = (1 << (o)); +} + +void +jz_gpio_clear_pin (int port, unsigned int o) +{ + pio[port]->PXDATC = (1 << (o)); +} + +void +jz_gpio_out (int port, unsigned int o, unsigned int val) +{ + if (val == 0) + pio[port]->PXDATC = (1 << (o)); + else + pio[port]->PXDATS = (1 << (o)); +} + +unsigned int +jz_gpio_get_pin (int port, unsigned int o) +{ + return (pio[port]->PXPIN & (1 << o)) ? 1 : 0; +} + +JZ_PIO * +jz_gpio_map () +{ + int fd; + int port; + + JZ_PIO *pio_base; + + pio = (PJZ_PIO*)malloc(sizeof(PJZ_PIO)*4); + + if ((fd = open ("/dev/mem", O_RDWR | O_SYNC)) == -1) + { + fprintf (stderr, "Cannot open /dev/mem.\n"); + return 0; + } + + pio_base = (JZ_PIO *) mmap (0, getpagesize (), PROT_READ | PROT_WRITE, MAP_SHARED, fd, JZ_GPIO_BASE); + + if (pio_base == (JZ_PIO *) - 1) + { + fprintf (stderr, "Cannot mmap.\n"); + return 0; + } + + for(port=0; port<4; port++) + pio[port] = (JZ_PIO *) ((unsigned int) pio_base + port * 0x100); + + return pio_base; +} diff --git a/Software/xc3sprog/jz47xx_gpio.h b/Software/xc3sprog/jz47xx_gpio.h new file mode 100644 index 0000000..98c57ac --- /dev/null +++ b/Software/xc3sprog/jz47xx_gpio.h @@ -0,0 +1,69 @@ +/* + * JZ47xx GPIO lines + * + * Written 2010 by Andres Calderon andres.calderon@emqbit.com + */ + +#ifndef __jz47xx_gpio_h__ +#define __jz47xx_gpio_h__ + +#define JZ_GPIO_PORT_A 0 +#define JZ_GPIO_PORT_B 1 +#define JZ_GPIO_PORT_C 2 +#define JZ_GPIO_PORT_D 3 + +typedef volatile unsigned int JZ_REG; /* Hardware register definition */ + +typedef struct _JZ_PIO +{ + JZ_REG PXPIN; /* PIN Level Register */ + JZ_REG Reserved0; + JZ_REG Reserved1; + JZ_REG Reserved2; + JZ_REG PXDAT; /* Port Data Register */ + JZ_REG PXDATS; /* Port Data Set Register */ + JZ_REG PXDATC; /* Port Data Clear Register */ + JZ_REG Reserved3; + JZ_REG PXIM; /* Interrupt Mask Register */ + JZ_REG PXIMS; /* Interrupt Mask Set Reg */ + JZ_REG PXIMC; /* Interrupt Mask Clear Reg */ + JZ_REG Reserved4; + JZ_REG PXPE; /* Pull Enable Register */ + JZ_REG PXPES; /* Pull Enable Set Reg. */ + JZ_REG PXPEC; /* Pull Enable Clear Reg. */ + JZ_REG Reserved5; + JZ_REG PXFUN; /* Function Register */ + JZ_REG PXFUNS; /* Function Set Register */ + JZ_REG PXFUNC; /* Function Clear Register */ + JZ_REG Reserved6; + JZ_REG PXSEL; /* Select Register */ + JZ_REG PXSELS; /* Select Set Register */ + JZ_REG PXSELC; /* Select Clear Register */ + JZ_REG Reserved7; + JZ_REG PXDIR; /* Direction Register */ + JZ_REG PXDIRS; /* Direction Set Register */ + JZ_REG PXDIRC; /* Direction Clear Register */ + JZ_REG Reserved8; + JZ_REG PXTRG; /* Trigger Register */ + JZ_REG PXTRGS; /* Trigger Set Register */ + JZ_REG PXTRGC; /* Trigger Set Register */ + JZ_REG Reserved9; + JZ_REG PXFLG; /* Port Flag Register */ + JZ_REG PXFLGC; /* Port Flag clear Register */ +} JZ_PIO, *PJZ_PIO; + +void jz_gpio_as_output (int port, unsigned int o); + +void jz_gpio_as_input (int port, unsigned int o); + +void jz_gpio_set_pin (int port, unsigned int o); + +void jz_gpio_clear_pin (int port, unsigned int o); + +void jz_gpio_out (int port, unsigned int o, unsigned int val); + +unsigned int jz_gpio_get_pin (int port, unsigned int o); + +JZ_PIO * jz_gpio_map (); + +#endif diff --git a/Software/xc3sprog/jz47xx_gpio.o b/Software/xc3sprog/jz47xx_gpio.o new file mode 100644 index 0000000000000000000000000000000000000000..90cfc1cb9744302e72ed49c972821e53121bef88 GIT binary patch literal 3296 zcmd5-&ubiI7=CAWlCGMx(=^tF2HlmFu2iO>2^yusn#9&aksc!CAY^B=)6L5Ma(AM! z2!>p|ENsD>^iYb3U|>CXtu8f64jy{&56Hno5f4!iJOtL~nfboSY%H+>3;kf`d7t;! z_kO=WdGpF8!!SfQLlUyjM7|gn8L~vCeTS@^kP+?b3afooC@m*&ih34yr&AHxr|}{FdyjGuQ6@Sq56$YZ8u~#1G%fYDYMz% z`oX@@Fzc(E&9^-SMWkulRp=;(^}#O}@ze9S zsQk3aLT6)|L~IV^zxw^UHa!nNor5~v?@7pd?x?>2zxOGqrv0L|(X@xb-GK5qWGtaS zUgqbVMDw+=$-jn9H=R#&jZwWRe}wl0?eVbMz&k$B1MPZMw4F1*-{J!+MgPG?z#YBs z3@I*yCo_%_@*uL|LBV_*k_NI@ekbM6KKCna+Ws=+MGR^INdHT;ML5@yi+xksi@=-U zdBr{89(Wruj9&-Wjr07eCP4D`0sh$m{`mp^7;&AnOPw_3qe^-20@g(M$`6hsVyyCo< z$a{)!DW1i;(ElYM?F&E?-@8hmSNd-h|5kAe2g5vtet?Wm0U4hm#}>?z11Etd26=`0 zr$w$(4_qLJeUTiv0yIyHctrH^G4UCZO(HI{Z6M=+1~UF0IpTgH2mT6V{4NpkdkRex meyA$}WPNNg9P$(q7hH~rgP$kjV5}3d32zZ`q4bEjl>PxyQO?@{ literal 0 HcmV?d00001 diff --git a/Software/xc3sprog/progalgxc3s.cpp b/Software/xc3sprog/progalgxc3s.cpp new file mode 100644 index 0000000..7dff569 --- /dev/null +++ b/Software/xc3sprog/progalgxc3s.cpp @@ -0,0 +1,66 @@ +/* Spartan3 JTAG programming algorithms + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + + + +#include "progalgxc3s.h" + +const byte ProgAlgXC3S::JPROGRAM=0x0b; +const byte ProgAlgXC3S::CFG_IN=0x05; +const byte ProgAlgXC3S::JSHUTDOWN=0x0d; +const byte ProgAlgXC3S::JSTART=0x0c; +const byte ProgAlgXC3S::BYPASS=0x3f; + +ProgAlgXC3S::ProgAlgXC3S(Jtag &j, IOBase &i) +{ + jtag=&j; + io=&i; +} + +int ProgAlgXC3S::program(BitFile &file) +{ + jtag->shiftIR(&JPROGRAM); + jtag->shiftIR(&CFG_IN); + + byte init[24]; + jtag->longToByteArray(0xffffffff,&init[0]); // Sync + jtag->longToByteArray(0x66aa9955,&init[4]); // Sync + jtag->longToByteArray(0x8001000c,&init[8]); // CMD + jtag->longToByteArray(0xe0000000,&init[12]); // Clear CRC + jtag->longToByteArray(0x00000000,&init[16]); // Flush + jtag->longToByteArray(0x00000000,&init[20]); // Flush + jtag->shiftDR(init,0,192,32); // Align to 32 bits. + jtag->shiftIR(&JSHUTDOWN); + io->cycleTCK(12); + jtag->shiftIR(&CFG_IN); + + byte hdr[12]; + jtag->longToByteArray(0x8001000c,&hdr[0]); // CMD + jtag->longToByteArray(0x10000000,&hdr[4]); // Assert GHIGH + jtag->longToByteArray(0x00000000,&hdr[8]); // Flush + jtag->shiftDR(hdr,0,96,32,false); // Align to 32 bits and do not goto EXIT1-DR + jtag->shiftDR(file.getData(),0,file.getLength()); + io->tapTestLogicReset(); + io->setTapState(IOBase::RUN_TEST_IDLE); + jtag->shiftIR(&JSTART); + io->cycleTCK(12); + jtag->shiftIR(&BYPASS); // Don't know why, but without this the FPGA will not reconfigure from Flash when PROG is asserted. + printf("Done\n"); +} diff --git a/Software/xc3sprog/progalgxc3s.h b/Software/xc3sprog/progalgxc3s.h new file mode 100644 index 0000000..73e5ad9 --- /dev/null +++ b/Software/xc3sprog/progalgxc3s.h @@ -0,0 +1,44 @@ +/* Spartan3 JTAG programming algorithms + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#ifndef PROGALGXC3S_H +#define PROGALGXC3S_H + +#include "bitfile.h" +#include "jtag.h" +#include "iobase.h" + +class ProgAlgXC3S +{ + private: + static const byte JPROGRAM; + static const byte CFG_IN; + static const byte JSHUTDOWN; + static const byte JSTART; + static const byte BYPASS; + Jtag *jtag; + IOBase *io; + public: + ProgAlgXC3S(Jtag &j, IOBase &i); + int program(BitFile &file); +}; + + +#endif diff --git a/Software/xc3sprog/progalgxc3s.o b/Software/xc3sprog/progalgxc3s.o new file mode 100644 index 0000000000000000000000000000000000000000..77794a16276e89984f1bc1ecc36f144c22743e97 GIT binary patch literal 4376 zcmc&%Uu=_A6hHmGb`&X4aKh99EvZ?Fr0LjX!_3(A4}&?Ob|V&pb}fACnsjZ`e#6a> zum_*aV&x4JgVXRp46*oPj0xKC$M~R$kGvR(;lW2t)bK!3f9LDH?bq&a)WnEEbZ*LUwBQh~Bjm*_=fE2Ej|asngIq&}ZIOf!ho{(WBbU9xHuQ zaX(yA?R2N&pt}_(eOIy5?XrVzmFu+Bb?!Sw-%6?L?%%2^#+B`Kv&T;NRp$Mdwyq(r z3Hr7YMQmgAMdcXXFk*}&#xsa9-iR%@$iCgK0DhGrqKL=sX9@9?>EG~bHJhi4ZuPkR z0XDVFUN_zDVZWbEDMP0|jP$$xlPmIEf@fJ!h8(my&m(wr-!`nYYX4Af8D${p}i~+vH8z%;W8U1J`s|}X>E0Kz`CNJ;ADq{<;bJj4ADM|x!)d-A3Om=P6H~?Hj7Gk*`I#9lhd41YUsBhL znbfSVA=q>=KU?5DY_R+c-VPI&ze)H^J%zdxmD9|%org!f!FzHIUf9Irb(Qw`yz;kB z2P&5+>O)qF;uQESaI+Kwt60r04CMHvEspm&RJLb4P{2Ta*PvA|(%$pvGJidu9~{J1 zQ0l`CdtIW={E}jkCD7%;0;O=Q1aeu<1K)9bp_t%f+zFh$DzVh8b!9hZsP^O<2@sb z^!p_Q=DgUk8QBKsg^_ujmpiC(?r72l*yH^vi`4xH!JoBSUdrEZi%;@+&&xf)+$-Qc zkb{7d$0+B@>TY});M%2KXv_1#aq=9@_739X{gN~SovDdlvzX;p`n~b4F+**vPMxpv zjx-gO5VWbNw8hlp@y2INL#7)4EE-+t5u~Z8Ei1|6`RZ&I)mRC0-@J0nH?Iiy`DSPq zwJi&3OJiL*6#6mXPUPz%klV8V3EG!Y`MqF0 z?<2k%IPW_!zh8i|@H+m5%2}5B?WP4{{Q>kj>rx*8MfTt3hA2G#f~jxZ zyMd`5`e$Tb>Ze*LXaj?d^@i!EiPm5A}_Q4n<-HJ$Cr`$zZai zvA0%6?|O^@4j7M6BqraxWkv=^qp_1CvEf%EtTG%9l%_LN`tevK`pPt3wRX3MLq|sv z$4|8L!-Aj5q?QdiGCF?j)I|8?>-AABvp76DJ~14dFwC_of~TXyshiftIR(&NORMRST); + io->cycleTCK(40000); + byte ircap[1]; + jtag->shiftIR(&BYPASS,ircap); + if((ircap[0]&BIT3)==BIT3){ + fprintf(stderr,"Device is write protected.\n",ircap[0]); + return 1; + } + jtag->shiftIR(&ISPEN); + jtag->shiftIR(&FADDR); + jtag->longToByteArray(1,data); + jtag->shiftDR(data,0,16); + io->cycleTCK(2); + + printf("Erasing...."); fflush(stdout); + jtag->shiftIR(&FERASE); + io->cycleTCK(2400000); + printf("done.\n"); + + jtag->shiftIR(&BYPASS); + io->tapTestLogicReset(); + + return 0; +} + +int ProgAlgXCF::program(BitFile &file) +{ + jtag->shiftIR(&NORMRST); + io->cycleTCK(40000); + io->setTapState(IOBase::TEST_LOGIC_RESET); + byte data[4]; + jtag->shiftIR(&ISPEN); + data[0]=0x34; + jtag->shiftDR(data,0,6); + + for(int i=0; ishiftIR(&FDATA0); + if((i+4096)<=file.getLength()){ + jtag->shiftDR(&(file.getData())[i/8],0,4096); + } + else{ + int rem=(file.getLength()-i)/8; // Bytes remaining + int pad=512-rem; + byte paddata[pad]; for(int k=0; kshiftDR(&(file.getData())[i/8],0,rem*8,0,false); // Do not goto EXIT1-DR + jtag->shiftDR(paddata,0,pad*8); + } + jtag->longToByteArray(frame,data); + jtag->shiftIR(&FADDR); + jtag->shiftDR(data,0,16); + io->cycleTCK(2); + jtag->shiftIR(&FPGM); + io->cycleTCK(5000); + printf("done.\n"); + } + + jtag->shiftIR(&BYPASS); + io->tapTestLogicReset(); + return 0; +} + +int ProgAlgXCF::verify(BitFile &file) +{ + return 0; +} diff --git a/Software/xc3sprog/progalgxcf.h b/Software/xc3sprog/progalgxcf.h new file mode 100644 index 0000000..7741d1d --- /dev/null +++ b/Software/xc3sprog/progalgxcf.h @@ -0,0 +1,58 @@ +/* XCF Flash PROM JTAG programming algorithms + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + + + +#ifndef PROGALGXCF_H +#define PROGALGXCF_H + +#include "bitfile.h" +#include "jtag.h" +#include "iobase.h" + +class ProgAlgXCF +{ + private: + static const byte SERASE; + static const byte ISPEN; + static const byte FPGM; + static const byte FADDR; + static const byte FERASE; + static const byte FDATA0; + static const byte FVFY0; + static const byte NORMRST; + static const byte IDCODE; + static const byte BYPASS; + + static const byte BIT3; + static const byte BIT4; + + Jtag *jtag; + IOBase *io; + public: + ProgAlgXCF(Jtag &j, IOBase &i); + int erase(); + int program(BitFile &file); + int verify(BitFile &file); +}; + + + +#endif //PROGALGXCF_H diff --git a/Software/xc3sprog/progalgxcf.o b/Software/xc3sprog/progalgxcf.o new file mode 100644 index 0000000000000000000000000000000000000000..a6a2e966e60e341ca7cabc12ade2a5ac10395800 GIT binary patch literal 7352 zcmd5>Uu>Jz6+ixyG(bk(62RL!Y8TTO+F6FCX_>moiS0BUp?GPSQpT1z$rsy_6I=F| zl1$x*P&HLkBu5*Q`Y=HTJRq%_d6@Rbh}yL31Dg7PCLV@XO*~W^6P*N89}wQ}_kG`u zufHTMWty}r-JE;=+;h)4_uPBW=e(F68t`~LqMAoKq=|`~4T!X%_gDf^3CjHzzY{p+ zzT&`pExyRj#@pR~`C~nNW5w4YYxPdKR1e7IdWT%Bb;^aBEjDqUc)-E^GI2h9ebt9~ zwGMf^yF;$|DEGhC6^37%ty_iu`zu0o(z>xGuL{2Wb`P7s6bz6@|+9P+}3|aoWWt5KKEp&vVBJTNW-d48u zh&K|K3$Ls7M3PH!xzrtymAVJKE_tmU4vh=_YnN@X-}W&otM#2;Bn(>AiAz~7)X}Yd zZj(Mgd1dT1;fpdE!5I8C3%|I-FA>P2Tlj8CLN{ZXibUj6EgT=`G%KDqU5|NdoNwo& zvQGm2k?>+dUbbzpbngpfkjwpt8`2-&D+y#pbN`gyBJ9-XxmrxmY6&u-<6+Bjb)wk-!^} zvHjUD7qKh0j7R(t&L4Mt3kUojHQpB=#2nk_aIno24qcRRa8X7v_jyPEsHXpUO}~;E zb>{a!*ddr>*}fX}$s^G;^w{>ShT5gkXpck!Ka=h9dYn0K#Ss2wuvR!BG9p*%7}rLi zgETtT#J7izm4-H!#5@~=jsi*uG8Idx_dkk!x35#Z{~%ws(7#7CW(dB^V5Z%0AHP*@L_Da>mKHvwjb-MGq8Htp6kBf)V#y;K~0^z z(})w!o^{ushTr4?g0?8&F<#a^qWd3#TwE@9t9;x{2DID0AGf-T1KM4z*1nVX#w%@+ z&E6Zee_Op5UuLbd_qDFY`#g$!Ud2V_1@C8!ktbJcdhYBCc)h%zjn6mFwL7(S*Dc;q z^?s`Q0JT63wLlHEKy6v8Pp+a)*pE6P>eM@NjNdj{la;) za;;g5j5EEgyhP6bl1K;Qx7~T)Y`G-n*}QNZ_a@$W(&MOQFtFzGeBiv*Z#wV1z9wY0 z%-{b;&V#(f9n(#l&@4Ne`jSifn@O83*(mHseWITUHRn!Z>^>8W$B>q%cHB1BA!@DL zogF22CpJZ3gS?t$p1Otv#Q&_ywUy^KGJdBKlisxhjUMK;p}eq4YHMd z!1<2g+xJE0sqZiK?bVQEJg|O8C0EqggW6lkcu~~zuI1aW_+FjgwUO`B`42Sto7vdh zZjnr-l&fTCW(u>p&@=`{H58wJB;GS0GE2@Vh9*;`StEvtDdSXO(g+o*p%*Fz(+HI- zCDWKRjj7n(lCET{&|}Hpef{tM_~@S>-}t=I5zyCbG(0ax|IzRw#P(__L3OdSVA+2c&O1sJYoW@odAu?~_<{VaCi(0GbsW=GCZT z6l10_Z%S+`Yi1=jQLRd>V&n?5(MxiB zg`#mNXP7BWPoLVzdlI}MV>V~zb#Bjh&1~-RgT>NpZlu(|U>bduN_HVVlgVSzl5I+E za&pX=pESzm7>q6&wmC+A%-U6nO_pY6j9FL?S7TYztQ02ZOatcUDy6wHBlCsY$B^2g zN%D6I-Xjm7--phav%BT+QMoS1Vs$IIlqPq-CdWI9d+g)|zAezKmx%+uJ9hgzz+KLm z;QCkb{p+>uQ)|-RN6@Ly&OV$2O&7@P`u1T=`P<1cw_qEWvKsZNoF;*56aO|k*Htag zbu|Lz*q%h!{TSVa%NaDrnRLq0u6}fFy5#wTkk%BB@#47MF_3b!Sv9q85d>ZC9OMow zAwVVfbC=vz$i1?(`FljO1)Sj@Tyi%c$Ng7L`SC6Y94mbtIK6o#1gPx0 zg0UXIbBJFEG{%qlOF72x&*(}whEj&Uog6q#@%{?@(?+AD=r2J|*-o00qi&Ter2hhP z|K`AHN{&$ZK-M4*1@Ei}F;?e@`sstNZxjMGZKBc#v6iOO{rE!EnZETX*;L>9sZuy^ z!e;ZyJxv57pMLNxyfx{3g3Z&KuO(8PuiqfV5hShotet5Rd@Vakc}A$&e8L;0*?jA} zVbgpqk>>oSMRADifj@hl>CE*#_*L~g4$hq31Am@(@FBpRh~G~DIac^97@tGmk52wO zfU42kKcTOo^Zg?K2F7FP+@~Gz|1NFAxbGq0CpG>d7yo-MeAI=Hx$tS=Kh*U76!;G` z{8zxA)9~NA=D!6ztMRXBeERnRaP{uezfUzj{rSv=`;o(pDarJI7w}OH?*aZJ4Sx#w zxQ0&xpV06H;FB6IC`@wMVs3tNIyPA@%jWN|Ms0a{LBGYD3-04_7EXP|+-Pzj`9yly zk{<3o_Dp}aYH+a@fyZwn(0j_L6s8x_!>UA(v1eyrD9lcc4I9HdxBq0O@5BjA8OzPj zkClx|wKSV87R-gQQ*pQOK?8-Sfr1If8I_7mJGQ!f>>WrC_nk;@Fr)Xx=JbOD-yb-+ z(V5<3spK=MjcR)OkBuDIpq$j6w8$sO%{CM#Hv!vOq#*JAc#~ED+fd}b0oV?B-l2a; zh>x=ygvjj#dK<3c6kt1G2GGA7XNL3;&JyW>dD6ooXGq_J{~wVKxD3ek&J*Igyhey? zbO?_N*BK!MEl&vk@7rv=3q=j(&Jsdy)q(F4LjDs%)L2108Gbz2J%DWwqh9<*UZj8f0qI{H(2pW5LkN3LlfPGFk$k|jq(g5P9!SPD2*|jG z07+jY9dc(#2V8RKZ<78f;y^m!Dsim0MjY@8p#L$E_X+7A`Pi46A*8>6)c+nJ^}kO#_U#(!*sl*s2fRT#_N@~#ZTnCQ0JZ}L0qIX3ka~*f z)Kf-he3k&|=Q8Pl=SYV;g!XV;6_%+x?7`1C4Z@2yJ Q +#include +#include +#include +#include +#include + +#include "sakcXCProgrammer.h" + +using namespace std; + +/* +WE1 TDI PC24 +SDA TMS PD23 +SCK TDO PD24 + TCK PD28 +*/ + +sakcXCProgrammer::sakcXCProgrammer() : IOBase() +{ + JZ_PIO * pio = jz_gpio_map (); + + jz_gpio_as_input (JZ_GPIO_PORT_D, TDO); + jz_gpio_as_output (JZ_GPIO_PORT_D, TCK); + jz_gpio_as_output (JZ_GPIO_PORT_C, TDI); + jz_gpio_as_output (JZ_GPIO_PORT_D, TMS); + + error = (pio) ? false : true; +} + +sakcXCProgrammer::~sakcXCProgrammer() +{ +} diff --git a/Software/xc3sprog/sakcXCProgrammer.h b/Software/xc3sprog/sakcXCProgrammer.h new file mode 100644 index 0000000..34e4b99 --- /dev/null +++ b/Software/xc3sprog/sakcXCProgrammer.h @@ -0,0 +1,75 @@ +/* at91 XC Programer + +Copyright (C) 2006 Carlos Camargo, Andres Calderon + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + + + +#ifndef SAKC_XCP_H +#define SAKC_XCP_H + +#include "iobase.h" +#include + + +extern "C" +{ + #include "jz47xx_gpio.h" +} + +class sakcXCProgrammer: public IOBase +{ + public: + sakcXCProgrammer(); + virtual ~sakcXCProgrammer(); + virtual bool txrx(bool tms, bool tdi); + virtual void tx(bool tms, bool tdi); + + bool checkError(){return error;} + + bool done(){return true; /*!error && (cpld_base[0]&DONE==DONE);*/} + + protected: + bool error; + unsigned char data; +}; + +#define TDI 14 /*C*/ +#define TMS 23 /*D*/ +#define TDO 24 /*D*/ +#define TCK 28 /*D*/ + + inline +bool +sakcXCProgrammer::txrx(bool tms, bool tdi) +{ + tx(tms,tdi); + return jz_gpio_get_pin (JZ_GPIO_PORT_D, TDO); +} + +inline +void +sakcXCProgrammer::tx(bool tms, bool tdi) +{ + jz_gpio_out (JZ_GPIO_PORT_D, TCK, 0); + jz_gpio_out (JZ_GPIO_PORT_C, TDI, tdi); + jz_gpio_out (JZ_GPIO_PORT_D, TMS, tms); + jz_gpio_out (JZ_GPIO_PORT_D, TCK, 1); +} + + +#endif // JTAGBUS_H + diff --git a/Software/xc3sprog/sakcXCProgrammer.o b/Software/xc3sprog/sakcXCProgrammer.o new file mode 100644 index 0000000000000000000000000000000000000000..a30faa275186dd5a06c61fb7ced3df1cb1c46432 GIT binary patch literal 4928 zcmdT{-EWgs7=QY<8v_RpoKpE1Ee;tlYda>QnWpYTK*(668YCp|+U=#QZfn!NTRK7_ zCdLp$G84QYG2$X#n&5>O+<fr)Xl=AMfdheaGGm1OlQdAPrKHM7q|9)HR88dJb*UB3nKC2FUb-$>W<8Z&v(iaMHrk zjor=i!?h;4c`bT(CK#5VuZ88OX%VZdLAqN-GT#QoYF(1x3reUp zEOn@v?@M``AzP3)7&7gf4H+0-zJPSMFG){a=Wmdn8P*x&Lyd1vM~piEU&qaYlFo_z z=J#s;&i=i$w4^OzbXw4MXobjQWsf{$e5SqD`G_{nNHjbv+hp!4_Ssdvf1+`3!fOA` zUDEp~8c)*T=@D~D?3ur=f1ZrF-4CM|6AyGULyo z&8}jXLp?pOM%oVbzq2RO8QUM*FK;{v_w4wD1aQSmC@8Vg)R>#jfV)MXkLX^}8I8G4 z*_GIE+D%I=Qz}WU=wx%_XY%Zf#tOqliH!|AnTc#HoyqO*@YM{8*{AyNFI$IO?#m#V zhOX_^>6VKXzha*0;hB2(en3Din@`jOort!W;pLmuU-y#g0uO`NjuArHCGr${!j(F%UTT%;}N{JFH0_a%|P;HS?7b=r@2fw@K2VbdnG(eR^6Ed_cacev>g zxE)N-m-w86iFXH^uf1>10@F+B2Dw&M_-=NV~ z``<+WJ43#WzJ4DN?;d1Lj5q$~d3fuM4M2NKl^lmWi*_A0;=QHpJeyIhPrAzgqbm7} zD*5{=`IjpBUX{Gb*uxy(9wp8RZ7gx*Dv6`hv#T+ZK*4UIafo}+ypeyY|Bk}KDrh}-s1xr{gNWTFH8QqCS4O_xfxJ5_K{ z$}@SUO&w9VV%ynr*)BN6Qhq!=nscY@$vF0J7_AOh62CR|;0M7&csLc5-c|a~l>U~| z|Ely0O5cVv6T-u+6P$Pl!HJioJ|dDLkBSVF?-X$r|5)*Pa>U;OXZ&4o#xGKj_(q%| z#&07>e7oXZiengJ;i`tKSomlr$#L}#l4Ek`$??IOA#cHbLjIfxYY@T(dRXy6#W`>4 zJsCyft!uUV?-kV(s<6>lPl8?UXSt=I1y` g)(a{Rj181y{(IeeQQ_eGoTMIqlm^K$_w$PX10jo|A^-pY literal 0 HcmV?d00001 diff --git a/Software/xc3sprog/xc3sprog b/Software/xc3sprog/xc3sprog new file mode 100755 index 0000000000000000000000000000000000000000..84b2006c87ae5733c03e6883604b18ffa24f009d GIT binary patch literal 72835 zcmeIb4`7_dbuT)*THC@FW{m|FAi@e{tOO&kYypBO$y$GmgoRNok(ylJu2#~@66jx~ zwGosiN-)G})W{9Imb6Y$jMKWQZ)6kN>*f)n+U8GNZfjE7l9aqUDQ(<5a<9{p*6F3} z`~7C-?9P7rR%=7)pZDHpfBL>NbLPyMGiT16`SZ2i{I{|kFV)`xx%$sot<~7=n zmwv?aE<*rs#ydEGV){OESSjA5PYR^*6yT>0Z`5}&0`}o1UyKjp?E~N`;rrF#h0h^8OYl5+VN6|!=gb#ln#Y5|n3hF(aZH!FJ`__+ z5r0XMQp@lRzXz~_6d zMgr!~{8&cT^#v2=(ZpM8r?i+AZ7Q8-y zyBs&mxd!)TxNC7oabJ$R8uyL3--nxWeY+Ry_5x30%82#H`f)GHm%6pw22vkbZ*oQO zauIIU#TB@DQD3PSSL3e4ExLeLeXYe`wyEn3sA}wUoqb+upYnJQUZ7X%Cfw8&@AW+} z|1@-rS6KZx{R<8P%X25GI$|5B!s`5xm0Ctgn00f8GQCzQ#0v$nURu=$-Mve}?p~KK_VF zpUm?Aq=){vC;c4a*$?sx!vbIGiT?rO+d%x5^zFbo9FFM(7o&ZB%|kEhfgkk1H+kqObYJ7C-k$5OV;^>- ziZ|W0W#jFwjq$eDEt^}n+^XX7t!+*5+c&nW_-(u5Ta!Bm##70$#=*q+cye6DKf0x3 zys4&n7v6dY+wq9+n3#xgM-OoHIy70 z8rhWufsWMrXgr?k9~-$hj+h;({y372jJ0p>(Xll*No;qbH=YgyRC z8K*pk6D*j?$jHF3V=_fi6Y*};$8fwSk?QG(=ovDW)B?0na=6#Vq7FuOrc__w;Lh=W zHKe7-${#YmOMJ(uir?CHN5jUp_#K-zbu@RzJ2y78HOGmwqX%UpUX6<1IehN`h;B`e z?;HY?$j@j*yl*TqWCV>+)VEJ~)>Yb(OpPXXB*zEtPpZ+Ksd3ep9O+X-LkVcdcu!)u z4>XKx6(33r468(HWI*+ej6z@fM#lz*Q+=urG$8xFRB|GPM{gpPQ1PUB#Jf@P+{Ipx!S{oYU>+#mOZQI6%)_6_z1{1h#8xZIQppOZn-w*140xJ#C z^3o@ehQtQOTVT_N6DMfHNQLoC$uQm_`XinG;xIgboL&Q3aPg&e(JrShouOr3p|bt`;m{s@u9u{IGiTh@sD2( z0_wWhFu!~PPfxUcIVa&oO9K%6A(GiaFdA(98 zKH)e`@Ciri0Y29&HOVKmW;dU3GWYNa=W&WpIIVm6gcJHGpKwqg;}cHoK0e{3&hQC` zG^on!&t9`{O~t7%6rDR&(n(_ei3@Y&VH zDpExF4B#^k{087R9QZ8Yvkv?w;5Qvu9r@`msv}u?A;2LAE(Toez$Ji795@U(?7*df zOC7ijaG3*_11@*q2;hhVR|2kd;3~jX4!jodS_h5-jyiBH;93W+16=38^?>UgI0iW8 zz)gUg9JmE=ivza-Zgb#v!0is)3AodNw*lVfz}o?Dci=9-T@Ks}xYvRE0rxxbAmBj< z9tAw=z$w5f2c7^t;lK|7e!zhz0Z%&cZos=8cn{z`4m<^T%7OO+-s`}R0)Eti9|QcD z1MdU8&w*zE&saFOH7LdQFjIqeb{4inh3cm+-Ce2Fm#0JOH`bg@2P!L1e@VB$m|9YQ z@WLNdZdAqfGhZxT9aaZtOV$3_7mC6qW$GKV>9jV-ifz@j(l@)ut)KDl~+@My#65Kb%Hd*^*N&{f*qVw+OhweQ)0OJE z>CF|!eo6TFHMwvV@CGbS#p$^sQ?6&GY3GC|Ceo8KKhf8*8`B#gnDK+ zh58*u{jyw>DA#V3YY)m*Ys)nWejAsWJS6NP3rEy3$ib9B&i_Mk4e1*X+Q**Pc z7lqM#avs9ql!4Z7EjIBLWiiDxuYa+rvZAU>MQuNj4_ERb?xu1>qZIk$o@O2wsu-t6 za`GxgeUw>V%Pd|%HB{n#LEcbzTHnteX_z8S)-QQ|1LX^YX0he93uS!RmXY)$>bU0R zeP|EIpx-V(drlNVbw5Ij?byy$*wSH@yinZ75{Zht=`vYSe>L-7|>S zI{jm-&%(n=t5@lCBiaP`g3gzy*bMdMMd%CLy0=Y#r&QYQT*kgkSbMN+fwu4p^o4ZG zAKz3dZEsJ&@D)@IRhQ+YZJe62aSC#-h;-?;TlIKzCauv)f4mk4kGj|&I5vCtVq?c7 z&m!cx)at+FRSdg=mYlH{5nDg7PqQ&wZ`G?z`6W$Ar)iv$c-pp`E;l&Wu|I{45V=qn zW9qoBf8x=$owRxM2j*sf#_}jU3p@%v9E2VY240#T4V;{oyxzFP`)b+FIpF-%H*e4aET@+g# zgpBtxJ>t+uXVc`vhkQ+apsx`cQb*T>yVY^X_+03fpj~FuH%^sUe&(1Sbo^+%f-+R1 zkBy)l<+gvVLRr>=)>_!IDocZX%Wm5SQ0GCk**eyHwk^)hK5y%WY1X1`G7WX$F`G`x zByE}eAn$49J&nAP*TS;$uhIzkRJgzB_MCeN7>GrpgH}&A-ON@=BE+i}sk$Z{t*~*~%=zny7^pkYA_GiwAKd-)xyiH!Iv*@p< z54{Z;2@g_^Vo#q<*|RMmkLh218~lmP6nqVNN1bNupoEFdpdEa$jCQO`O=^4Ah{Z#~ zcwTOw<>q+};#ofx(U|&*r;f;XtN_2cHt`7Bz44W37sVc8c56!BL+Znschdj1wB3jb zR#ZiTaUL*+oO#gN+ z<)QA9cWF;vKL6*x5}!iHk59k0n$^R1Uys`y|J%=I`tg_5({tBj_UEFX+ijjghjp;Z zk+mNm?zmg#J!HHev37_0Deu%zc_;lp@zKv8*)SI`<;X+E|1z)P&I2qY7v}fN4jsSp z(3j%n?aN(%Cakjl49BgQL(z7%7`8T@4q}|vedzne#x^rdd>@7vTbY!@zWDXUGwAcT zqtD-F`}{7nHIC={VLt~k#!1=qkj)ca4lVM0b0DVYhrQvjo3j#DX~#z5GsUbem3Xv0 zZTH01m1%$bt|@OkWf{VligQ)WTjD7jd1o0JM|+u0n>mD0%X8S~D>mKO$z!uM7f7FW z3}a0*H$b}zorLW({TSxK^t^@SwY_o`NlTbQ0M{1 z^v_L052oAIw{@J9p=ha*o0O&6QQNTJ7)O~h z3~KquR8!$HXxT`8pbT94%IKQNS@_1BK&A|JK4rkz>b&YB3jCLB8KNiy;OSbFp&#`i zWvI(jhJ121x@p=4=PSH;Rp-eg=5J>I+45Kk9sy(Q0)GQ~E%0KlSqs}4h3#C6Ioc}gE7ie<)~jb{W3Zvn3*BzSHvNGzHdDg$@$QYM{afbPKgwQk zgf{1r(EevfZ5bF}j&d-qI~EPWX0qO>+q8A8Q?VODLuk{!N*w#1AKE#l2=eFr@n@a9 z===NSh5FCrh4+kIWnN`~C9iVi1z6*{c}cuiR-!N7c=8b7{F}$_#w_itZM*iSlXh+F zZ2MF;{=;}bJ}t2BORWyc_?|MAa-v*oX+tX_5iDXn3p+&n51o5K1;u{8ZsjICU1ja1 z#*^?<5d|-G&k|o6JW?+GIAqF(Y5Z&1;ivb7))BXj_<1 zIRYDV81m1~Gq`@B_v=Qr6$|-@^zF+mE#`CAg=Wse9Y2>K55lxVrOtbqeHY(P z=+J(h?^s*X{#)>H6!x+S_A&;0S#ND+3v8wM9bTPBJA=;OHRX*buhNdZangoG-@Nfm z>-v!r5C8B`Iqca{s{^!~kd2-*FNIvi28w+Y81t7^Z&;fsw7lgo_9V9)m?K;RUB!Nj z8Q2N5Ma&gOoW89Jc|$hnS7T~TiRebwcCN9yEO~N$g1Q_~jr8lZjza&TKjt02gkE<# z`grcVe+$ObEhsB=J~MCqQJWw1drdSmpRIyy>$GF5!-k)1J|^$eMGaNY6p+P2^+F%Q zTdyn^bsBmDJNnEt^alK9?EuTn%WwR|aS+oMnv3RGOU6uCH=s|a*K^c+f>ZT<>%=~oul4wl+BRWUgU8^?7jlwZ%o z#MEuJPAkHgmw`;gP9Y8IJ}b{c^vD<2MBWKque~Op#VUj~X3VQuKEjqpWW4uxi9^!f!-)NWJ^0{U6%9^r0SD8j&rzlfAr@w4@6kAg<8H2p%hhvNx6EqbBXKS!L@7^s&y6)ln~RetZ?0msw@|Tc-7! zmnoD!lIgF7-&n@hVqGZnj=5v4k8dZB=sV=GwLp5W9P_n@w~Lp)Dp-$snA*2fKjXqX z`o^D^_dIpw+N}AuMfYu5r(`|RwJls9yasdYVp}T88kOjvUOR-Jk2QZipZ=;{OK{_J z(!$4NS;e-xwr3$8>ED6o6SOU`G4OStoBlPY%!TNA=Rvc6@QLXGp@X?|+K&tjo5gW^ z2F6$g{jZ*{KOt$HviQw?x@D5SM{Jkz!%s|~k$%V8$P8@zC6@IS-~4j>CBOEVogde} zT239a?kG?0ao`$+)SdO~a&b}rGG^d-IG;^$%j?xMzd9^ko?VChx30q*eDZ!b>#)@~ zzjLoct_h$%;fp*seYZpFTZHut%QGiVfV(wAvIQv_7uL9<#ruSGo=BA+! z;?virPj(h-DjcWMp6<4~ki|DR#Tb8P!x|68Y@QguXrCSS7d|xRTI_f;>q|Ff z_>=t3VBcDn)_fj*YV}`ey(WDP%E7ubdp$pOD1`CBm0_b#)PK$mZp2=-8SGU%Y1?5L zc#^y*2lg8uuytbFf^JW&AHm~%oaCJ?2j>b++an$CdL#FQaqjJDo3Bfc_+jMB`9hJe zv?b0V5O;ol**QV3buN@Q_r>PR+nrl1M2Gb+Yo?T^V$I9&ux?$jn#CTtgJ{aqYEhtLKj?j!&~qR?ToOh3nSGr+-is#C}{eo-Z_q zL;Uke{|am;_MAYTX6y=I7;8As&i>5`V<%)hAhrngi2ldSF@#n3+Dig4sb8(Llh8}% zf9i4ANXSHV9pgWW8s9b>-FE91a(v?3Hovf%d!h>T0~iai%o5JMgf>jCO%hMy7)}~Y z7o3;Qzi8A}Sga*T0UR@fCJaIw;_zRX} zemYZ*H&G7M#k_JX_T=O8Q0$2#4;Ftx9+u2Ym*oNTgY$S;NDh8HkVmg98J16$`Reu` z^VIFqytGU?I6omeM42q};fG=Q_$l$k35`N^J3pP_=O=mU_CilSZrxtwi6ajdf5Ez4 zJ}+IC2fw;qNDh8HywkcByCe2P)<8H`m$~t*91QP*i}nHAc^B>TBgE%?Dt${yC$!mL zi9LGuEz=%pr)3YGyBFEdr{!Ke__R+*UqpIr150h&Mx5Sr@*dkq(GQb$9l6-pS2rzX z>`i--lUDS`n^wv#GU9k&%F6Qijk*2oenr^~#vjjN@8Bue>ElnJuX}=h7wxv}wW@%% zH+{gd={{Qq*umL0`!2E-o}?}yXImFa`^~~1>PXY)+5z4%hBC1IRzt^e&GPT;dP+X} z;Pnyk?9_KxroI^`dhquvvU3rB^*o3Eupa$ko$U`}=ntFFAGTPzv_U2v$BcVbRrw-DCp}wBd&fzZ%iLM8LVHT8fq)ik3xRvmyK+e+x1$u zb=FNf-BXG8*|S)`m9W)VmtmNsp?rk~*L0aK1i7YFV-#}NddmJ9?@yq9Gj$?$O?>bY zm2b03L8Hmy*||bZ!+eJ`_XPZ)3=O*Q4G0^WT+YYaq`@#5Z^AM&?mmzkDG( z(1tNmyOlHQ;R)2ambqI;($=mj&9w(BU=MQi2WM}7Y>smSu$RZ5VA_J~xctTgX-agN zOd9XFpLy}}o9ku!--t1I|BRVKpnTl9Z_WX~o$rCXbmPuyeo>acHj zWpmH9+4<%o?7b+1e*eX46Gpfk@F5H1q@c>>4$Syf4(#X;_8~MzC4bB>>HTPae)xY@ zzK!!0X6<`L0mk3S)Dq}^C+LQIX9Dg#za2aetIKx(NA$M z>`=eEU) za1+)<8fSzzZ@;Ai4OK7Mev7g%htKZrUzl^ohqdYm{3`QZApC{dn9YxS>nR^OGs7D$ z-%)zQ^}2^$bCbA8AorU*3FrR72+GcWOupA!d#RB-=Wy9~R^lv*D(q`qC=KF?H+z#! zE4bWejkbWbfGqu{0`&dT2>m-e^tp~>#td2d+Y8Y5OC$7mc<9q-L7$5;c$R*u0DZqS zLVvf1KJ*8^PL?)xMSLyj#WeIHXRMd6PP}v^Kh9UkxgGzJb?y%e$kb0yrHn6oWa_6s z-zz}hFOATD-9z6`e|}nkzF!)lA6jMFo3t4}{aImg^3}gz8lhj|q3_j|cT?xw`N4A7 zIlu3pX|Eo&{RVt=ZEIN`zi`UYFP!z~7f!wL3m1Fn&9^)dn~}3pgqO-d;~}=AqxSp| z3CFk-;fCL^9h1mhAj&%J_uVztqtV`9!rE;1yKCW5>@UA(2F~m!ZN0(v0G^*t%Ir-y zT_Byv(3|dy1=2~Kc+>rMfpnC=Hx2En*roqpN3wHy`RY2Kjw~%Rwj*D=s2=^M$4sv~&>kR1s_1Zt@6oT;Uqw4wT)7G9K&dev{I|9t*L@%>2 zeL(cVP)pH-iN$SJHDXU zhaj01W8S4p z$(d5dH|05l&%h?-?k_wFAGHZ<80Pz;BUsBYK57eO-v-&YWNe@8RWkD^-f-B+*|nD$ zJ>_@MBrU=a{}Oa7Yop-9YWmE3JMtEq42L|lE=-h}@qQI_O7O+*N%_5b zd-448rjC|d`H5^xoqZ$JWtsbBT?-E9?y;7q=Y*jfPvFk96Y6&<+6lfgDB&1m{x~K( zN62r6h{L^oe`WQU_WL!PR`L@();DgwR|Wb5|CQev9l^Rq?zctoXCN3nBdm(b@N5t;rp7o*h7zlLw!DBd94HAfVDl6@vWOq#{2b_ zrs%h{W9p#n|6*I}^0Z^dqyBT+6T@o3LoLFkJ^iWWhvVgX=t@1>GJYinW9<332xt84 z|7Ft%PtxAAGKn4fyF7HgX@n;7&-gLW$-MRG$_Rag{=VpDD7wijih9QHvD(r1`4Om3ecbtH0xJaTkx z#v@0;mogHcZ5DZE`ivo4ZuWUi;H3$A)&f2ArbQUzV8gQdAoRR_2E$Rd9379LT?xL_ zU-cEH{=9j6@%-}cvTaoSMwV%>ZQE=kEGPY?3t?}%cY@bLz>g*Y{G0>H|l=dPz>TT;@d&qbx zllT`5s{_qCD#H7!n;=wz3GIm+Xhf}?FXQJqs>1v zjrI*Ya2R@%ZU2<`SrrrT*5+!PQd^zAjYUcoPiO< z7&U0es6mWTgBYU*js6OM(l$i5Tz!PCgiaXzZFU@L^s#*^FCAxWO+9tT*3sbH?3^us zG+_E%6SfeB$4+<4ZSx$`N^+@njI=$sop) zL5wGZ7*7Us`w{jfyuAHM32Xw}s<;2}-}hkTBlh%B%OlhKtrL6mDz@^Keu85(36pi= z^F4#qk9TjrEMqpGrp%em{FY)eT!eG{@GWlmQ~G;cuN=IzNatMg%;x8R?t!0t`E9A_ z6u*CwHpeqLB%FJoB^>XW@0IwCjo_I2>C$J9$$S{ooNGN%Ub22nKC)#o-#&R|WY(zp zTRzWVJ4m1kx`(`TUzWVTlXDt=^&Rr~wF2qiuDs8+P271&u>Q_F-`+V5-xc0bS3i*VJay&j zqxbuH?l%*gf4;URjDDf|J;n#9vbO9Ed{csVtnnM$NSJ*|l`>~6R9jw=R`)GDFO+ly z#vVTWx)**I%(hRzy&wyf2lHi5L~LF><6zNy?7SLeQ;1e6{MxW>ub`p#G=K(t-4oM) zDl*<<&U47Xljb~!3>?Xx=Ww%6-pB)E!QA)Amy!qcN`6EA`Cy{}j^BmfI^UKZ4 zuid^IcJ{>rePJPgm*3jgS{=do5%xEPdFW@S{mv=E`f6sp&hIDpSUG&l>Lz@I%sJL& z@b&4hlGcmXA3~TuznZ>;tTobRF66JjfN^Lo=AsrlgOX!f>N55FlB>j5Heu+SbeSOg zOqpO4Gk)dewoK&7Ez^aT4s-x|$#aojnyy5dh(}sdCWJ8#I*f2T9*W_e^AK{j`!$wU zo#m6hFW%7x43C7#d!0Itd3h7|C-!W4;!sAA0me@LX9XU%I^gBC)biu)-f8cMo0hqV^EqQe z(p11^xHQS+t2_qlB@cYM51 zeNrzneIR%gzwcbmn8@*YBk%566TfTqf^F(Y85t~m*2Em_Og-#O9el}J^iNUSKcOw_ zd1ihmCU%Ctu)M?P)pJj6b}m!G>D$S>i!Wmk_SbUO#8+$^%iH~%4Rjh151VeT($`)MaR=gm2#*T1x>a=_Lb^;G!PWkY#iv2nIdW$eA+ z@q7p2KP!t5XJkP--rsJJvnuX%^hM|qpEexzJ}vblZBp#@{QTN8`SFYimzFngIjh1i zZyYc+te@QSXYuHFa9&4vl|Is|r_x9I=_lqOq!Ugca1p6x7p=f=~|pV?m3T+I zIiK`6em1!h__%faRnSlJ7vA55F)7<d3#@tH!q;d6<4t`hHv ze?h~?A+CN~{))0sp|6;k6&VjA-1O~87|zQ1pRP7C#`hdWb;5^TlcXIGJ~%&cOrP&} zY*qz}GHspV9M6c~l}_J^vsg~4E`(8Eu%Oc$iTSdhgYL52)kl*S|ZBC&DHHXZ_M_UJX;6x5J)R-LH}_d|6dO zdAT@*#U|h5u~%8V{I)pk*kZvypZ+GO7U6fQ=l3^Kf5O|{d3ZaYy!Ncj&5L%v4tBoI z+Huhf+Go5&Pfkwf+UQ`A-aW+Q$cN|Eb3Z(e?O4}Z{N*~tMzR+At|6ba+ zix;uBEHD|YFH!mN(8ucjRh?Q?pN|&4d$xTr`r17CVQ*Lg9FE6>m}}pk@zuR{XU^%1 z-Lg<`#1?!yj|{zSQThO(Bk`nDqH_CD!SS~Hh3ZT8l`Je@`W?rxmyh4wb-}Pw##wuu z&DY?eZ_#)h59G&tc8$o9J{#%MW_S6@?!!~t;ak;Nzlmcu4LjqW+A6Fu1TZ>R^K@#MvRW76@vXzyS*FfQ`n z)9q*P*atp+B)iXI5`MV(c7=OY?75s6bGE2Y9XeOsZRdg83tT@t{U4Fn{&#`b(szMo z*?G|9e4g~PIMYDyVLR7)Wcf*3B{RV);MBHnkUR>SDD0eKwvxGx{<8JG2+tedfeJi*`f&eZZ%!xD?|r+AH~f zi*stvTlt)F@{%#H?t{X?dNU^nTZge_5oqwY=3cS*WyqUjd5+)x@_x$Z&2I+s<;~yx z`lhFzWbCZlJHA~KSn2Pxcs975JJ4sCeJZmDjPnM-&CEZ+7FWsdB}y7I=F45Pc7NAS z{4bnYK2=dSqk@+dsq~Uf7|#UjkFCQyeosZI-BXn~PwR3uR~k}(gJXIggluA+!AnEn zRiTzKpYc93e-wPPsFG*>7OTHLq}2BhsjD$<7cQ>9E__hMqKowT`^T;dsbcm0snu0| zqz~NhANr@X3dbTL8YUl{@M@PcBj;D06w<%77H`q z#p?UIO;)MrA%_R2LTW$u#GNYQzQHBxSUX|L0_D+oYt{2%j&&LSUKMMn-VmSsuELC> z(7@T<8owAk6eHjFg`dZqn`!^=3;(TJW#3B?UyAs!+B0<>aCi=0SRFu`zb(BEc-MvZ zV4gG<=6i|iLe4yM`&1G0l{52}fOqnA9p>C1Q_Wvcb!pzn7x9^nYbk73WmtRV-W;q? z%szFvz4B+*gp1SZ6`8&mKMeo5H`6t%-b{zDHES40um*J)XTqE+;(0El#Ve@F>(!(C z)>NGOLJ?_))zg}08J+=!32R*2?3@MZc+>YLcMT4Vr>avEDb?Eafz=aBF=|N+509iG zeFMY25yTJlBqRNaT?4~ABCSo0cQiGBV0E;Pc&)>`5`zQ1k)Hm^&eQP5`2}cSxpLk1B1!x?txU~zqH@c@n4s+U>D#mk`)W&bzA4g zTaD<}Mxr0+85|i;LR84Sv#B*w)tl@~>>NzJmx(L4B!)oRvVVQ_`Wqr>m*(1)>`9G` z-EvF(_V~c?cycTiPwbpPRFkQvBxbM2Qv<0CPpa2G1i zO|5q{CA)X-U`pm6VOl4D>e{{CnKq@>hw8Bg_`L5NPEL%X4wJoLsW&pxhh{gJMBCai zJTk^6mz+qAB_h3vR3ef{<>HL_(2bC&bB(2P^S14o^t#zjn48vT$S0pJvAMI^t{%^6 zmytd+(Bya|IxW-#YOvR9!ret_6_`{ zr+@f=zy72Db>^*fF|26zY62a#Y|(`!A2MNXo3nOG%T&VI(rx$<+P7)=S#m0HMbZ1w zv0~m(shN>v&G!+4*zn^gcXK zKafrr1Mle10}szvCP5F+(udP&TKlHYrqc&Qpz|13r|>-Rg>)JReyPe|O{bgid=$@K zJWqTvo!*1z$;Z>_7w|lLAe}yq=L=s3{YB_Aej}Z(!n5tS(&-jFPk$wy?#J`Qe?vMv zw|y1);Q82L;4elU9RWU`;U_^4;Ro{0SAH%ccIg}UA(|?#wmlT8UAEnc^kpJly z)9LMaw)}BAeG<<-Cy_t#UV@x}*YszQC!VE$4te6)h37szU&HeRp6!1Dd^}&lv*ZGl z>lM(&^USwF7tgxypnmY&jpqS8U&iwVJd0mVr(ef&FP>rKtG=5~@5ZzCd+GEcJYW8P zI(-(;H-DH;N8r@#`Cq69Jj<+p1nzHdQ-KGrTC5I-V<_I02#?r1c4bU8;T_e20xU0U z`SsxAfu@r8Fl~fExOW4m4$piz{fK)SIDF>AnL=FUdlt^?FmOgMj;YZsorv%gK^X6s zai0QC7oIk+9GpsopT&LjDttLjocgaK)8$p|dqcleWXo2CaNY!Re~DfW^UdG zuZgL8=rnlllCpOJjNq=v{aRH_JplTMuX9PWewe-sckKN!g-L4SMBDaCsiQi662YnZ znBo)hIrYu-Gq~SCJnI1QIkJr)XbyMp2e40a0Ullh&ZDuInzB4dIid(>9wCI6R>u@= z5AtZq^4Ox|F^JOrYn72hm856AF?|!_U%1uOua`&0_adHsbQzwApO=0&!r6}xn*3uz zKL&KBKY)1l_4`cvsKg8ZClJp5^VK}*Uqd{7gGWKjkG~Mo(Qi1BC%zK#^cigWd3Dr; zc={E4O!|58y@>DcKp&rn{%*ua=#%7$KY)1p2;1_|KY@7q5tBaYD-kvkg3bS#m`VXJ z^lQ2u#9UpC0f%@qz-#)b#d|J49{Y}?+heMd^nR@IeB1LG;Jx~@7Vk1FMf!#p?o21otbz+cu8k;TbEjP7`oSC#)?6o>!kE2x6c68gSb1Zu82q8{MDfq($YCDNqrm9|PWu9MjsoWZ zaA?DA`Eu+y^LiCHuY5ee42Xllyz2Ty{{B>#A2{2Fp~KAU>cdc~<-T%i1K!aQi+4kQ zycFxGb8i7*Vrqfd3Jxab_UbZJ&oefPcthUOPo7=AZ&gJBuF; zs22jr5zvKeiw9K`-e+vU6?6wI=BccW5obYEH?O8?hBT|?F*g=zk=j=LRFN7jelw`{1d3tK!8c~2_F0nWig#@n=p6_YzoI4aa!~CKB4A&z9Iq$% zI$3lOvImnJYR}+hDpb605v+dkiI93qzrU~u^>=u2@l#7Eo70QcEBgJ^5+p{l`n7nD zT2agd)k%u?bf6rsdrAMrAUrAvt@z*)b#QUdHWP zw0JU5^mJhK5Xg}R`1*Mrt8>h)*Nd8p_h7QC|71WNB`#Q+7o=_oT=zgd3{GI}Tu>b* z+UwXRfFI-B9oVxZ@bn@CJiTNs#tBo!COgARI&ew!Rb)OBY(gQY!U28*hnJ-MZz@_eM5UZ>V0c zloL{mkPZJI4KdOvhmc@0anXn=75lZAdQ-odKPH~uuZ`aan+R2u>q@+s^u(bz8;|cC z#+2bb@m`H{wMJm%mAD_*2z{eHL!Xa-6UK$k$i<`MQ&@-)+I`qEK*q;E(aLSPxegcjGkx=K=AH zUj|mu;@`yIkXmw_LEVAhz@xZ0__4rG@p8f7pbCx!9@4KWIIaWL(!GR{Y8n3oR7q&b zg=N8HU@M^Im52-8qjL;~)$#`j4hG14FdSO`+k6fMpuYf%mtVnWD)1c*VoTNUfoIKs1c0Hx=BYiH4R1K=&e@&1XyqrtPtmfHF)3V7b+b zOGy2`042Htvj!@7zoxa~%Y2{IMOv|+8GknLvv{Z_LyVZwnO_|E6$AyI3k0N6!-xzF z-HwW1IX>2-Q1g7}wX%0SMYw};fJ@1E;16|XHF&**YzO{G7yjFTFD27~7d5O_g~;ow z5M@~QK1O`54|D>7%NU|A!+TKu3|_su20d&4qS5bj(6w_^Fsf6q*i|sCRYt-7D)l#cI|4`juM6K(&-w_7Aw1@lRH(>QQLXD&~03T6IDrXSM1d0bfKx z2KH;27xjR0ctQof#22i&FsF-F65%TbVYfy2Esb!|RgC(YiK0zb0* zm62r>OxOxW7jcD@HAj%GD;E@{BUx0^C07P&H2$__cWI5ebdjn-KC9gD1%yeH9#qd$ ztbzXxtq9;EfdAFi>-c}&j-DR1u76}GxvmH6lIxm>x|6-V$=-EXYDf+b^sKvgWbB@G zA0AlOy>no&cO6#`?j1{AKheE&Y&>NGrx{lgL-B2`k{f*@#J6}bg$P=({(-L z@tuu>1KmB>N2_nH-Vm*>VWuW>9l`;S6+U`Kuo}1nb8Vy7V?nSQhF+;Y^WJZI{Y61` z2}REq1&Yc7Mg6xGU3S|ii*CHF=(gL6ZusCm(+3nQzQ} z`ZK?9=E2RM+zeMZ5Ma;w|2lcVP!;`QaiHjxi9pejCASs*$;8+Be5PnwasBTIQGrp+R}$7i@*Ae76udn?GD2a3_v(*jQL{1yu`Qji;plKdD6FQY}?q; z5&vlO);kmyw|6GvV~Kmso5FHe4;Q#q&tPJF9KK$vKRKKjj`#MdrcJ5)Mw9B!j#mBb z8yrcb)Zhq~@ARLY!{Y-xhOxv)r_JQ>;Vm1ts7-Bmz+nW*xK2hSHQqlmmWt%0=pEUK zWo#Qm642n@afJoKp~PUE-oTwrCS&O97}P7SIW$E7{aV8H*g%jNTxVL(_0rA;2Znb} zT)(r2&BwMCEe9=utjMyG>Y$_?#~RIO+zPRE%cj;Xt(|wV$iq9)&c{rdG2)3dMs!<^ zT))F2Z@KgKhUTrxu@dVtjj}&6*r%(PwHS}*`sf2#r0i1zad8aDLaz&mfDhgW@t@s}=IKz)Q+m{NR1N7+B5iei)4 zDf3DsHXTP88!tlGj^UjufN3HX!m#|{g)zLTy>Fsz@`YCfH^)#{;hur-i7RjXsmpYH z%uNqZM7;+$(}yo#IKHbu`~e*wm~-h@IrQtVSeSlqf%vHc@lTofoczn2{LdChUtX^H z%bR|Cf%ttUKCgV|3Zz$8E}TEtPjThtk87t3#dFu8Qg3_&0q?hGT z;>zJKf&hu{FF^lbf%xL9VxX2w->qM+!@|eT$=}sKuD>o6AFW_~e)^*Y;!hTc-*z?W z=kgaph{)&k)iFT%^XIy-H~l0+Bt6%Iz43csR3v^h%6OGmKlc@gk6yEIdaftq%8`E; z0wn);YG1e>?JZxOL%(Y+<8$+mAVBgjWPhq^V!+NXpMD*mYu_SBAoPzGh!3xi0Xsi` z@6^6MYUt;!-=}qae*UXAkiY!#dv$z%`OFoFKkXAAMvfxC?X}E5KmAD)pQB%H`=2Wi zUwMP3pErGPf%uZ^7f!#oK>PtiKX3iKSRnq50`ZX>7v^u!CqAOG@(Ze*I~$n@``Zzn6XD-TW(WX8Qd3AJp;r^Dn(+;rzE3h(Ayu{!D>*?v?SjuP8!9 z|G8HNS6=<(UKwwE1Obx%o%UbcYvYw~okO4dY;fi9=km{eHs12JAVm1%{#IN$`tP=X z?tS&fyZ&jUVd40$0`W5@K8JtTKj1zeTsiR(1PK4!=YuOJ-c8SaKHhkSNP6z`!IhKV zl^^%{c;gu&>ABAbS5Eo}0wmt<56&CUeLlEy;>(=$+~>1Ue3z-8yy>~Oc%k&+j)mj7 zkI0)of&eKW_YvXB$v=VsiRV5dTsiS>`SgBdPkaOkB>kzu7@%DJboK9)p&xU{HxVR| z^xTK!Z9fc=cy~d{(0%QnfSc*n<@~$uR#0@1@h1O&7b@4yzvnP2><`y^Do@{=asKpKJNKjD4u)$yzvZ?@`ZL2 z%9T$90TR#sectpf!EF4gy^PP_{}l2M!oO4?z2PtB`VVgZvi6~c(@zwLKcM4t?e|_3 zNXpOsh`4g}$CdxPUH-WO@+tYe<}a^&xL49!f7=iu!$SJmV94dI(NJX2%l z*)MNcYf6rds_L=i4y^QysOsMPh7&^rJ*v7tG2X91BsR~~n5xFYM)j`b*f`e$T_BD) ze1FR1=4Es+rK$&p2kTGnHNwyDRCTgHu0NrR_xB>DKp@%EgH^qr1E0+^TzRxo#}Gk}dO07{+IjN^3;ZfwsOC0;-9`Vmpa2z!O_ zB)k?k@HD+i;7tOr3OB=e5s$X*2HcBqlitB#3^z8>0*_(5h{yZ=xb=oGJnKW)vxmS_ zMTQ>GHBK1MM%)P2^va84svMc%Sb)q$Twa@TW0`D(`L16U!>w>`Up8Nc^QQfH%i+$AV4V7DAB@2G=U2fRAqjoR|!H6xe%@J?Qt58LHI z?DuKGyIhiQ3IT#=_SJ%hQ{IS8@CgSmyaN2Kz(U}Zjl_epp;}QagRx_9*-^^^9*!1Ov`&oXeihUHI@ z-nk+W^QR}Dy^6F~>%x&NfVU!5;eoIAz}I--N)No+0~-ZAWkZYf=@MC#BJ}uyEC^lx zak|6M#FWcRP>hpNkA)YnGcrSmyj_{)yepV{>w{=#3$j|QqcKLZ0 zF!!|cB7JZ9{}SQbEWg-qto}-4nm?4!>mK+g9@r&F{7ak+2v>OE>pk!-9=O#5>+Rb% zPtx1riTA<}AYS${27?#s@5*K0BVm4mAo?B%Uar62mi8S8mZ)bCKWXhxAXucH2Q2NY z0`2QtfTewe!4JPQ2Pt9I$-bOMd?rFse*n zD^Y*{1eo&{ZI=FJiF))63iU1c_);@-k>6RsTnCr>|DR5L1o1(1 zNnH&_9^`k0>7o$B@+oyaVEKNX@gD%pb%QA4nEy0ju9FX1_?w>ee+sw@`qX6Ou_st@ z-&2=`TLE()iKKr9F!v>_wefEOW`9OYe=+*A5ITDKKA-%Q0+x&XRs-g|$v(U@{uaPo zhi?H)e(&?(f7}D_1}xu<6aQZT%Xj94uRxb9-=>p(4DgxdF;EA6@R|V3eL1@cBmTDl z%XtTcp9j2Wf3`kP0haIPN$)3sr9WH&eOR^(`_v(in5B0MV7XY{jesB8pQX1QaAd~F zuLAnq519KTgr9E#9(V9h1LiuX=<`1lwioHc1SziLFrNIc2P_xkV;=aUfH^OA2yraW zJ%Hugb1e_RT$is!JmIf1zC2sL?*QhyNTrR(w<4K~`IQ0Yyk8sMb^QY7K9?%Mq_-O| z=T+-0ybmzv@eW!1uL9=23#KRjn}E5FH(}vwxJ=ylBJoMUJilrs;wjGu09QKo@g!h5 zPlNn?3-HJGXY1pKfbVhO09-JxTduYFT>yBd0sN!gGyQtNa{dVU`#fOIqe^=_2$=ig zXs3vO3^4c6k$=K}30N-5>+b<`pF}O*NpDdY<9OI-p|=gNoY%ql6ks`jhVXvC(%-B= ze}h9iGv`Jyzdryh=XDVNYryo+Ldb6gTI~-3a~~7+kMVB-=DMHM?+q}rT*u#L}0 z=kYNA`vJ?@JA@Ad=DaoKM|v*-o~da71UhIhe+HQAo}v%$gAlk6sU7i5KL(iVu8#p` z`p;2F_TuX!9{ATh@NatHuL6Dnqare zlfQAmbJl-c5iC`cfaPom#{WKGo=FGOs^#}T0CQiZq`wA34AIXp^z(gyxvx(2?Sp{j zBEL<5xh^m5dkir535q^`1+ZMiKLVKZ_?>uXd43--*FB~Fmaam1_Gim?HDK;*llr;` zF!v#MAwA1;1h8DBe*!S~nK$8`@&6kz*IkAF`ZCyy{aO0A0UmPTZou5f7_;dg1WbQ0 z1bBtILVX%A&(13WO#OQlu$+;^{Qe9u_lZr~^sCGe3=qqU-(~^Kb%rhtqdx^axIfF! zR={$m66t*%u$+rSdHo?^u4BiLp7B2f%zf8~EqvAGsJ~A@U%@cr9|kPvL^1sr0n0^x z4glu520wUa{9(YZ|NAsxuDhpzOM2e|Eaw>!|L*}SjAx|1uDJsFO=R_97%bOh zz}yFX2r$$CpMbe<=ZuBF1z65aVSZP^QF-wfWBQy9;@<>Vp?$>gVEp}n0dwE3)c;FNZ!ebr+km+*MfBw#0C#Q8+RqEG6l_y}YXHl+WTbyP;B8mO^oJ|t z=K;Vne-H-zAYiV`OZ)gez;fOd)Bg{^a;^#C(}2qzdvw8j(4HZG;eR7w?voIB0x7K*ANiEUUvU-ecY{gK{3C$nqI_x@-x<^ALot2} zVD2LwL>%+m1DI!9mH=jZcpPv$^nn-qj{|^DU!CRe2w?7mI)w-6eGf3#Rog5aj3B=+ z#q`V#>0JbPV1Kqe*8=8uB9+SI|FeLHo%o%A4}czzHzECB0sLtv{%e5cV)_0gB9N)y zp8%Hml@&NWHw~Ekat{EF<(;TN{KeV!`#50kJ8!q~-vTV>c`^UL11#t6F#UV42BN)~ z|E++zFS-}+jQ=cPu48rrX8JDz=DvKPf6|lw&phy}fZg`{*Pi%)0nB||qCbnT0sp(Q z`L_brCj&Bn$nb8!a*^MU0haU7$lqs~{^!tM0*3z|c<|GJxvyXHYhlINi}Y>>EN39G z{J#pA`}DUVj_@CO@c#xdzmtmE_zPB}{mo?ivr7Q;JC8j!eluV>hmZWW1Li)OwKjel zFy{kl7B0qo;Qs;m4CpbQ`nMl2_a(Pj{4`+h6O-~NE`4&z6Q8~I;Jk~}&b~gp#^a5h zcWjNfwRUvILVE2y1cMOhnKyyAvOCeUVVs8$SNDvLs=HGO^D+S5#*;eCdqS-a92hYRp-hBN8TC=Yd^ZQP z_I7I|l1&WmnCOAL5SIZrjBEJr`)hBSn27Hf9T=AKyW*0$D$#%(5^y-6bB{kky z)4a>W%}2M~9FJp#Jl-=gk^ES`l4oRWWw0J1ZEo(~x_L`Syym8O+jsjV11D9%}E;n|4=DOcTUm1T)&^E7Xou4O9gBfvRF#$|Ih(?r3xKhIqW2Dnf!H z^_m(~L=VpLZi3=Ax7R?_9XM0_j`*fl=ygZu);k+JiJz?kKVkYy%eP95c#QBg^B>WN zavSD-#F=Ryjq8mlYN8o6+Elx#{np!?6&g2AAs8Q3{BD}u&Oh*41Zj`dt{d+k=u34r z-O=3MKhVu2Hlk)d&X`VhCPq6_I3m5dTlMLqm^~ucFr2(Mo*2a54XsOlY&YMG(+sfB zV`opQxgA1>PEhjJPH6kly5&~QtlKUq9<4Q7QN zZalHRmDTNEC)D5?sy^(3RbiJpggig{j&UfT*G3@!nwAEyW(4|iD~2s z0oe7DtppkYf}1Dy5P3qh`QneIro(6@=^q7L)!S?x<%Q5}F za+UI)x#vztR}9>WJNJFkdJ@g#@W1}v8=hFcc8BiUBhrs zQlA|_L$@*B(WEWP=4`Gt-uB^FisrhZ#6)}?of^fhH+w+>Qmv-F!sI97V@cg-#bW56 zHa4`zYj6_V-S_JbJwCD%{VY|nF1MFFkDBXCj`XQ<{A59&se$$ABZ|)McM<4f&feKl574p9^U2)|ND2lRcrMjlI7KXdl$ie;$!upgJ8SL9Ij=3}1 zcP^gd2K@K|+l)O|2YVU^bg!qqC#cMLt^*})B>`0(9$mP>Wc1AOPv==W=_@V&v|9;Z z*S5LI_Sp+4o==X=x~qp+;HA#1R^J|K^LZN1n_)Q7j^dr8*HC&>3r;Knc#J>TAVbvUAL)Sg4KUnAwkZcFgyUjOM|Q z%yGpQqA9+ZI88Oyoodw{)#iS2s$BiQvEixSR3X=78dw09;_p@Y^ zSyzl*Q#55AGmLdS?PVc$Z#2L5(p<=kMgIw(s5vwK%2=$MXmsfyYxZ<(_T=KSXI#IEGV@rHq6W7GZm2W_aw@e4BA{22QQC2Du9@_E__F)j1e z*~WCF>f-1kQ)46a;rz_ZE)0QDOEVEp#+}Bh5J;Ti;kR(W}$VuQ3Xt|wGv%;cMkkL1p?!^zmz0ZhKhSk$%PnVN7qUOPB| zVZJ@y)1Smix#$p^@79jfx!ZrgDGAb7Tx;J z(_Yj>b4E(*IZ`sSsdnlzXO6==fXPV2;j}I@@IsZaW9aPgH)rqAjH41DOd6^_8erC% z)>2lEWY~u`dLDC)GTvN3hJFpfI%a0DBu>#d{HRgmfcm&$K7x}@1#?aLyf9bWZp1KX zV@EUVyzrEVAJ^;*y>lM(o9{x5<{4+hUs*`K^K{j|U5Vx=cN))!p?R}-IdU?;H#om% z+SIhMb7NG8L-hV*7u|X>LryJj&HPLoG;O}1d_^p#ss>e>Z5CA3^Hs5Vt!g;ok+LWr zR9+M>M-H1Z`r^*KFUU44IQxr$Z{JT8r-X9$sXx;ZiFm~Ea3e3iPF~uoXdbqJFa4JYil zhK+Sxs@XI+FzWbe^Jk{?`i(!E^fdDf5nUy}0jn6+8T4tQex#*^`g$V5KFDH0h~16u zOvDg4;}f_4_BU|WQN}T&_({f;o>ZI9kGMedeZi)v8Dv49dz$?QO*K)wbhNE;Q|?@K z?ov{=wJg;14I_Q}i&IqdIl5%IV`^hk>tK%>`QxsJ|4f-{qMYusgTW!1L|O+wo-)%V zrZ*Tm??oM-bQw0Xj?27WYB+w*}Ly)5JtBpx)UbEsj~a*f+Fxv^N*@d;Q9nQK}qrn?ADXF5?oo z!TyV~IkT!a-`3G`CuVtmhRo>cc?c$sWw4(9oZ+6Hl!xPMmYcg1SeD`}t#=9}zO}6> ze*4CDmKWaLLR0Sr=V!fB@BVFU{w!?`mq57|&2{PAI?3p&;V;u+_z7A%;2XO6WxO#0 zR)On!?&}#$b~bKqHsh=LPL)qH6?&Mf&h@FZ)O9Ala`)*E7GgI$?Z=_1?(W3F0?&yt zaj{&>xDY*=p~S-IfWqucPd~<)Ng23ktIkZkjx$%!I`l%w`3WbdJ2Rbid-m-DJgZH9l~|iu>A8`+F;x7{;d}8@ z;aL0}-#L_o6dD_`=OSwKD_a+ODc@ItGis4%^n}@I_T6TRD7OHats{PnF0^yxT>WTS zm_S?RCXo3{5wvRaev7&$swX#^wdO jBpVZzD^+@;P>Y)8d}`s_TIT76-%!i1p2UyKQ~m!BvLZLJ literal 0 HcmV?d00001 diff --git a/Software/xc3sprog/xc3sprog.cpp b/Software/xc3sprog/xc3sprog.cpp new file mode 100644 index 0000000..1aa982c --- /dev/null +++ b/Software/xc3sprog/xc3sprog.cpp @@ -0,0 +1,123 @@ +/* Spartan3 JTAG programmer + +Copyright (C) 2004 Andrew Rogers + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ + +#include +#include +#include + + +#include "sakcXCProgrammer.h" +#include "bitfile.h" +#include "jtag.h" +#include "devicedb.h" +#include "progalgxcf.h" +#include "progalgxc3s.h" + +#define MEMDEV "/dev/mem" + +#define DEVICEDB "devlist.txt" + +void process(IOBase &io, BitFile &file, int chainpos); +void programXC3S(Jtag &jtag, IOBase &io, BitFile &file); +void programXCF(Jtag &jtag, IOBase &io, BitFile &file); + +int main(int argc, char **args) +{ + // Produce release info from CVS tags + char release[]={"$Name: Release-0-5 $"}; + char *loc0=strchr(release,'-'); + if(loc0>0){ + loc0++; + char *loc=loc0; + do{ + loc=strchr(loc,'-'); + if(loc)*loc='.'; + }while(loc); + release[strlen(release)-1]='\0'; // Strip off $ + } + printf("Release %s\n",loc0); + + sakcXCProgrammer io; + int chainpos=0; + if(io.checkError()){ + fprintf(stderr,"Can map physical address into virtual space! or can not open '%s'.\n",MEMDEV); + } + if(argc<=1){ + fprintf(stderr,"\nUsage: %s infile.bit [POS]\n\n",args[0]); + fprintf(stderr,"\tPOS position in JTAG chain, 0=closest to TDI (default)\n\n",args[0]); + return 1; + } + if(argc>2)chainpos=atoi(args[2]); + BitFile file; + if(file.load(args[1]))process(io,file,chainpos); + else return 1; + return 0; +} + +void process(IOBase &io, BitFile &file, int chainpos) +{ + Jtag jtag(&io); + int num=jtag.getChain(); + + // Synchronise database with chain of devices. + DeviceDB db(DEVICEDB); + for(int i=0; i0)jtag.setDeviceIRLength(i,length); + else{ + unsigned id=jtag.getDeviceID(i); + fprintf(stderr,"Cannot find device having IDCODE=%08x\n",id); + return; + } + } + + + if(jtag.selectDevice(chainpos)<0){ + fprintf(stderr,"Invalid chain position %d, position must be less than %d (but not less than 0).\n",chainpos,num); + return; + } + + // Find the programming algorithm required for device + const char *dd=db.getDeviceDescription(chainpos); + if(strncmp("XC3S",dd,4)==0) { + printf("Programming..\n"); + programXC3S(jtag,io,file); + } + else if(strncmp("XCF",dd,3)==0) programXCF(jtag,io,file); + else{ + fprintf(stderr,"Sorry, cannot program '%s', a later release may be able to.\n",dd); + return; + } +} + +void programXC3S(Jtag &jtag, IOBase &io, BitFile &file) +{ + + ProgAlgXC3S alg(jtag,io); + alg.program(file); + return; +} + +void programXCF(Jtag &jtag, IOBase &io, BitFile &file) +{ + ProgAlgXCF alg(jtag,io); + alg.erase(); + alg.program(file); + return; +} diff --git a/Software/xc3sprog/xc3sprog.o b/Software/xc3sprog/xc3sprog.o new file mode 100644 index 0000000000000000000000000000000000000000..e9a55a63c465cecae3ad7936f1ab557818543a67 GIT binary patch literal 17784 zcmd^G4{TgTdjEFcCN(*ebE&U{yKwgm4l&>uI}TvDq-^5d`~hQ3>|Arev0m?P?1iHP`d$Qq@=GYwz#$!KgA}Q>*XmM%)Tlx#^g!a; zsP6AKZ)V@@^Yg|IC$&{ae*3*|<~QGb-#6dPoA+k?jbz{MNF*XAMWjJ|PGrFqS<@u4 zO##~ENqJnAZvs!;ZJKV@v`y1HG`&;Pc1^cvdY7i1nr_vU?NILBn%=AFeVTqz(;b>V zpy^Icdo@jJx<}IoHSN>1U(*3i_iH)?YNtz!uWa5Zug-6fAI`VDKj*k|e!f*+n{SiX z=bPm0<`y?DuDmweDzDF?UL)(8{2<>3oXKmsyx>6YEac9*^2+80x#Y0!Cuwgb@~2_X zG1xN&dn)qcd{NFQyQX2+ao9BjyS8b&rY(IXK#$kqU`Ei zFL8`2CiX}mp7Dn*cca$(Bz(FN?ZsqmwLjn=r4QSB7UgJE#i`Mc z{e0ZA?I+qU;!kUNjwSCpEpH|DgSNc@+csKl*>*)F+G>0{Cg$`pY%f^fvBCjEiobAs?vL~L8jI_oisc3`jRo>`{*$(uB$jBVZRZM@NaV`ssj0s- z{;pOoHT75D{?+Q&w!eD+)YhL~;{V$68N3LX`2QH|)|g&{H{f~Mpr1{cdrxv+LD_7B z^*mn=k9pTy*I^B6WSF`8)}|3Thq-qp@*45H_UreaXw>>Fus-C3$#@$!v|{b;!j6r4 z-Q5OT+99hQYn(PM!&`HDb2Od>Q9R3gxMuX9(F^nN3x}t$PCK62tYbezj7{blPkqq# zEZUw$+i1(5cf))YrvJ6#=&LY!m*J0Q_@hbp>jwA&YghQ^b*yX7L(kgxC17WP#XHmT zy05eyvo5lI&AMT2gz34O`a!?_Z`84#ja=VcyPy0u!D{@=IQX=VamE5dU9DM+-y5M5 z?hz;F5GUSx@T0{M^`5iZc2*N_VSX|(i*rM>T!4l9;KT6JJDs zdhbx27tMSqGH}A&$K=uKeT{rqqAhK1)Yik)@#etVzVYY67crmI&WFutyQOJPT4v%{ zYy0zZP!7s~bECjl`(<%4vTms1R7Bp0V15R7eS1Se61bn!8K3I}6K{QXt(=NnaZaz1 zOKTdyoL}qVZ+yE4CH~^ruzmAC;fMb(wLHIx15Y*_YU{X=)wbw$l?6lNI++ej@9lJfF$AW9cLLiBWf7qW6(R za>u659^&>*97z}QSvND5&QG|-$#TAupPXTy4(;6Ixvt&q+>t3vmUD0w8XrpRbKA1HL+QhX%I&P&+MgbW zXs!O1&MkMl{I;SJ=NP8Q*9Q6|a_kFhJ+|>?P*-2fO5lpAoAn#^{z>$^kwoL?S=YtI zGNv9}%VEY8LWFcVjCw$!obr~#oY8WMKE^frxX9ym5f{scacMa}+XZX+5TAnw-` zZpN{3Gbq^8+k3y;wx|EV?e4aY?v8HR`Dq|WCk{*Gmv9qvq@#RvypkRPt&}`EX6_Kd z9hDr0V@Eb!NlV8_xhx%}+$h3ok~f8pVzwk57YdV^Gz_WLGAORcYc;W}2g4R~nq}kFqzzVjQVERC(&W*71EJnZ z9vMmvcBg6#zIrV>*sHi7?jGt)C4GO~mr5aEQkki#uI`E4GrpDKj!7oA(tHy<^fyF? z>ly-Go%5?5l^-t_5?!|6>!=;l0n2E;LgW&qL+Y6@_M^eq*>={_s-9gI#_rGlrTAp> z$iPs&2nelmwXg}P6c#m>n*FQng09YNP7k46a$s<4Dllx94$Lr}^lZ`9jd58cuj>=Z z3k%iiv{gqBuk%JTnN)5nlPgwIm}CpN+VN4XzNDw?xiD<5Os?LCVPdMWdM!?@C$O#; z>sC}_+YT;;)()HW9#AaKjinCZxd9JnCdbEf6L@-I_UcGiDy2LYdpSJ9MoW{2`BYz7 z`WY57zidIb;l2Ua6S$JN_{`@O#9_0jirZZWm#}a=bFiGeJ`1M{>F|6 z>)^5$?x8xkf`y~5%h^$}a1YnP9kX!#b#TWm+#_{xToW352kPKXS-3~*;GVN^JOi?v zz0X@XzECWOd%?mTsDpdS!hNX@?qv)2>veGFEnKP&?oA7qu7kU1;YRA<{?Wo^>)_tC zaEI#P-m`F{b#NbAxUo98D;6$a2N%OS+{D#Wb#RRq?r96hB|eHozb~oxgd!K`IyGtf zIne7&Cg|J)-rD~O-18laaYa2g;i5dO{#823Oe-0AcOs8PSHpDz1Ko;IErasRyB@RH zE_rjVig`?@DV&8mnOmO6vh8?(7c!tQ?YIS(u|sDg$4jRh&7DVSBNNB6@}E|aIO=SY zY4>Z$uyJn#$GRpN++QHW#(~TqFBqQ%z0eKrNc|1#K zP;mxa7mBTVDS-hcrF*T`DnnP!%0cRg^n9j?_b&n%jDw+H0Cv5dT)fV?(K9?w1+ zdEXAmYiJ7Z-`@(z>$K!?%o=&$56J6-ydIRBL>$wf1?2Tu@^}{0$opwP-f_qqQxd!% zBkv~xd2z@CRK*|X9wVo=V6_3K4Bag_Hn+}QFiK;9YTn?xMbzv0G~ zHw!evG^h;B0wQkk^KC&Ie{4OL>R1JUu*Q;8#Sr&M=9( zGaU=Gdj`1EUXl7W?M?;SokP1W5Ny}1W7+N>1mqoT4)3?$56D}9Jl3bYK3r^<{J#X` zJqvlm$T!L8_fA0G8sZQrF(9w$SHu1H{eZlWA&>PbZwMFLC4XMatHuH3Ett+n zIc;LP5WqD-IqZs6ldY9o9uLJiVsB4nJks4cBR=i8Zg+gD!JycbGp?vl&rnYK2iOG9C71zng zGrXa8eoQ`CH2I{CS`qp=j(yTimAihS;#&j!rT~9ifZrV8+XDO@0iLT(KRMFwGq`iq z3c}BF1kSROQ?sHYo=<8&Ir_g0Opc@e3&3#1^NHvuNBBhZlOud0`N>hMS)1n*!B39t z^cmba!Wr35j_P5X=ZxqlNA~#)?i@99`B{#dd2F6Dho799l`HFWg$6VODZ#W(Ky$S;0?E%?!hGJj|@* z;BJl`JuESN=}(UVHb+2bza?)qDS``5x8Y7v)wRqGO8R zA^n>7>OUaIHD5&e4VYj5+zM&V<3A4Y?*#ZYF@G>k7E{Q9rQPs>JvkVb{LP8Y-x|owD-%)zoA5o?88# z)seQ!!4)*TeuR3A>J^LQng<}N!@7NU?+?a))Z%-PKu`OlK47SWR-g zDyZw;a`mjw{=VGAXk{#!&r7+I&6P@W$QPvjP{#SZj6NKMqd|6uZtbid8^S@HOetUF zQA2=mC}$!wUX(_Bi)Phk)Xsq0ZLq!`9*w#(d8#ezbf)FX$fcPNKyZ?F8>g8O&RCsK-Yq@ zjPe>lDG$eEC04;2ixk&h(!(Odpsbe#Wj$_8u^!(CSZ^AX^-hrDnj<|T@&YJvXF!R2 znfcF%ys7gq==_V!$A_f%Nbxc0LsDEg|J@t!&Qa`SD zS&!%R*zQ44>X#)2zNqO*(nHW!^Jg@_K&m$kN%?&{mO(q9lp6=7+=Qlmn)16f^PkXk zO4C!IF>Hoi)U+Av`q*#44p7SL1Z6$GKd?Xdk{*K`(xgZMl=c-tX&=Aavfea#(Bqn) z(fkQe+IyP$z`wwJ&;|0qpC=Fc1}JeCn2+*{%m=+p9_8inqDNG7I|0mA8P)J<~L$p9s4HyKng#{HIEi0n#4Ce(%r}> z-6Jwgit#W_iqAT8r1%iMK>Co#CDK0pAkL!?qdqA<=fp`LL48sT&S}y|QJ)l_a~4Pk zQJ?exh2RgLZCp}(&WV#E?uJPbr_-ePus%ncMt#x|)F;iNKH?~P2=z%vMa5@y4E4$9 zQJ?fF)F(xpEs(;0mq?EyJ|H3nyJ<=cA1x^|2K&(^5`&#CDeWVLeTu>^MPZl14SC<1 zW3X!jarn%k@?oE%w2%42(2o@MAoL`b_P%Au;1`t-|3Z|+;0LHEG1&Q%rf-mDw7vi( z$Y(JhXga6MUD9DK5B^Z{;1B#s4E+)(MSrS%7_IW*Cztu~(+!}}oTqoR8SzK{GoC(C u*maWpjhHC|ipqm_#w>Ym>{gsO5Ka4$%VUNF~N)vo3aiP~|M_yZ6E}DZ= zBlVQRKt=x}uTm0^HZ4e>D=57{g8>@Z3x>W+{-Q)nch(Qq0+YsGYso9oidV5q^$bk9 zf`t~W|Be&8)xeI`FEkur8$fe%@4H zY1`#*By`W?#>ZcQokl5?lTTYlJbA$thhn_SpVqX!_$mz5QEfs%5>!tvBKO`;>+7j$;H?qO{T#DQ zm5WW&miTY4fZWzfTW@SgiPdqCJ*@CKPis08f5Rj&LFQy`kJvnLTxd2eHS3X->zglU zn2t(HENXQUcj_@3Y&_c(uk+r0nVj5J6r*K?sTN5)n@w1Bp&H$Qo~^y&AaVtU4{7hYCk#8AkE^Q_b%*1 zi%~02=lcuAB=*RunEieU`~G{!v^N(=31p&?L+7(27j2`WPXkST`rN`1bdMwQTH@bJ z3I@hk=Q6I?b9I%p&`_p%Gu@3Xv>WG_(0{5BmrzX?WOAR>Iv>i1Q_Y4ZD>94z@G;Za zAJ&QGD&lv zs&3m-Tp1jV8e$8sAX)CNXms!0KQb!OtO8gAsTRMTL!x_F(kKzhs&FSTaZzw$dGS@df46|=v@@P-Fm#VBINfuF z={$oRX4URCLL1CL*5P4`YOR|i-gtMrL?k-hQ@gRDEa2oBn(nEEsS%{*5o?8Qe{E<$ z*2?&%Gn`1!`+#aDnoUxf+|kae-C?Jd3juBONaHc#M>7W=*IPL{zO$0=`<94EPh2s8TV)^X28+V zkL|@09zSmzLo%hZt1)rp8C>=IjDB4npFdLgi~I%Ll2j<#mvSLl-%( zQa@bI+S>?4{qKyfO<_fuN4LVlb#rUH82tQ_vWuOmk_750wY8ZYot!S;%zN4p>~To? z`0;?XRUlAY`9Y;yJtdZS@t|1Re;k&7!C z1d?Nmoz|uZBb8}j*8Jil>hvv-qL^$!hj(1Oj`r$OJuYOfY4N=Z49gkABJz032WjB~ z|F#WVL*suo#TW6*WhWLt7n(*voQBIRjSh)*8Hau@W_{(~R(gf~7xFP`xXn#7ol7#L zGrjRkX>m)d-dn>1@#Z^8$5wb!V+dA!6cBxU)Ocel@YIMKE|S)xZ?LLxZX zvW2z0$wS`H?~cvNNa^yL>ASKrxZ|bydFAEJAd;?VLT3xY^0CjpnOV@OZf=po!{vML zX8XJ1b?slQ(EJ*R_y=$JBQ8pD_RN%sGx_C>;4ER-5Wngyz%k^OE)1piva^RkYJz%4`{yMnkEjvx8M}V;P#b zCPQr_2>ga{Sqm}>hD}N^X3?%im8Hl_5yhqh8IKiE82-+$95JP|rTj!zTR7MBsAmC% z2_(o3ZHE{VSLbO0za<{74}BTLqH3pW*Ro;rvRM1<5<)iKoiH;-A%s>%K%95X%Vk?i z4|j+5YTz}VT=>CcwUL>l8CURXWu+-=04zKPg$$2)X|+Zj3#%K8C@wZ>)!^O>DalID zT#}DMGUJI-dmE>w=~9){AMU_9P_QfoA0L}|%3Feh<}WH{xCLIg(QBX!o-K*b zU#x=y15UFwBpvj;)C>xHs9>a!YE9-Q&OY7ERZrW)eg~?18q6khA(@!mQv4@oN2A9= zY7_)(cmUE+k*C)eYGxEMl6aLVDN=q_oQFQ^E4xM1=F{#qbRx{gh$Z*Odn??yE|^Y6 z<2Fo?dgUE=a{kA!cC3Mm*l|~i9?3oqG$`9_He&te^^Dk$s6nh;z?1mE%{=oyhXUc= z;55GF-sV+^=?97J-d#m(dx3dhXC5Qbhf<^z?}6>Oo9 zhcr|LTN;^RhzmLL=B)XU058G#D`ipU@Dr2|)B&hE%C+#j=&;5>RkbQ&fcH0K9`E$Gwnm=j^(7cW=V2cQifNZ*{6J< zhH5>6hGkaR(5qiO{qd+fuwbJW-%%mbWRh+waF(6UYp64ebQJi<7;Q}1A4T$ZRLt*s zv!7^Mn$%5|61K&aZ*09eBy#EE%4xpt6o2Df4OzC^Jp&r%L?i5N@pcRzGZ|R}$QtJH zB}79Ng|SIs?%fFSk*sovI_{XfGqr4hx`Jv&y7Uisv>+iQLo+Xxc|82vu?tJk?!x^m3O~h{da)&}E=hil&5@LEYpl>f<2F7BB$%Ty&Jqr-ujS36zJP=czIXG-o6C|m(=EdD zmgl=hZW!|{1^?q44_6+ato1OG5sq6XKmMCUSD}AICG4r!5P?cub9t=0EVEqqzc4;FMR?!j*`UiBs%$?Bw!Q-fYK`CcC@J$C;f z(W7kYhCoV3Q7ELc*2i}&v+D!+ANqiTXQT8|$z5gBwYR&!kdulPOic|$bp&nH?+0Q% zz8t0pu=FeNx!yLm$B)dRts_l4{rC8gTAzql4PRw48@TDo;;24VkilGa1X>+%Ti43} zCUz5b`FPOYUOx}Lf`JdZ(#PRLJ`Da^5hLp{T*qvdr7dQ@lE*CsgV#9N?B?8%X^1T< zcG^`uu4@K&EP`XaIU3^5$TW=tu~>>CYl^uj9%ozJeXs8gaq$m#Ycy*-Rb{x%dr&`g zO*a^FB+9Xy%6X79Bi36#vr05;9J}1#MnupA9OGKwwX{5|n~(M%`r|?o)D+<8niXN{ zddkHl>&v>)dTujbw|$x-cihnHm93F@)J9y6NdK?gzPl*5v5Hg!ghBh*G79zI6UoEB zzM}I&M_1^0(WTV;TSD?)vCsS)cC;YU0^0S`Lh7od;e23k?5@_|QK?*rmuf#j6X zsIYEoynL2KExby5d$Uj$eXKS(*G{q7US)@?wC|@j-fT5~U*UlV z5235CfPKy`mo;vLS2_;g(LLsQ*_XzCLDpw_*)KRcXeJ~jQ$!@oOT}|S|1WjZq~OM= zW8e8Gl*}X1M1DTnMGk5Ao0zBOV~s<_K~}q~KJ)(5$DW%hxlv%oIL#sev9lVH8e_oT`u12?$q`&p{>Ul3JFnPjFJ#CepD6L1b$Rkl zuP#yD{BSMww+5{B8=<;#PI%gZ(C~W25mOa9z1INIO7ylN)&=78`Zw#5+NWXPrWY;e z8%B_msQZzQZ$!KfxUu@T=fA((@tp~oZeJbU?2M#y?Mi%XIql>!L3}BDcEC#ZzQT9= zPRicptx<{$mjCpKGVzPE9js(~D#=`PZs=N*O=!jwRrc;6#=w^t8b_6gR+(MK#;IY^ zPs)ud#3@^KCKZ!Vp{3yd+F!}#{HHB~MW?^;Y0p)hdz8uOAh{eyif}jnL$SZGNn-Tf zsjCK)Q~{hJoQRG*$K zO$w)?&dU2rp0TdRcP+`wAXbr#+Y?`hlLDU!p5sSG3-SobU23LN)IImh39cXZQNQ%mM%p|0f{?KH^yE|y~(WbMB7Sc&s$1;T=n`2ulo z*|Yy~qPYp0SuLKv3{ppn9(=4{N#W9X!^x-o_}$X-nO!%IX4$1#Iw+MrGOk2S9S(J~ zmkC{5FTI-d6UjFj-7z4}n<0~su0tj-BP`@9@IF_)hgOy5Nx{{oqt(#F*VSC>*j>1Q ziRbmBqcPqV4T+>KJZ>mSAD?$kxInACg#QYQ;Z~Bhkr8)m0+>$REJGb!7vOAuNVs{` zTtD^Wmc@W$-_FpwywLQkrwAh>`#F_fWpyzb4R#w#y+avmIh@oqmFxh!X;qn{=#O1v zRHXoB8I`_W#Pc)mW7x;!b_hSklg_*O7JnK#8|M6a9+Kssj~@X?EHEo^32z6UEiX@i zBp$I1PQ}Z@0}D=h31DM!2x9)A*jgZvAJ zM%8v`1M`43pPf65Hww_j&5=f83}b1^@J_U(HGtIpxZg4h?_%OSRb zu&kkwhm$jLWgFin+V||f@(a@TSm9K6cyfbL)%~@+{&oXIAikU$F0;KO=auEPf`jNsp-T1-kB;y?FLtQrl;d0} z1pGUXU)p%FsDDrE!5}P?3-&e74@+gr(*W~W3tfEYsHXpRDeK&l_fA@+Hz8)OG!nk^ zs*Q-pZEyW(yn(Hy1!##1NUW($Yy| zlboU7Ruhknn0|RYNjK|g{2M5k@h~V|_ti88nwnH@<1eikO7qFUp)z^O^&1T*&h)E( zdIqge?T72V(E;9&XxtsE{c{`-^gAV)sdMO#r6hvPGIJ1c8dM90i2vZMTNFJzi}uAQQdGYDF_@?4*uXCP z`@?8)&=nXAz5VC4<-V4{^40pk{RfJa7rWw1la z-IeGGKa(cyB1O0FNR6jJY+T-iPb{HqItEgLDJXYG?BNfX;77LNpojR0+8cF}a*k*D z7TM&Qi=UZai1fCgA7ve-izV_IRk~oiTZ*6%7?eWWbaBa(k9zv~Ez_zrU>y+;8{QoJ zs+4&Vh|?{!r|7Tcr|#oBjU-Cm*XWgcy~q}q|CU}XDM!ySq&XwQQ{D7?0inkyLJ|&+ zC<{dq`s+8Ogx>qysGgq>O-W}`PUUult|)10`V^9l6$zwq90QBHy?tLx>js(n@bBNz zV`I=KtrF9m&P;bzA;jr7F9eezy>>}YZ0<41+D}}fXZ}3(`*+yJ#^Ia0#1HoX_QB25 z^~>~YkaYq#LaWhGMN^aKR|Jb?w&7R)NY^$3Syw^C&8~OXPH+Eid0tzIBCCbn%&H;O zm*p9-x@5)LVPQ%T*uG?YqT1@)o#%P5AbYqSqlG1c&Dfx>!NV)}R3K|FnmOnV9sg8x zS($WQ0&gOBEGL(^WUZxWs=HYmwnjcojpa~0Ne~ydxcI7$R;IE_^Z(HyzXZ!bK_%~J z_q<}#Ee<(l`W72wB&h%VZHvgJxXe`X5sdLrxKS*IFKb&I_Qpq)?8hed48rvk;S0lW&Mfb%8TSEbeWNnEGS59 zeI{PblIsB!y`z-#o|7-{X{tiyxYLT_QB@_6_DqknBiGyu3u^wu!RsX&WHVQ6Y?ihT z=7Yk9hXXym`Y*=j)@Iib(^7hRED20M~9mJGFdVIc|_h1xo1S`ny~Dy)%k6nBH+s z;e8e5oQ9DTYXE@`_p6LLAe8Jjtd&_y`pkQbHT~&G^!ybKgPTn~#1mvsk}P~Ji4W%` z*~+w4rSQ1OWRtsfR#*dhelIBUHGcTL7(^@MWrq6Mdx;&`S(a=)D>T&_-|K^Y%h-9i z6Yf6O0ZEtf0&#E@Rri!DZ0WEAmyPA-yK2h+tcH77Rl+8 z>(<1Zbcfxn>l}#xD1pR3N^p4(Zn|3G?gHxV(f|ts8OSti;TGvwy~8s%dw;Vixgi1=QwTj<{Q-D{uI@PCa38A`oBf41M5g1R`Q( zC(hg&6KutKg^LU=g22wc+lec#KcH0u1=_SuuNrh&Qm@q1ZsS@$V=PFX`fvs{=_A ze-j-IDKXg%eNkaWX6rwC<#}1aT^8RN7C_(3sjnw{Wx71|gzg_?TIA^X$EP!1M(YWI zCuJh#eaI&rXCrbsLPPPx-Yc5e7B>HuznzYTsnJ9Wz8uk&Sk6BoNr#9PkizK-=SvoJ z!m{JpuVW!$2^jjm16H)RQQMTftwa?U-~)YqWG zlZ5h9H%!@JPJtZ*>eZ>BfJFd*p|Zs~!Ut9Q{s!mj z5j;6A=Gt^45~HV2j$&Hw=a{59On+2D(o%1>ct5YjG-QlI6zx`VFI-sgQ9`4Rm{$&P z(Y7v0Caf82UD?W28zZ9@@5utfxi)e15$GO+OeCiU0MubA52#p9?yv+N7}m#AK|X#= z@6417ddCcgq!A(=Z!J zHJT-HUOx#Kn7y7!Yl8V%vnbb!LUSM7Y^wM^QGnue{?lz?bm^@ejxDhm6_d4RXF1mh zPltx!Ff9Bp4C_4=01pm;n^_1k6R=g?qNg%nRsEeBs`^IlQU}+@PSt8kw@^? zl{n#MI~IEFMQW!Cwn5-fz}6Wes6bM1B9uwGiWXF1)!x~g z9}4rI&QC6+dI|JX&M;yDv`>3D>WwlgWXK%iKirWP`FS z^&5J+Aoow-IxgtCe7nuxk7-ez8`RB9r7DmO#F}s?duPh($MW|m{ogDACDywkBWuSA z3-`c^uG9%P$UfPpxo^rX<+vyznP{HheF7NmCiM6fo z*Uzs_F5XYO{SQ#rRb6T4A|rhul2P&I;MJL-h^)WDz;F*=oUFW9$YlbR)bO6_9gKb- z9|Oee9@MJH=$UqENM9{tjl7*FCSBn^M5=TqL4#Quab58el|46pj96c+ClU2^7zJHG zCwUJe@%=X>U?W^>&(YlGqlI!_=K2kPm^r||fvt)v3uyV)Cq5Vnh<{>I2bsfBF%sKK zn6rt7xi!!Z-u+MqfJs+`oBzr>y##EU=Ow<4as1jGfLGSFwbhflNllg62ADNuBJnpL zQ!LuYY;Vt|ZpOq==N3B$;!j^_y^xS`R|wTK<%tUM(yMrtxpheQAb{cgrRj6mosr)y zqi(3pCZvN z3Jb*LrABX=B50a~(vPeG?}R;MpH|V+LQU}Ykd6m5)^Y;+cEI0(;k)qDt;i z!*%mPI(Q%OD5*H89R`}-hJvC4U4!T-dpM6sb?bDTDB8tUf-%AG;K?9 zq+LZ|3u>ie4P+8?DEop|z86j<7dbpE223?0U#9;?(4&**uA7m)RK=p8iK)J{@c*p3 z+y5cZDzTJ8e2JK|OaWeCGFl*$lWUnok6y#zo9D@`aEal#m2_^jbQBL~FT0nO7j5VCT%&jkEk{%wm0DqHY=%^%y@((wg7zM{-Lw?X=Dtv9miPNZd(q+YD)UetRm`6%G`Fc0WyG6B;Bz{{7 zSAm#IJ8VX58cA0?+?-{~OL%nRao=_+Sv>DH7tR*JAYJq@dj4S4?KM*>Yqx|0WR(*W zfc_t$Tn@dEHM>vAKidtt->~>(3l}GF&WFU%I<`PD@BgwUZ(kf{ zM`w2axompV4pC}vW7%k1D`}=Sz9$g>)`i0h+=t)ZzB(!4l=#&7$IDR)LF%=4=f{p~ zd!}gbLmjJV)#W|y)d%I2wLz?Zyt76=E2pZgue-R+y$lGr;@Y(Bq`WEqMqJySxDW`y zx`LejhK5CJtC@Q;GWHHfA9C;Y_h%$Wp#PZ|e*bm>XoxK{w5Nye)@#UEhf8dz1teKu z&~|P7n#Ua59cI5=MKU`$f0%5Lz@QK<%pat*&G{=hW>ABcz^lp8!Ua2TGa#Dld1%7L zVIzw7(U;}W+alJ0kT$>pU9)mYMM377RUZnONyPxTvBGhf+tc&cC6HO2NU)Iw{3bxo zvwg#DoYX%P7d|P1?Mg1;f&!4mD^L2^;3SR)Kf8M!X8Vmdlpg=s?SH(^M)B=aoEk0< zp#3)QbFWNJ?mT?GCk*b2$YC zjCY07!(aVCe^bl+SFER)E>e=9wv|{?CZ;%YmxMBKlHR6>pd|oD*fMlEE)s+mOPE;* zzi{*pw9hk%!i`7ti&ng(2IuC@47~b{+ozwf(rtx{mgQkx^+;pO6QNR;hK5j8Mt~7k zMNoWSUY5Q=nGsAc!g&Vkt6QDbaMJ34Yfc-o=Tq)+~ z7saUa^Mxkc>$F%|An$T=XqPuKvzpP<=S+ZyFXAvDGh5_hGdGdY6C!JVzIR+!Z2GyY ztSjNZYUTLHME+LYBs^*2XV<0$RB7i4_j`GLfPZxi zEcba4H*;Cbk@<@TPiNId6|Fn;G9KAYfJh`F;f)eq*<#;YKO_ap)=m3gFGx~7=RzE= zeZ8Yo&3L8SBLFyKzsagHaQ2^G!Ax%nmGxH>tZM07Hf2fTuQVXRe69V(i>`kW2?#y7EV$nc8cI= zvWH(h)=4fG7^)dlhvlEtK!4{F3L9m5iztEP*V*7DUzj}103G-X4E1GmIq6-9=Wkj(FEPeg4WzFnGyy@2 z&hc?+M@Q`5#%&E#U2hT3hCdJ?A5gs{%lJx@mt56CG9IKW`;r@k#rf+Cy>{yX+*Z&< z_QxV%n~^H(ozit+W_jo8f&mMN7HOV+hkD}<0)-PP-NT;BdkE%)kBqx?wN)!`m7$}CH{Ly(OP z=w-Z|f4;1t(i0=+ZoLTsq~9Ma=)oKy7k1+YQ&)F4R9w<0x6z-(zTYHbq8gLij37Tq z2{FagFPBhx-|?DI^PC|DD$x?Du2Nk$)EdLvUHhj7FxnWbjm z@>Mn%^=mCn+IqN0r}`uiYkx~=^@%Gu35l1mhu};FP*#r1x4qX71OTOo5eQx}69~=5 z=@h0$i(oG^+8p`dId(l6>MiJ&{vDZLd6b_rBTp)M(aQ>~$!w>eGw4i=WTh4qB1RlO zORR(;l9r#q$IBg^TPyAE$2Zs4Gb_9WR97jt8Rr+xN0ZAd#&7rbEDFR>T)1(js}Djc zlq-#lf^{=*E96x1cf0zx&?_YIg%S<}#u92@FJ;--E-+mvH@83ZJKm0u&-2}CkD32| zxtjfCNI6Tz(2zif2f|MSIuv3nY@zo_#r5;&hbvfn_aYH!Cb^sgo>#c`P?u{>*Yb@^ zs0e*~9Wk>Z@QX*S5FdM$l>L5SvUw?D5zjLUGt*Ojl;yGLH|M2 zOCSWuB;RsZSN`-Fa1z=(qt34a9$1^Z0&lF)ChDsN27rZ#SfV;u?=;5`aB~UI;%Xk6 zv3driI5otP*u%^}5#m*_FZzBUITHHf0Y2<>R1_u2VXu_eB@g(LsIKM-CgA( zD-LQ4HJ7##m_6vh{qff>s7K=(EoRL6w&0= zL)^-Kr@#znK|ZARw$SMEV<(E422=+R0B2J43`G6quT{%ialzcYyt_EmsW+Fl&OMDe z4=a>HL!W@d`ChLChm(nY%ngv{Itud~9k1{ZY@g~zXt&WIe$EQ!U zdx0Cm#I$HWj4|-mJ0+UVPJ5*g9yznDp)=2LE;T?7B}h7gK%7)a3whv7C9jWB;c>iQ(d|J9z} z^E1ZSHgcZU#EFg4-V)8oQi~qEh3NSJTY8L>J^VN_FV$IT<6r5&Z)x5Bh7$O!=~6$k z9~~Vc7J-K(vL{ZPjq`_iGI{Sb4+$loC*joewfP`<9^x83rq)02WeOHQRXppJ3tfj}g)v6q6Bp zW<9U<2T>(GUMWQ9frB=z43pAYdGo+!4O;!R{YEgXie_t{-;9-amGY~izSlc`rZtBp z;6b8yxXN%L>{JWKJnq;m0*g07OYpzrQqg~_)Nb}colL_&cBYrZ$8M-C2IAaOfZ&2j zUl~Kr4izefl=8C#pf|{D{ishB>KDKraph2;_Jb{)d#$ z2HpD9Dcoi9=%J_gKxcdCdDvac6Ql9*mQ(7Xk&(oSX{Lhr+k@vPfj|+o-dVJ4@95wK zt~;fTn$)+}m6S^Z&57n1U4>JO?3L+l)u$h(i@6=X$D0MS2zhuP%PqR!gH+~i#{CV9NC>t-T79^M; zC%zKWahx}6OC5vqi3=SCVCiR;j02k!wL0CR_|4F=u8jrNvrQWLctWCp?&40@xd_Q~ zO`w9S8X7jX-lT45ysNOf=3#|JzN2h?J^LL5>}KOYlVeGde^C=6$3@h{_RFN0`{3_h zpL*Iw@2%YQ!N1KWOHq!;z2fJ)*S;_Xk6|7zz;LLKa1RwXyEtY)%fMBYKCxjqe8=TJ z9^jXiG$ve&8sze&vT`ds?cNPdpf~`HtT{WTUQm?1W!#IZ_ z;$GOAzr8Cc<^YGoyf4Aq+dIAov$}vS`4iChhJODJNwy3GHF}>Kl^E}AR=P|`fEKUy zZJwXW{}>v&da+!8{MN#UCY!TG*|abGD|C^t>g(5cfi$fZfO2!M0Pb^jPYG|N`|9ry zmy!F?AAA=+$#(#U1$cX#&X2`ISZvhntRWx}Z~+wxh(6j27+2B3U}C_BG24R!=?g|U zqgjJ)^(9T3Kij9JRR$!#%H9sLo>DK>CQ3?X;84GnFtL!F%^$I63P?FY;v0)l$? zCsw_t_H_{NbMNu!ZgizfuK@zLHf8HH@QfIJYg2CicAc(6|zVkH=)6g3+VX+S zwkN^@fi#D&>wHdE`GoO^Wcnm812(_zu!N8HP0;+2tmE;}G7#(Tg1WIeeNG4H-)-8G z2oQmQu2y`>WY{&;q8f^hkk{cchgvM3AhpWXg^8+OBVoy5v3fni&@|#CBmGB+IP8by zcCz2H6I{w($pTT~Wxz_1N;<0cq6;bSPpHCq5MQrQk94}<(9-%igPU%laK@Zn0WMJN$|l3n(A9pms771 zyN;hAd?Dy*&TJuCjTX~h2=lG-!lPzKdw;iToTOtpU%OcQ9;hhOQiFg!6V@lOE%JKN z16lLP`?s~V_3gVtH-LnA^ugqN*?81Hlf;O0cF0sg;j-U5W2%xbd0Ii~I@9w~1LW7! zg;6r!{+d%Iv43LupmSgMtq4JVc(-7UEjbX2k~PaDDNWrDj>Q)$=9m}Wxa7F@jiu#q zP$=H^O}sr?{nl&2KiHbhpZ$_)8Wh!uDWo+T$8k|M59#j{gz^rY8|hCg%4)QCv$9Yz zchBM*#F~CQ!30`QE3v^`cD}=H*5u9xykDy?9SDU1D zLD*rxU}~w{zH808wdenE#%xh!V5?-GmJ}%9ijqXxn^%6m(-#~ zpgH|CxGDdP7iW$954*i@=v9XeQs#Vxz%MWu&A?X|aT54af2G7rn~50U2PG{PEj-^9Q2|CrAA zErc>o^|KAtD5nmS8>~<4B&)}Xrlw-62e!^mgDl}OgGii2;SM4F@d@{^yvi_e$4<137b;3h#+Grye)wE1OaHnR?@?$2qMs< zbWGTQMosDYcMBeCY->;Y1|-OD0W=$6E1|_@*d)_6Q_~{Axr);9V@kt;2eRbN9#sY2 zRs~Wf-+|yp7%;;;f84vee&>^cN?vU5{n<}T#a4K(5PT2uu6*Y7aK^^-7+Xw(XjWF> z5o<-@>EI%H{l|IsS54bRTb+#MFFpUd*t6m~N2c?qX{T)^f9M9LB^PqJrm4wh$p_s7 z+;CtUTwTdNh4kuz~LMR9L(Oqm%=ELVKy^!odXSR>|x>0QZ23@7&({(>BX3 z+5RFTZ%Xpd$W(rCw(u-`5lrnQCGX{OAopZRA@~nXe;%ypexGY(HB>qOwS`7_E6{^Q zTpoQkRd{VULbKW-pe)msZKz3fu*r>o#qn?lifb4-kMO>&3UoZM0AU)<&xKO%)~$({ z(GLP>wKPwiP5Mbkdh>c(H5Pe7hadIlNy3^0fyfyY$PC4L2d;43?ouE}ECj^q9)5I1 zS3osS#~au)4%R~Z!9^3hJwqdSbw*vS8y8VhU~}kEwt&3#F9H7f1!lb^E6O@Kxp=yQ zE?4qD)>+wAQgOKpX-9v>2j=e|=Uq8Msd_sFi=ug5=*1FsO0FPWlaaFHnX&KGt zE5sK;>Tg4P=a6piF%bIk6DT7r}+VjBV-D%fO*Ey%DlmlT8|NR((k^U%spZF%1+huyjCh4gO@m z2;;!vzop0DtZycx2K}`}T-Zi8%AT1uGeN5K8r|q`$6Gzmz_*n${5tmJnq64nwI8%F z-#_%EMUzY@Bw&NdbxnLIKD>vLL5Siv!JP zbMH--3C#>Z2PKdvHZy2oFw|Fhl!2waoJ__C2m|%6UE4l!fD2V-8`h@41q!heSe(N} zXrpq`Hiz0_um$iU0zG{O_q8~41{#?DNhZ?&bWMfO%`5s(yU*(UI^O3h;n#UHYVe*r z4p|5x`xQ?rsp#u-Cs0nujyGYybe9V3{)CM`3FnQ6I$NYjED1kdzWo5&V8~4&*CCmq zZJ*Kcgyo1s4!;sRSkXlXD4mcri|$Y8s8i4d#Uuq?oVAMx??q!%bo5j&o6b&-mt7x6 z-$ya?N|qd3jJx2qr1Vj!80CQO(n3Mmftl=foP!M{v==Zotf5aCpEhVlpc7%K#$g0N?X zpf2{Ivr^c)>-G7%V9w8%Kw4=it4QK8P1j|BF*J^YCq@s#5}l|QdGFn$oclW?7M)jO1EtXNq(Hx7Q;lc&0F+X7 z8$>%7oIQjaZ2Q*tjGEtaeFEM-BMLj4(ZHvSv<;oVDpaSk%%ZR~*B2*H1~~HYb16SY^21MdKm?4uYjF@ZSVIB=?r`_@@mIh^ zp)ylUL4W{_iVjI}Rj_&fX{o=FM!4mmyxx^tgI+BL60)&z`ExpoT7vZ3eiZ@goMy3q z=Lkrx%mCz@lp5kIOirQuUa?}&xb=J-(iiHwA}`B}!reC1iQ@$N;#8O{k2GtsSU=Er!49ri`RkG{!YwHkB?{D*bFtb+|6gk0Vc)6 zUi?)6W8N!#!y8#)-|t^x*HIh_vQ(Z05o{KXSOAyDi5dP-bd6nlg?UN05S zR9uCtp%=5QZ%0_}B=f}NzwE74lNN_&50Yz2b4~vWH!YlaJRo`ip=Fk&QchjbTT|?_ zCVtNL5fnrZjfhTi@W34Q*G!4l+yeQ`p6S;!jQTjTXr{db`E*$*uifr$zqc!vC0N6Z zj54>7ihln)T(Ah}6U~Bqtcq=TBiPQ~Cz}xKysLM(dmZ9AFF=%NG!vY35ON#?NHz)K zS<*Y~fIemj)OiaR+}_o`bIQ{+*R!9!WuGtMiTS+`rKPWdUNr8>18oPa#3pK&@vYYv zj%%jhs-|(^cYvq?cgK7uW6&L7ktu<=hvBw7T}64t8PF4DZJW;u+@fQuHrsQ8b+cjT z?=NE4z+So8^=U|OWop;>s}IAxyOn&9{{tM=Vf`?p+^X8st4yn_8at&p@5YQ#!kcm` zXRO)Xk&=vo9b_P}oT?rcGFOT=d}BjDb{<>4+d$#ea8 zdCZLn^If`h;(BFrSS;g_$-`V7rqa2Buf`#9fE}d!>MV|v?$G9w(MYsPQ382`1T6kB zvt+O4N(U| zF@JgXSOS)MNkgAk{N?eUd>a74A~6>J_keN@sEpvSuxK04zXB4kPC)j9EfUofq2+Tx zf`@|ojFF0^iCRwX`p}<0fbTGWkI|nDklBO)_snYX7hcM1HCX$S!dn6^h!qeSRRh*c zSph6S3dl?s^c|p+O8)-B7Xq0C?1<|EN&rG<3V8qM+PT74@euPy$Y#!U08%l1xH}zo z5mGRWkYfJQ?pDoai}_QWLxCOo4{wQw|n){oYvd=F?cN z{b9r!n^)ZA-6ILN!6;gqi+L^%TE>u3v_xLCpYj_8POCb~e7{ikfhLR!@6w40F$mX=mHhOn@z7o>G0W^|Ytz5|L6G-$>cfW*-vF9iYO2ZTrMDJu zk^hIOvkHjvjk-OEFp89fA~7IRl8TfxD$*b*-2wu_3?bc!fG8y`Eva-4LrBArQj$Y= z4c&R3@&A42oC~hFfSLD+z1RM&^)3$eT0#P5zlorC#XSxthN+xJdxp*2TJ?XtDklIE zjXLkc{WU9rNp$LigRvE<9NM}?j`UR0`Z{grh8)i1*xy+;jo^$I`m>$`8yu2o+r3Zw zqN=v}IbMXn$kOxd9p-3j$&Oq7BM!8>Zq?T^L#z_gv~5~3mDtuN$o_CcI$FUT6m`@< zEvK5^B~|F2DGU(~1CFK|P^Z&_o7X&AAqotl!vFk{=({fSi+{7Wx3mSgNK=~^7O4Lu zd*DY!nPPumSXkw{)9TZh5$;U@o+xvoC-P*;2q@7s;DcjT^R%0Sk-w^{hM1xD+o;;VHvk<6~pvlQzU{dtqI5vuu0cGGa`4tTK z<;b%+*QrqL{rtkJYx1g%6mJORuTc_m(_Ntwd+HkFYzKL!4jl;R8KK|a zJ+Trp*mV8OWOivvfLs0`V8y{(CEQ`dYEK$ZAXV)4+^DVT)bEXP>GkJl)Z$-FY1&sT z5GtP$&eaBm6*eh?yc9qh_+r(3?(zoQ3D;WpkNR{%XNw(J9e8BobmD0Ky;@wNqz4G z%Y`9}py*XMM*xW)7%semDL|9o!(be{@r=K*8UYqnMZ?clSf-sE9_}>Vnr@hxc|75a zmX#81MshD4Hl!UgtNa^dEdI;m!ATQMuDeMfF10}7ZANT-V2l#-WwE5O8a|0zO?Zdt zl>i`sR>}JnJ<fsg=7oXRkJx`IHM1ovrV!(z_xToZ@NkM2or| z`GT~2zmR_5`(EyoZJ}w3c-XN>b}Ke*V02W*A8Qp(8T|(x~_00~;%Ap#AYSg?8OJ{avR+ zqq*%_)FY2W8fzDAZ-cyH=VNC(q(tJ?ceX1Ut7ZekT;=?w5$O&H-MCf49G0D(J{=DF z$CfyaTtI3fDzB@gdXqp%y(z%8-O&kS4<5YJ=`7fxr;I&mZ@3Qr3o7DxWHD4V-^Q7b zKbohqo6o%*6GcK9*N|D0{4%}qcH6;I!xQ?CyqljAFNOQL*vGS#;t+1Ue-KJJ4}kz3 z*4StY#&$$lmYIZ+Ty4>+m>Ggm(+td1o~}r-0VY6gNIoY`d}wGg7XLH`a-tc3IKy<1 z(3C5c_3*jqz>8rw*6%-Uz7+j9fxpqZy@4ALMq-2}3C!lUs4KL@;hmS3yZTW=J=SQr z%1V1?X)P)@p8r6?$naI|s7SvA)Pun2g{USTT~xrl`73=KE6B}zywBI3t-U%URi&02 z!??I^o0lZPqIk{R=jNr_iU4TZq!{pI)ro*bdH&jnQRGxQbN(e#y`lQKB0TNYnLM%yp6lK>)a+Qjvk8I*(Pkpmsosv*eur1m!^LBxaN6Qb7b!|5kWPeemv0Y7pbYsGZlg>d zOG{UU_Br(xkWM(q->j_Jx)k@^ORNtZ9)@D2ormu)cW2H|nn!=1?O|;MD=YC?<60v} z&9}c|o1RL-XJ6^aA{z4v2N;SR)nC}(lh$93>F=_#F6Z5?p^uqXSvEGaI;^9YN$%uV z>pEHT)h$0r3&`-Eb{y=TU(KoiVv%~0>bhA~G~dBi|Cttkypuo3Wge^7X;5cCAIa72 zPxM|s>M7z+aNs?<@0isP&}XD)Ww8xb@}_$yJe%D8hH|N+XD{+@E5XxN@mlxi^mwpZ z&2`VpsFNdO^!e&A!d^ghr6I)mBndwK-sB9K4V$*aMZJ7ew9iegR5M&~=j0S|!KUGP zwuZM{X#ur-!Ip7Z;}o%AZ~G%{{)Ya@XWQhLBDnCnljX-2f1F(R`z5hO5h#clQ@l^} zgdNOs21J3mhJvYQJI}mdsmeOCNblNf3?AY z=OAId0mNMVuwwQ_4X{gqeRUJa;gWL)BMepSwE7A_XF<(}U)=AiV{oc7;(r`l}1{_b!sXGT9L z9(QsSL*gpAK31~zHfJDf@s^ddVuya4i-XKraEjG@HXFsemJ-gF>XV&|Fv z2D3aF>hWgXSrj$3@NR6pCl25{@=D;7;U;gv?8e2L1WYqtXH%gb$MX8nVn-lKX#Kpx zl3tZ8%*-^gydHwS+F;IkxPUaYTyY~2^t91I7`XpX` z;s}8l6e7!T@f_?yXW%dSt?r{=zl`|Errz}DKNKUpj~sIS#x~9?l9#SA!CJAxlZM=j z_DY0Li@%97F%$p(LZT7K%U#s%IW9;a%OImif$TEj+|H`;j;SvUH!GiUGFs1U0Ncu*5 zo^3E{H4D(|X^r#v>Q}s@amQD?T+Hcc^j%7X8KbrLIy^J)OuIM9ZzV(^{t7NRP{@HS zg{&|!u~il;xyjKQ+6f1P=?Vrto^W}V>Jx6*SxLzrKtF+3I+DC| zF>*jlpvuXOCExG@4zf?a#(N%{19@Bq*eJN>kTOY4|3MAfXYB-jmLf9-l8;gouwTuTQ16* zm~n918MmHp1zYcN;qSvBFbZ{!?lSL6ahU5bod3_phW~H#;eL1-yQ$Z!F1?(25|5L! zUVC~kl_l0<*$L;77l~dfq;k-)s=B+j!Iy{rA?dPeS^ z0@e3*o@ujo51J(IK?c?tjLL0FtZ$*Yz5O0QCboc??1~M*;dymY%r^}^Fg3t=A3i_R zX!~_i_Tf3^1x7Gwa1XCoGo!#uCuiN=W<+(;8CiR>uA9=kb~=NYg`-V8GYVh?Qzw5U z8?lJ%c5`Y|)rX?lo~GktMr?2h3EeRSl#E5Dz{`glEi;*uRH{6LvH4du>fzl-nn~f{ z)MPA?oWIT4Ydd+^$C!osJbzu}eU+^*&mhGL3AYjES69evJ%U@)?P)_wI09{w!S6fA z(*hnGO+M0GVO(v|Yr3KH`XgGvNk-Z|YUEc2HX{PH>&(G7JF6`F-|Zh7h5~s$Ml72s zOrbENm{uoK1=NO(ano%>j*D?Y{vMsn4mb*ax6C1f1j_PB=e_e;g{Sur7{Ntid2+tJRpFGUyAh&)n6~ zh9}WzFlIGE+#Z1BcD$b6dQNxO9>9KcC(HF=Ser1=t%w18%Lu3_yQd(M1PHS?0L#A) zKxtplcB;PO64VHzT&*r#EI~iZ&C$BNp@yCLT>a;vpaRs}kKKDZs4fQSr1U5}ezGOp z8>A}Cz`|B7evm{Zy-pRh7rT5B zaDfrC6wxD&FRiTm`&=EY^q`9-2BZHH2|oC~(8SseE3b$DOI7t>t-< z>}L3LyM^<6cK$Q-G^tA0N4%`z`8YM3!~F;)Y*okvJa(58jycZ;QS@# zvF79DCx^J`%1m(~8&`(Q)znK0AV!Dy`I*LOL%vm)yx3bW!&`6u4TD4+;NlPlysMq3 zPi@4GcZ=#yA7Q~eaM*zT7@ibIfR(|4E9JXr$9*=2&P92E{m!rSPhw}NU-a<-i`Ftx zCG>VM+eliq_Zf~loAcTG%A8rvTW3dpR(!lT01BwFAJT9!0mTm>3NDqobR0xuxod6# z+tF`?HD%Vobx_jM0YQNQSYZ-vy(IzAsPputnt@jI(!6LA65Bl>cmD}BRNE)I(1{mn zjf6|Gt0i(Hd6w-=HhXuhmC;1|N+J-Zd1>aX936|VmR%nfy~n$apfD}yl)AM@cC7-N zDTU?bFDkxrv3UwoG5s~2gWlEEs60BCwn=ij9xf)m*?wa zw~4Ce8{1{*JH;Deg3+=%jLVja?8AAP za)R(-a>w06 zOLKgd4=El~t-yI+BF5iu%4#$BrS5`4_rLq~Uvd-<4zzaL=XaAz@?FtM$B#;Ep#v2C z_j5?zzwggh$sqA<#Uos^QJ}ePS-domtzw=llIRUG8q=RAYwB_%AnZO^hgta!LmGPavrQ2K4Y9jVUePn-#q@TN_5pCQ%8@4q6JmN zt0O>u{-F14ytuCAAOH3_%flCiKb3ee2lhit1*KI!Am0Fq!!%MHLQ17U^` zC@jEf0vPi9`baw{koApSk0}WQn7}s+(lV~$*r1(Bffc(FIDvd#JMEfuM51wVj;4J` zLDB01r1&yEJJ!i|sRH-A(X~B(tk-gfD#l^5hO~wSP}yQ1hVGWYLTmdCYth|tyDB|@ z^o@?nSO{_7pEx#UQ*b0SDGOa(171a-I^$q(TWs^14uTJWhu{m)|5jF3013wi2HIpY zj}@{WM=RU~nFa*S&1{c_UGvGGFtvgMC!l7t`Yu4M(t@WQs;-MXo3$3Tam&PvX?Tg2 z{0INrV2dnY{RP_}OO29Jc@`>vJ`)6ck3XG$4|uaQ@ONhh0bYS5{<*R3;)m4Cv$&gwqV+MZis@8;Op&D`C;Yp<3hk9G`0 z;}fqO9N&$R6cX=ZR6B?r9Mv|pr^RbtQz|zjHsm7Y#&gC(e{DnTrHE&b4@h zRG+)pkvwQXFF+F${aWG?zvDG9sNp@1^EqM3*E^cWPUC?v50IuKQ2f;!9xXKEkxuvn zRi6}qawdg&-Ekuq`trZjMsi2C*1WTn$ejDc~lpMz4jumABa!0Ogbg^xCD78ZW=x(jr93g&efl*#K4Cs#JdX<-Vz|v@i6L8mW;sBF# zwZq~!dn#d{i`{mdfT0Vv={E2B-Lp`|xRY(=wER2g6k$VgD~qj~=5>)mQJ7!WnN5=CJYA2pu|WkVYnQy}TqA#|mf66ePAQ|dA!CCRe7ZR) zxs>ej=ll0FoQUY38-GqDJaLvLJ(m8uefZOzBu#f08yb_H?YuK7w>5eb?k@R|A(-)O z?v%pu#fw4l_*#KUB~xD<+-uj7(PV{#L}Y11lpB3jdiS_iIjc7;%!{w@kf^@#LVCG6 z(rPWnQE&t&Hj2@r1Se^PDh5B4suD7vSKb^Q2^n`7@JhI1fl880s^n=r&dsIiyvq3k zkNqV+yKg`ruPW4~Fdyq4S6g$gFGi0(?FX@MMX*0`+U|FlBPSf@v+|wO^ZSQ0h$4b4 z7j4oB3$7`9jKbO=g0o(-m~?3nR*9>7NL~~x&vNw#Z6a02dUCKQ&u8zY@KLF$%K7~u zrf#db$MYCA9m87*n>_WRlc>E3X6&=~S?HPhg;bk~g*>SZ3}j2ZFQ%`_sj*YqgA8~O zx#42m9Oy%a0uGOj(im;zHtcw;S0b>musN0#d1-t;=^`2qvn}lDw1DX>hSIyuTh)ab z_}JN7d+(xH(1q~~sYQ#kf0kv@v7`{jaOC#R{oLCR!l$bo(e8FmFP$PYRZrW5ptel~ zcfj|1!|vC8X6Uu+zDY^#X*w$DZ?|9Y{~7-k%I5c1vt437gQ7&BIqujAFqBk^$7Wpv#E$lE@00*&uhSzXyMu! z|Gz9ixy`U-AlfttV;ytec=&KEwcLD!bToD>=&Ahj8cO-)N!yshygJ=kn-~V=A4)GV zG5#*g)8?vsYY^c!@{VOv-Q_ub^TF}@(GGU4-`IZtTu$uz4IJI?kI^#9-*VLjX9YO< zSzc8*j;W-ovDopv*ZP=$smdw2iz;Uu+jA`Qg{#s{{4Ij>#-MGg4JLM<>M%yy$CWyF zgzIO$^|qOKI42A;^K?LmI|-~Ks_B(SHg0d*9mkOZTV!6bGf}~{xBae0`0h_0+bgE1 zBi-e=?+xtfYQCWiBUIkK(k)c-kG?O-dF%IM24+re%-_mTe{=}VmAj!_(!=5Ix%u!oR7&5Z~40Lyf2@?-sJb@)Anz0)}%sjDN*cJ3uiV&eR}O^)$b zdpxn*+0JeE?Yq+YgY;NAge~Q{yg!FUCU7Z;@WZRy3O=o^z108E!!QTzkJJJJp>O9_ z<6@FNpV}+x?3mq)xEW<8hYZStq;6)_Rv93wkOyTUXz!^Z|F+(n;rn9tXvdmT2R9e< zw@0f3n4=)EM0$PA;0(ya4;WILvgiFJ#A+>#X&BN%B7XkA8)YZ8>{cy!ZxZbaY~kyf@mdE+A&#Lu%@ z67|t3F7-#4o6T9wg&td911`$ z17(Ug8bd#-tDzv+2{T^QSnqUxW!|26TzAOYau-4T-Kg->a?I!&m%Skul<0U}f{5Pm zo@gAp$G{G=cD@j0QMB)ZOHV)D82xbME82>Ol1VAq9S``Xa4mJ8i88>e7Rvyc)0Nf{ z^ze0IGoY((=V|#+UtCNg`3DpC9zVMhE%#rm;8&>~f5e~I*Exqs@gCb(kov3<(&t;EQ;RD^rs>2 zbxG<;A{DauH>?t7{{FfvUK+XVV6OHk7_H^0+Vx^!Q*w|YIS9IIF5|Ukfnf+n^YBn} zPMnG_tTl>jrHr^pz~xiV>WolJJ|hhAq?J7KDNv3vuIDL|4gJq0wgkhg4dw&)>c@UH z9Ig()_TnLh7q1@0ER%Yl-f*p{s^{&nNYQ5sXdd$SL`m@4dY*5LWl&3c_cPX#lD38F z`TF@8GRqsC$OZoZ);sNrwLQ=Vi2|4RyViy0PEL4By;m%NZdX?0^k0dc@0h7uqTLI8 z;*3Os6jqwDiN|zyD3a_}p}?}#cEPb6SXsfcd7Q%V1)7Pu zmQeZcRI__{Uq9y_$iYkLj;9j)ZuW>`R9h)Gq9VtNsO&=9NL-UWGlBy81SGY$X^}7` z`X)H1AXk|T_~h6>TQHuoi|p^LStj(q3T6n_ldxS;kq$VHPyP7ZCE*(2FmUXhGIQhEMLp$AWXFp?h} zMvr2@lMw<%Z@Px`H&*`r-XHfnTguNydR6HTrp&8nG-sb;&(p|=jWB?QUogz+xQ^^+ zA^e4b!L2{ZqJG)gJI`Dme`%$zoN^Zet&=I3kR^h8TIRN@VpH?XK?rl_dSYQir{JQp}{X-ujwp6C69t$3?6VsF_ zohQf07ZR(qs+f}JXLviCogAH(!${6`>D}}LY_uhImnss201#gsLiP0^Rte`>4qd9n zx4NhL93x6;^07tRG4RUX=`|P2-Gw{-A1gyG?-5GAYsy}iU7@*lE~LtS;V2{+_B@gq z;9+@yr00Dgf7(Afny~XCpo6IWNvC_hi zf6|_A!R5iN$n>0I`J$n(p#JVk>q(8Oj38#MiL?B>79<& zfe22zoih)g!R_TcR8uZ2>Cqkjawnh4g_>4R6G=0R)cA07dn8pK7Lg^ zHH+7x97Hg9Y!sshDOc7+0;{Xls9Ys{e&*2zmsx}hi>O3`+onX{&Vdwc6xQ7ZLoUr* zQ1#mj3N->Zb+{kgiyto?n9mPIBk)V<4eFbRf1i6xwSmo{48Q}j3@uU>!wzh%o$q+ND&zOem5ReU{Nl2 zrE1N8)`25yyRO`or^zk};f1%Ecw5S-%?UPeO7VTpbWZ6e4olRG7m%#wlWqK}6CCHb zm-{-Q4Lb4eAmYm^?(JBfiyj9LH7fQ@4Y7MRc(n;E_IR0)4$-~dN^?0nzV?Vl!~BI# z5ohz(nj6}hEo=qCiu6zD3oqnSEDzqW%4S0MUwk)5XsK7hX`iM-c^; zdlbyGH)EdZ)-~SmFk`Wg%2%p~Um6k|4tNjnf0US_>9FT|5UFh=(*715U3MK_ zsKLWbNgM=2*JGK}1gNNMH*F_%N|2~VFB<7}IkV=6(m@w;_(--prxu;q)6yIq;e{)H z;_dhrBOZ8m;fE3&FrK0=#0aaBD#e|r{J6pcw-;kW)~840kQ))w9NwOJKIcS`&t$!8 zN2_k)JEjxvEPpE9qrOPoT*>E2^@GyDgJo45FhlHdF5iv6;YJ#%WHWhz>^=538QS^W z^E}dQj~80_N?3ErE5918`K=6>MwWLCxzt0?&)>wx#8wn|5aCsx2@S3{v^2GnPonhak7tPSngJ}Is zNzkCHy=ej8qy191G;i4D<%c;*^gFM-OK_C7)y{^{^T5#z<>F`dY$<|EsG~YG>zU)l zdv@gB$+yyvkujVfCR5vBXa1_1hr;u5RFW1xTloL~X>xDnnx;bzZfaBo`gQv?t#>gB zDL1nP-6V#>{k6J0%c=E$|F+mU-#bdLuZKK&HxU>Zh}f+uzsAo$QLQ|%IG?Opm%%G=2J&S8ueS1!~TY_C?w{iS&U?2j5nV*>?c!`8@LGd-rb)#BN2F9Y)fZ5bitV8@8>pM!Bk`gGDU3*Q4AN_NSDe!xDH7ZJ!56cpJy;MWf0B11DCum> zy0g^LNBnbltB5KWVs-9{TIg+}!5b=0wguK2K1qKq52WOtG+>{H?WPGPg|gBHm_G1YTJh zQJd{>`WTehh(*x5vQqJ029EH6$goaiP$%h?b3KF2Ho8*Dz1n&0%6q#@VXa%d?l!pG zaBz88KGB0B4`=3P0dKLO##~Q*dBO`!c27#$j)o^$o(??bL(28_M7)heki)*3a|PX7 zz9Q$Tv0=eUt8fwo2sj6;Y8`bkotNse-zYcr$~>^D|VOBI7=fA(c9GRLslereV9>)`sQafBFkaU zE~yP|q0=qtGF$E2ps4;@w?ArfdN@rcB^@=L;$~e}&x7C9DRFlzL$C8o+rw)g1|gHI zOt$oo`I4S26OUHf(t}UK8W%R(ROe;=*lA5+)HIClHPP>f)zu^>EuU}t&n=++Y&_oT zob5}Q22GXVj|y5RWEo_AG&~Z;o1xX!{{0E%6BRChxZ*qz4r6iv4HdWC)}~9yhUrhF zSLr~_XYHvvT6>!bUD90H_ALku50TGL>^=T$?*6}rA@HdM)Ef6jmyK`@AIi(vNuiY& z?`hpDR`+<5!r%6^@!53)(T}GNUw38HKBc6*2CnbkDX74EJ}||BFq~h1|NiB>C8D_NTyFQE^P~bMA<%Q;g`)XH!ky4WH^*KZqZ@GL zR8`IF<0LU3+r1B$NCA?iC|Jz8>nkZM$s+qM-*8WV^VYiWuH^J%uREt$HrYASa+djr z^G|^J#~W`P9S7zewrN@B)ku|LsOU@|H{KKFR1)o*43HjO6|to^P0GEQb2Mu5sR+*Z zIXJO)swtk>L=TBDsMj4B?1SA8yVHF|5G-K@rpk9;Ob^ued;^l~6<&?YbkN%pg3!=; zjyXE&m6s*jT5T-WxOQq9dYVaTc4)8p$Pz0L8&%^kpF1s9M}4Q?$&;RQQN3JX`tp~7 zt<&rxSZ)=PX8rWS@vJRuc4ipS;cUJyQ|eT|#lK0J#JDgQ`RTzIGi5>*rT5;J`N33S z`KZrrltR+9|F)B%*NxyH99*2f>n{nO(~S;X*GS=v;S4_{B!iHZN4EP_CC$9lsY#-= zo}eQtNBn6~O!-H#8MVY{UDCJ?C{*&U z=-rgd_Kf{*El(ZcmzzZPyq&iAU}+Gq@UN*ek8&kiF|NN=;9bI6a072dH3bKN`Zw=3aQxks@tM#i1{QdkS934IH0_QqsZXRuey!^oH5bOs50>e$f$O7NNw5;_y6~`}*A3uH& zV=!HIz2a9CTKYRLyW{O?H$H_xMR5>9_db6ZD4&=Mnf2C@iA^5hCVS<&04M?0d+Iqx zRuoq6;X#i&-V)9P!_hwKt)yFXB^Q47QN-2fisQR&co&oHUZs-lEzqNmD@BGl!MuYA zUFVwzDO2*y6fFOB;p)==v?gCR(X8F8!$@HZ8Sm4)gDPJ5o8gjJrYhFG60u{8l8AG6%b(VaJkab(4u}IocHq>Q?*E5h9-Q>D@q9j zV3C-JNL>_6Cr<0yZsve;dtcehVLn%5y7F}Rdi+7*L4#lRUwd)j%OTdlqKj%B>>$8onWnP67_f`20+zwBstf3H`>Ye8aY}J0C7|66kNe{Vu0_ zLkK_USHsQwdF&oj4AI*PRnbBiFyC{3?7qK+0j~`SNJ&uv)U=<&D`6mPD6BRz z_h(*E>vr$Zk&tBN6XGl1Wt*HxCR=2qyC5orcvY~KQd!OkaSZk#2PxzE7TxKDi*K)w zToHrZqx#elszgA-XUwBlwze9nv}$k5KXb>kw7yCa4}ZHpyk*k(vGQ$awDkwaR#4`d zjFnC|m0Jm!T%6W722<1&d!B4Pz2v6Q^%ifRp@r()rXP4`1+=GNhN=7aVXsafOHGs7 zu<<=@K%I5R)Rli_uSi+Gjx(ri3W1y`fr82i)mc4K`nIm;D0#IK2V?EL%ha*Zpk;Du z^9s$yHn}g{C?A!n@2GJm!~)wT*ZA*25BW!cf&j;tmaBv6)sxkoi3E14ExNAgN#1yT-+J{}a&49eU z4fWVi9IZZp0Ivo&j*a*$oEZYs7|o9leM=6ES*u?0jR-})k}RDQ=Sm(E;3hy7^oG=lSUhKv;;XETpbp;b2 zz2uZGN>FgiSy_GRpOO-Vqh!*e2R|XQRIDz)Uj|E}cuTkcY!&5|a{SKN#m>cY^z<7{ zmjD5EoiK5uBtvEGI(uCzo#}o7G4TEZ))F643|HjX1^oAK$o8!P5cN0ddb(QQ+7ZQT zJe1q(hbPDLzRIFE0}Q%?kcZ<(=~XAY_vZ>ZGfi2AFZa&R1&lrA1&OsP~zPhh?wU7Gzi_ZneeVQuldm% zOV!oFOR81)%X*;moxtSA8OWsb-sj=&)p+#Z)6xj|_q1?S6I`#cvT2upVM)!p8S$O6 zgRwXNZ)ZoA=))n*1j7}*3_dVYQ32A^mYp*9k;|qYFoMxPkVW8qwwn+2S(|M(_&tOo zBk-AWW5VZ|($u)y+n=F_9lZXEB$W!O`Y?Unpw(z3J7RZuL}_5Hybi81?;G%3rOq_Z z;Eu}t+ccU=`s;6+xKB#*#udHwYaDC^80irDy^te2GnrOSbB4ROT&|bpuGIv$dK<1A z&VT-Js+8pH)0g2D<1;g_EZ3m*VKS8DOwR*%4BM@G=K2VkE#2+t-Wq04ZN`k_Y*H7ZD-4JO(ZB2X5!>n>Cq?=-b2}*J0yA=dZ>>4hAp}@c+(BaHOK{7{z#&1&mI<)OWKkx$IV&*|V$Zl~n@-%oR0| zQBfiH@*X|v`^uJju2^2l`y41b!VNKK*VT}cd^ah{@`!}BG}T5Hp;Rj_}-KGmL{*ZsqSq>6EMXn6@{c}Z~S=G_>-*gdS+Yxx_S zXG9v(JoMZGmZhC#XJ7q9RW+MeKiE|p=uSLyEf?2Yf8B|W z@!IJ7E?XHIXI0|Wsm%Y~`RKQTw|WP|0DmhOau6viN7?Q5FvzN^vZc5!>HW-o-}33E z;wQ=Fo!1RcBfsy;hS{_}>Gh<=UeNaQchU=BJfM-tsIJBZJ8ET9!fzhsGS~NmWdG>M zxIeuzZl}gE$LfpIdR^e^pw^E93}I1zAfb~}2KKrycE)Hu@;;AYzhiyu+)w)_BtM5FPA?`t9L+cto!tP}(ls1QcpV^q_OAn7?Ns5`JXO%MAB1Oz z1Ystu!IjxZNpUYybK@lh!delMD*Tj3rh|f9v%Z>o^0_? zmP5_Qg9;%d?|u!3!^+eGQ9+3?!4Bt|5{9lFZUj8X9#$1;u){-dRfgC!@S!H$>@4LI zyl?(?{B5{o=A-$C;fZ2l_K!Oi-23XRd?e*+cIo|ZV&y}eBEf$(J&thv%=D?DUBdac zIL7LWP18>o9Ok!Ksfg@s5_p34YP!L<6;jYH$MipPSjcn;IJ`Q=>LiF^ecaQ*)H+g^ zi5-0K$tk58$bH~I>#>{-; zTi@?cy*s*lV=-QBPo2z|T(TGDRc^}!2P6`Tkg#(80-v|1Oc2h^nVtA2?JmbD-mJOA zL-w4H4sVQk$#<8o#S}u#wo^W?7gDoRc56Lgaqbp$;;CI>z0MrUXSug7eP?=5M5W7# z>a(hcd7KNw$>}?TN8xm??|fN3rav0gY_?8R*-A(5 z0|Uj?9iXT|??8&oK`o@qrMsVpMd{altX+N9BY3?9`1gCz?R>lEF zlnNA|rt@t+4I5*&QW_fcZ6V+N5Qr)e@>FAgalr7&pyk#42-2#OkZqVum=LowF=d*dAUF*J^&Pit`Y_IpnQddtZJP z;{T{@YrD58{1P$Fr8VQ^6Qb8CAg_QtnmWRjiuFWp)sfC2(3@?eCAR?OSijE90ES)n zm;QJ~X*aTrbufn|Ei40zxR?=XccOSlrTy7)Zn zMUDK$U9lpIc>MyxSDt>$(r^!+o7Q^U|1H91L|SGh|gi;M?K8;?m6cWpzy@ z$rU<};{9W}v)b5au_vrw)7flb)m{9pOmcMpai~>b)YsVedV}XgVQAUunNo+HvoGYb z-;5C66E03>2Nx0ZKR(@YA$xl8@=pCg7pZmK&FQSLwabFS1_5%;pS) zlJR?wN=GZTSV379=ELlI1bjZTU;C2+gzl}Y*MEn@nsyiK{TZ%~E5BuDIhaVfa78$nZAht(waQ zp`g*a+d8msb13NYdEHW3l$iH~gu(Y?JL|fMt?9o{pnVn+;wz8k#xg3#HmZQN}8f>+C5!FA817v*duAGn+c!EhG#EUg;O4Lg6IW+Qtb zPXKPP=LDZXN(0E3PRX||Jp=0N#wZDJm8i!?Ygg^!0dt3mqf6>Dy0ZN8o+p#LK3bXs zK@*I<%tsT(nqd!hC3oAP!FmKA9r>?WT3R*_Xsc}Cb*j($)?K#`!Qz3h zMF(YrZ!%1NdVc1gOjnGC>B!e`NTKtuYEW5;i^~WUbV5*)yuPb;k3fSd&{GYV-`i6~09m1IstB*Xf zI7}~uGygQsF6^|`tPq%V*kBZY_7;i0Jbb42E)kvDJTS>Ism@@wXaiY>3#P7p6hhTAA~5vrA?# znBloIW`X4tF8Xh$@9XsUP)J+}_GZeFqZ#5EJi7O0V?_`rCFYB3>f@AB(^=ltd-V+G zNYHmnXly=>DLr6W$MPDC4SB@af&mQhk?Wk7rK(*~mF?ea`k0kfvegg7l$Q=Sy@II#V7v(Z?k(6wzb-tj)X#Vy< zFCzQl+InLDsPLVNii}t6f77({pV6_ctgknHe*yuv)m~uLw#RvxkZ57t7NQ5bprVi{ z*6v+7d{u`<7(N}NVpS{|N&|$GtHwl;PPYNs`?~Kg?5+`LShTL$g&TlMu^!uIa9tpy zG@r{763!?~MHQL)=e&aBx$bgSm}>cl^G$?=Qz%9Hr1U)>4I_2oSm1Pf#%kNtBRqG1 zF4mE)J&J0&jxkE^6U3b6q40u5mePd8w~BRRx%rr0kOkY{b{T6FV?@xz#W`H!ykuQ? z!hoH1Tf&lobn+T3?KUhz#`oj)>6;)28`S`B0R5+*DrG4qNybLt7BN=J{6AklsI-F55J8l zdMp`9a;c9_Y&Shx zKutIG-j+~J9P?t6k30X~RW~~uB>7diuqo-OWO#o@&JXQ;X_q5;tTquYdASVw3SvMp z(lWC7gTUSW6<-C-@En{!DHDkuBMnkQ;0*v;8dh zW;kprwZ5R2e2WT8H3pne2524i-4;c=P*Tj3e6JoApE1_y;?hB61Udr8RWw%MspUI1v?)>NxtI61wW!dPh{|& zHf6pte`uBHXY}!h0?7OMOE>(d2s0+9Ze&#Er^lx6W)F(4ZUL2)erPBZ+j;tycuA<* z+JUsPRs>A4nLIAZ!orO)?45?xS}G&hMUMxYHfM95K25P z3=<@~7qn@dd{6JIx#`cnQ0LO@dyPexdD2Tth=WLAP5Y~r$JFAy0DWiK-%on+deAHa z_U2F^R>M4T=#twdzDfjvqD++>^(HFnS=(ZMdULVUx*mNK> z4`4fIWxnr)!L1c??+JxzTX)OGn9FSUcRUbcesjX-3f1?Q|F&Z6IRRK8W~Gt*?^7n8 z)LXqr%-kUy0_f5QY(x~JMA1v3Q}lpfr9wS!Y0WWL+XQU zOtqVXf0_Z@$%4)e$s&o9R>`C|@y#Dh!MqdY%k(lD_J5pwb9OO7nsVZp=sBEpG!w5p zpp(#P+;dAwwxh*Y5)3WnsQ>#I=GHq>vdOEg+$RcD3((XK-y|d1056i52LTRN06+wB zB=sM`|G}iJxaeXUfUZ>dlh^F#j`Pn@N{KDI%*F(K=!BGIbsOUK|tLN0-njvla z)ANrP$uG9f&i(46_~C8y%{^jC43ZMx7v=+9Q^o}*tJ$-;Jx*NO1^=Dm|A(ft42yF6 z+V~KPQ2)t}5g7D7GeL@^!?TL{3g%tMnbgJWqiR`;X z%Yy8b>dd$<%U)XArtS^f;-3s;TjpAN}pq-J5qx zQXg!iDW;#Er_!46X@Z1h*K)0yM{S=j0;IIMhR6B8aE#0%wl58ouD!{QQ%f~ZS z5T!dC<0x1@c+435c+mJgw6?%!uld0?v+(nj;K%ogBn8jP_FTvW=fck;whpy`tpmbI z!9MiSxm_1Az*F3JI~Ms2xWJ~^_vtu9%WGwpOlLFD@fi!s3`9qX2m6Z1WI>yews5Ra zF?`gQ^6_3yAM8@!pEbLEC?i^pz7-cVG3hVHbFMjJE(y|cmZRD(MNt0}Ryh8h%=veu zglu#rE1qBU=lN!5QFu%GKkJ{Eh$$g-$~GZsSzTLeMt>d+K9pz?yP?t7TH3?oVD~QJ zJz!2DT1e~A8`a5OU7zg@p|0;KqNX_+bF2zqcoFe+9*1nA+Nw3iCC z<$9go;0gva^fX$C7RtT$$Ec=YPBF~)1^j%GT5?O8BB#|hk@WHIJqM;0vx^y^`ga80 zAd{RJFwy4r)e?izl4I6l)80bgN6^s48fKUqfF>@j!i5FYif?Owadsw0^%W&6TLJEY z-k^8iC_#0AW6PhI^|Ct0AL1=J{Z?;6EwV#)J$dg(xa0Q=yEVr1)0~>VRtD-)cuJ0R zY)rb3&2PAg=Iuoao4~}N65GTr^TN)$4X6rK1&*ZVy@@d}ToAa5BOyYCYP=PjJoJ2$ z)@7{jXv0v}J5g^xs_#F{J;4)3EtaUjJ}L5du_MUxQBR9PTx|b4@nii3#YhbKbgUdqMnoEinj*Jv($4Q^2+bl4(qw&j#nCa*CD^lmU zyN>Uhg0QrLjW=wGQciu zQa<%#{v_suE?F__3!h-k3m?nAvvO|{7-EKr@`;yq+AP>Q{%g^5eB|FvX`@U-vyF`v6^fyr zS4v~R<6Vy(1>!W=rZGTNyy97m^`-93kOS<}wf{=?gM;Y35v0B6$_~`j>^>V8-CLRo z+HrqS3jNG_B2)n^2_a`2$yoQVd}}NqRfCRz;81sS6s%3%EK}Q`1HCfvkNs0f3u@e8 zT_&s#veDSrxf5fd6lHLe^pxU4_^8Nw{lMP>rMR;~J?(Rg2chzdfSPom zW!`EpVf6zjMty<4aDmg#W3=s&nGgH2k$0gF;1BhIDUZSL44jCcrqQKIIQF+Pa|NFe zUtuvwn#EnGSWdLEZ{ht@G0{j$vd3{72%mxKcg60^wMXOv2POcZejs0hpe+pUpCs)0 z3GG1s&AVc04b%AyZ1qRKewj(Azg)g3O9yz`z5#>f+pcB+l3QNn#Ku6&;Acz|fNi6h zK@x*=lf%qh`ctjk5ACsQGp2=A4Nrs&99{}9$CYr?igdQ{6h96@Ca>+DrL(JV&3wd> zLZ6OWW_LzRZ{K-++wJ*_sGmXtBcj{mB@cnCkk3qF_F7vM)59YPbfhilUX6m+iY$ti zca4JI3=iDyJd26+!2Sa*Ir?aeWs>Kfe`lq`U%qxDbQ{PtKy0jQQ&Yb}I)==aU>+T@ zgE)Rs^c;Iy#hGmgwJ_9Z-xvplU8q;5)q#oU`yMb!sEidb#*H5WvXpn`>b*12q?vp|F(!C4U+868f+!T)*Fs$%J0*Kb(}i_*Y!+vR6hZ1D=TL`$TCt?5vdb!j?}2?aM_l-D4O}tgYOh=>Ik_+3-G(?i(mt zc5%xguMFjfmqHiEV!Tx|j)5_JLlwoS{l(Ak0c81(viXL^H=zO`Gs%&n9=7NGtiIAu zJ}{E1#xi~1zWI7S*6}%Oub0c;VM{tnqYBxxR>WuezN7dW~D_$y;AnPqdBWjZg?kShvxWS)<8G4usrHM-i*~ zTan(omY@4F7MO#;4E;=fp<$iR`noIR2;v2zF^L{Eaj^0r&(J`T_`hGW>P ziybu}Z(Z9=#h~=?B{1Byoi__O^_IIw;_|z52teQY+}fHcA(6AMw}cT_NkAdI^^ig4 zlRxa5ZMoY8tMyBwC*reBL~2$RHJBhG-uUZ52wXe{P~J%3WQb7w=*Y~)c|1Yh@_p>g z=H|*1EbiWkj)Fb`Y91FF0YDd-Ey12sGGgGHB0*EYxWut3Z`LIvqdTL9vA?}}^_u1A z`@0-v;`bbU89ugYI88WA%zSXaV`kwS3rv^kyqvz-$4x(jHC28;#<%66-nS^znu_ve z)UIS;w^dPejUaZ@V1v%v#p3QgMjRB~+q4@+P{jokn2l>pb4&@qNs7mP#n)IgT6-jZ ze`!K~7-TnW2^2f9h+O4eOrQEw@brN)h2Y%Ynm4f`hrLr*(wisX;CvJmWJo2J#9cES zRw{9pQ}v9^&|o4}sZH!6!aMV?A3Zq2(e!a75T%x_@~wT9EWA5~++ukN#419YcREHP$j; zq@+u(H_)hF7DhV8;Elhsc1(e3xTovF2Cg5siEKf0aMpzt9(UoC^gR@7DhNi4?#}Gu z81-$O{P)m@23V7<2(eZB%=p%bXJm9VXnENbFci6ORW{aNrh@Mq?B^k7N|sjW$>d4K z=I4L>8W7{Lv8IyWQ`6HiK-2zGQj*eSzV+6D5N(QDD!XQ_zYqs719cqUqIAFx7d{LC zbA$3a3@rTpvh+4xUD3q!j~0#(Rn80Ld#V}JUb&ieAS6^0P$1N++enH&kpp)5M90T3 zfnEkiguy1G5um2|Q1HH;+nwtn=hmY_6SocyJ+r!uJ&dbWj=5k(n+_oAEQUeoG{kR_ zPy)=Ux~L6V!z=q?IgZqClz%sLK-pO-PIrH$aXfEt@Zk((L~Q)A`W|r~_n9-TXg~JM zlJo;Rdqh{GZ!z!l+iH&eO+0a1)xQ*lAO4_umA5+{mWx9j4Gd$+GHMgss%-t(Kd)^j zTxWm$vFp2H0wZ(}fBFlG1gjx#!2NQ%!D!F8S=WlswbF$M6-0zt53QZGNHGq9?rkmZb%E;QR_NC5=` z(CsH$Cb$su`#`q!0CQ?|vFsP@Y6sviKqPX|UDkQ}RD6AlKV~1S^dNMaI#L63(^%R^ z()9v#rt-~F>WaS&HT@b6s22Y2G(IK{98m=Meh(*YY*vQ8y>r&4Hk}lkS`~BOneZrQ zEfYY!f6MWu5D%$0w|kZ&SB5e|<$zS$WBj24`SoTnom5v31|GO=7p3ImZ3)r3G@x#r zrq4*h3^AJR)4#c9!hqbIp>|rrkcSiKKLE);z5p|oBX{;&NeUcYUTsBc{P8o+5vn8!7e_Say2m_*Pkn0^Yf*Qy&*Iz67$qem@H^&%|~oZ2u9-BS8bgYHhKo` zbf7=!Q%~wfW$+GqWetq1mITnp%%WT25;MwvfbwGdha6;xGRmm zeLxTU!ofilJ!+=kR+^TdPdW18!XFHvvEdL@)_Tk7d}c~M zz7YUK%v`Ld*xE4K#6Hpg^Q(&6Y7&!8^H{jIAQ*iPfIo+sf=%1FJq6VT{QiZT$ zy_T-~)+ak@9$e2@F!s{0?!v6b&-8ZgW`5Q@Fn(*V;PFXncWtBq29+dv!5mY$x8GH@ zX9qta18obiBZz<&gn@1fgIeCR>0D2M{iz@lgB-Mog5Yx|;^PZx$kWc=oAp6~)Dh5G zWDL~lv|0UbJv8uixmNPk9#DS7tT|Yak&Tm=7y;kzNRvp1#l2kjN4zQ3fLpa14t+nP zm{hz|)|GuIDrIL@zwS=7QLs*ZVAe|u68>lIK^UG8HFOo>q*Zi%$JiS^}q)E%5h zxsUR^syPoA$e3~BdYi)gpD>&7uK|OEM?F7>D|kmSoq)Y?WrVnB?q}eS1Gd*wP1y0x{Wtsri(ddn}f&Os^CfshTsU5v5UZn=-Yhv!SjO*mq734L*j=<*M8DF45*3gD3 zfi@lxZFkzjcBH0%Sll4(sF&I!h(T5*r|x9=t(B>n=0Lfc>db8Tk~b#79~kq02t5%Z2v!hj+Qi~ z$RAQpbV_`gZtbzh*p9KOw5=r4eVSR2-ApO$Js%a!cW(9ECJO5Eg8JxBr~nac+r2XW zW$V_yz6~$3mAb8zBP~ZM4px*q!NDifLU?ug>f8VUhrt8Q!oHKEd#rSYn}F$Qj_$-0 zKzqCTuqc`&KSpk8zL2-6aN21C7!=L$NLT~-EwzB(ocK9KCCwx9sM!;H+PC7?)?^Ub z(3e1#=9WhxSsCTu5kSxc5~5Gk^PSj6pERfZ)xi6r|7QVEGPkI^9Nl7`b>b1JM7&ZI z`s$QP`{;`{74x_($TdKih#1V|5o}GoX7&!>fl|GO`e_b6LR?@P^+3n6TB%uqXI}fc zi0$@T8t2=c`228{is@T0;h^t#z~;fy>HWhL>t2e^^2PJA9Ob#Tt#cnGsQYk}VDkE2 zV4Lp9^5*!%{~EqO{{L7~TieHdY>6FZ)Lx4c1@BZ_Z+&GIU_chF!wox+mZ(XrZq9E$ z9T3cER2`dt71&}V2`-WR-UZg;eE{OEzDw|N|66Y$3x=V^6M&1ot2~?ntiiLe_Ce!8WfFdRhk)G#@Yi7t=?eEiRf+?dy}p1akbcvuJQOTkYyx%| z6%>9+Ved%O5O8mS%VB*3*yN8DR1Z&_07FOvDgs)29h+4yzU7jt(#Fc-v+kjSx+xs0 z&9SW&pNR~d6TT0I@md6v+Xqc^#^vQY&U17kCzDFtPTQQ1LW9vX8`u#g1KL#1{LH~I zHx?CX48w0*ub&|=p90YkkguNrN{p^#(ewla)Gy>bQ-&-pyxbuF1#BsvX+^h3)6`cm87XkoPfIT(E34)Tu?G8wG4${RGaY26=4AS|Gy4iq{N7gbzzTaA^ zj(MW%x?AR^^x?ljId#(K2ym|J2FWEHq%wTvy*45>IoU=5d@_iRnqSDlj1zzWVez~> zQVB!`D+(35>RIkaC2u|uhBQ+wMZQns*}BB~ii-qdEofAw(GP7U#u>nwfhFd3A!*WO zgcsWNBqhQ&x}xtjS5itHPdofuTlc`d;Ll|?Y?zsEjqyh{T=UD?w|t9}j?ZOkWT1K@ z1bY%Mzm%bnfY)OP`ix!BmPieUimH2I-Y-`x&Cs8exEnzljiSf`5LjW_iDCOd@T| z-|$s2?SjHVnq{onhp!W?vW8PO+#g+H?{M`A0G&da zgV6JabA?@RV=smTG&R}`PU=CPz{i_w2k=w7fwVy;5G1zIB_mw9$JqEc7hWI9u=6r& z7$nW|IvazDk;u^T{P;Nas|cuw-dc|EJHaVc&lgqcO? z^3`Oud6zZ3c;8~f+o8KwfKQ`fducRc1$tZhnbf4ug%1kl4j3i|W&g%&G9TsMFtreid&MNHx--j;;D?aMR!NJMKl;f<()563w*A=T9XYd zEWd7JxDnb|r-2aBOp*u5{mV6A@cX^+PYu7;xaL6n>WJ0;Ryu8Um(yKFaJu1xt%)(^ zn4SrpEHk$SEj80|QStN)V?R^|^-5b3WJbW%oKkXx2bdu$0e?XJ0=t`4$Zom*_e>1h z@Ic$X&Qlv=k+;?)eT71~5%X$*a*;qAe3gyDmJ5GcrVl+1_AU4hLcuXa-cMnA@J&h_YCyN=9Pw6Vg|17M1E6K)LmYxsQ zpRUluygL}T=kGpQDE=)chW297Ai7zydi9Y5ci{_9U&{Dx*`H#=!+b_pK&eZq@p$5g zrHoC2_w7zjF7+3178q8_K=)&D@m5!jFl$?t$JIzk5l4SK!`G7SUr9%_zqz>R)4MqK zY^wFx04ys#*idQA<1SzY3D93NSAnX5NN3^qn#Le;g94&^yjca3II3(G+XVpKI@}c2 zoNLW7C(ftT_IopEjp1f_b|i-MR#pOR4I1G~DcLGoo-<0r509|{VLTN)k(=*2OTv97 ziX9!OUx2EsvN8goUmOr4rilu>Hgp}cZxjY9aRSwJE&pT3U!vGx8{wPuE_cy>gx_2{ zOVeyb;1}UrVRKsaL+zSja+}aRnoUIPd0CyDB>MCL`AdiYii+AmUsu*5_JfUQ9Ck+lXXw<#5As+Hq6gq`sx?sSEUwThp11AK;N)8f0)j0c8wC ziSdImoYZ|%q@@4xF#~!NXV=?oW+7SCB-XolNkcXIR|*KVCYtjOONTk&?{PZEmm=O6yB6v{1Aox zcg@=yuXj{+l(Er>SSa-gh$YraS_jHUw7*TZzp%VFJttFcmP zkqPe#g2L`!#WIC2l)0PYYLsJ}0N~pITzP{koN^Ao<0l->nMb;jinw^UwuXG46L#O@ z$z>&TGCO*F7Jc1a_f&jXthdttNbIDel>RJrMf(C_m=vbjDLYPC*R*4f)S4X5J1an_ zx;?rdV7sZWkpu)0P>?Z`b@HP-GXjEdUH74_u=}86aso~!n=sy2dIqqD2TBgVmTjm1 zssmMiA!<`4>Sr#z+tGBa-w1Spr=e>^r_C^zhIIeF2y(wdPdv!x5j*>@i4sdnxoP&5`KRM6-;5&w;KHT{AeL zqyX;p@xWnM7Y7K7e48gM4WQixA+GSI?szAHjT+^&bRgTb0J?pkzyB9wIkr(@c5vVl zsZ*NqT4}JR^%WnGfM_9#VjzJz<$x%+_w2BK%ZuX&qx!z#ocQ^syL}EKG4Y;>q2`c$ zlv`||)JDycQdtVCbl=mtl-IWnEb!g+ zKd}>c2oL0{TjCo3I)r@H3sDl;tFzgu@g#r%xG=D4`}`T6?=Lo)*QN!0K_G-%5eV4# zHpd-cvOh5Zz=3|Q4T?08G?3-Mvv#g$j=dNO)%=*Ubr7J8`18GRd;?Zb6uvTMS>;~% zV0T~-u0JPGk~~5GQD7&5VEx$r)0efKmnM^?H1hC-x4Af1$QmSdJ9m#fdCH_0w-JUsBz6>F4__^c+Me-n194hg;#Y9&>_ z)Qh!;gjjRD+wBTiD6g*S%D}l{hn431&^AN9V??@?+r}(-ZL5<_8)$@I4PMv}$$XnT z-1+(+<`aka&mmof3|vCFDT2{ckfZfbMJ^~O0g9KY)GEP3$#=&hq zK9aF=_dCjy9qt-;b21l-*ecm!ht%{kSZ6Fll%qu>{J{(EP^z&Ikf#J%9Q4bjSCALdRKtENpwM!Y zp7S7HaS{#RmW3DZNb1urNPsW^uebsG?P9P={nE|^|yJj=0~)D zq`lk)Q%`MHF31;R3m+1}k(EDb^rYJyzvo8ge7|F2mH>eOk-q_b`yem%hW`qr{xVaE zb$%?uYdn1$f}Wt4{fP{r6ojc})Dnrra&6Cb22Bs?rz$b}Y<#_x@Vr^uQ8^2ZMqMSD zmUU5c|Lo zv-Jh6oR;2;0TVPytcEkuh6v{a(uE96l0z?QQtRu*q38-0IIvWgA29&)iI{J;0ww^} zaW4_YkAp`s*0=iOLbw1Fh&IJOyKSGL09A>RkeHFxmnEK}6Vn!{Ezy3tnS=LT!8pjIm>7exV7T&qUi!`9EN{Bd*ktkda-imgyamXnfobb*M z*4(KaxMn(<72`y3OcAiAxadIbVuy9CzQoolmLPa5IRyeGJmSJ?0&lE zKUIpnKcK``TKQbGZ%d?rN3BDr88mi&JtK2X)GG{OsS7iFo6Sw&U;PHNdyi$16#H77 zU7ay>9iNG@_)OFBwjoMyy}@|=Ps4{mj&%8SFORT(VxN%X0Im>9%-wLyq$T-RpWUoX zgMUsZjSGS@K(ySHKk@X3uGDQmzZ?3%P7DH+!!oYWiK(Li2~f4Dfle4hpbp5{H|isS z;z^rPrymo4ijViJx3g>&ZKhbbfVw~MhfhQk`0Q|$4OqGpja4BGuf3w^5%I8JBeZ=X zKr{{7xhG~Om_0l=BJ`2=sNT5#r#3^l4E`W@SL|iXw6R77Qr@1d^QNnSu5?wgNZF15G2I=Qdpk+@*v4NLZ7POWcIaThlW; zQ~2G&he4s1S0mlC-XtQwIw7ct*dpe8C~%s{$3y#9@Vg`NoWLUTDuKjUi9; zLQU!ydy6jF>D3fV$L4@Qpoy!*@`aaKl21pRO)hq*8&`%eps3n@n-2dTvl!fn}q?xX6}mc+2WJ%YRx@<=vO_V0^zl<)xxYk&`q`ag%+-d}+S*&-WdnIG z)aBx{2WxaC=TXG&S0A!|lywo08V^&1o#m=)tG+43CqnL{qRJI+IIPNg(i?6lPRti> zE26{#fN837-RBOLZx)S~Y>3%>eATKy!8U=QSvAH$%YhItG&u-NL$Di}{Hd+%gB)3V zzm9N#kN zy_kbQJvW4IXEg@r_S)(KI-=Ckvx+VTn0uNB9pxS#_rCDe;<9|c1e5s7gf{9c9_3Sg z^d6<~T`TOm8yl3ZNO-yGksH@!se;Y#%@t$jau0X2-L(dt%z@$<@sYs9dG^rIG+Ui{DWL2?uZVgBhV^5BBE@8mdHZKA;+4_rO|SG_j0GO~cUlu}R_3ZF?W zTBUM-ku2^re!zIKZ0FNfJgRBt!P7IXxb#-}_euCZMwVMoZnj*O+X>zaQ%QR_ zIWenP(g9&|xNUY_Yfl?a`^X)^E{$_Z2GQLE_f6lnesJ1==mp?QHGh%hjx?x`ke+r5 zBU-(xU1r4G)aTjWBE2uT5RT5i+bpQR;)vm)3tzDKZ~oL$99<%ZOZYO!BnRwYm-VH+ zZM5`U)$|xafhkuYEj1~M(04!prsj9ldnWF5lJ$|1CbP402kAiVJXZK;YpL#0RT9=C zSUby!0LO{viM4j_R4f1JMGV6H{OmTy^mOt`bvm7l3U~tG&`jreWxYf3Mm=MR z{qw5twaMb+TUNSyaPx)Ox&s?&<_wNy_Zix{dl{Xd&;6=M>-6HB$Rtp4$MIa<2q4@B0N-KZNmh*w8cKA^#GKIyk5xA=;a zkOCkYFw}o%!HM*_K%Y!^dr}8GY2f56@M-6p##Bj72tAO|h<;^nuua)XyrJ~x#GMWG zSy(Qhi0O?!sD?0wd1)^oCeWT^6di`YJ-b5BGv5rzgR=` zYsV87|4I|^7wF3K0P8j=1(9g3L3d_frDMd8k+oG)Sm*Y^(?+1K&jise@t!(md4?3X z_1auMLO%zN|AKn(7U{Du)fe*@d!w|P|}QT=sm@&(CyO|D4Y6iTlM+yk~S4uU$K8jm+#Ovz%i8}v_1hFLvrkM1c}<^0;# zk%<=KAhL@6lGqMGpHjfZs=k|KKu6Uq>p8fN`NbAmO3i73ZxV`!C}zV{A?Ye5(t>vCL~4MZamX z7hBdhd<-kvtlQqgx0=Xy$iB4)ds6vRep8cOOZ3(FSl;as$)H>K(+O)|lQn_z{V`}B z)^E-SJc`cOly4v%R{r1-93xNg`o*Dl&I1hb2C1OV61%iQ#kDi+ z%3g`o5Y~IyP3`089D>_nA!M3u$jQm=HqqCu5wXO)?ru1p#-tNKrT2?R$(b}t^@`Y>2$ zSv7CBf~XL^g%W0BHUjC2Fgyo?M^r%Bikj+g5WG9;7{grmNOZLz+@O&kH)MOw^`lFd+!A+R z297>2gYSgsjkQ;sMkVei>rT4K<)=?rDFtsW zJFsU9nylf=uTl>A6)$&38)m*BMSu5B7W9iy-oK0Pr2ZGT4W=mGFS3x{qO3lb+A=DX zB2If#tm>U}$5zkkLkWWNQe@5dsM9k!|$23^=E){ z<-}6&iIc&6LhPmfKqeHFHd-!e&rNxBcbQ=Cbj}rB{(jN=Go=1=u*%j@VS?}~ly&wc zht-EKN_4^Hh=!+>(s-t-WPTGdWsCma4hw0%r8Yyd>#uFhsRlhE$NbEfDo~PcE~|y2 zO6H`Q5etn7ZJC?D0?hf62G@hd=s{FKV<@0qTyz=-xJf}|1WHO$l9lh3@RyvMxhu9% z{7-prF1e;Qah6Bh4C8X8)JVzfwpLF$7?nPJ9K#wq^c=g`=6V{sFg??~y|pB)B?j?# zZ-07sP5hJ8cjop&gIis(>~ejv2Fe4GIZ}b0g>0&kF;}9Rg=`td9ZE`pjJRGBkHjJL zk1!%>A4Lq!c}bR+3m;ss=4P!YC)Wsj(J!7<)JB-{8&g^X?de#s0=l1FkvXa?Uucuq0f@I@g#WO9$?#PI?y96p3ey#gi z%e`%B_XDX199JA7s!1vvZyX$=>qKz}2YezJ(xQEb5GIBLmQgW9QYTXS%r&hLoh6x% z^a3wM08dy;5YMNY1P_0A?yv0fLk;dz04n7oo& zR!02s4hflh;|cGbCM14uoXQO+JY2F^w?X(Wb`2P+c!59|UTZE{{GxV#=5jwki^{&y z&nMCLgdFlI1s?X8;k@{%T4zHd$n#O|$9Ubx{%+UUrmgR02K(91p?)rgnR)Xwd%xR0 zl!=2Co&|`FK4C!Xdi&gL&tgJ}s30l6@cbE7h*NEPR44HT&-D-JwiI_ zmo(WfWl6;DS*2VA%4+=glaku*VtYqiW^+Zy34*Q<1EAt&{W}B?fLiRcyi6g9%uidm zldgnHToJVtQj9+FHk3liLi@*$MDCTI%-5fkXc+IGitg;oYGZ!G?h&+4A4+lDatBMh zt}&_0mbxARhV8p+_D@u@B3J1l zu&Y>CuB|U!uM%ua4rtz+(4UKhP!thBY?ix+R<~+Gxb+eQGg=ghlLYgS2jPDEicjgf zgQys-qF_k^yiXX{RQ7qJ_jG%CD{~2(p*>rWTNLwkKbPMfgn0g*T|R4L%od`*Ap(JL z;JL(+Y0Wlx`-t>6625NJaT#Et&RsQ~yW6f}vTp6cEwyEaAjPhJ7a7>PNK_n;5Fzjm z3NkV%5-qo1yJKd~L=ae(rK;njn&C)P!O|+u<#E2jHSDXcGoz+e>rVCO5Br&KMLs+E zAI~RBSY3T5SF3rv9kNPyGkgXEMN?6>Io*pvJel-}(fit+i$*HedoOIL1U+RZeQg#Q zAiNbnDoRl#NtS5_;+onl~ud@aIQlW_JFK zq%iYnoljJ*Q+bhwhMk&AFFR9ft~5SN?Ud&KKMU~DDH$JTkB!KAAjmEaAWWT*3o zOL`Lh!YeB%Te%Mg;x(!=2XjvZJ>3B|vXClF1EY?A5dW!|tq2K?`JUs`>&35z&khUB z=EG;&_P$=NiL5(5FezJULDCxf%Ka{Mp+iiIY}Sz%A?zL6vwH(}VU5Qrcy2F@ZD zn8Y~m!Og_VI#}W|8lrI-ROSC-;S6JbQ75In1DNixy)K>UFLo=(pT3=*R-5+9?Q!3~ zsnK^!Q(7N7QQf^FaCPG}j}d5pa9TF-Z6vDA=km*!u&7gV1F<7_8So@*W@neZ@I{1d zAYNq8T*WcOi~9$Y*vauZ*P>-I#G&+GL-niS9;p`3JcyZUG26ndNu6<^`mJn|<{RsD zb;jsZTz9;9JM>(yDuLYYuk?inf29bEaj{RpE#Bfe4oDoHjf~H?g zQoIO);f!Zv1F!*<6#fD(`_>@Rp!Bj|w(Lyt8%*HESKq1+eL1OxW|=L2+h>(`lhv^s`?o2%>_4FjWzqx8DO z#rMw(Zld6w_M+hZfLaZQ-fsO;MO`+z-@DN`Ffxc*TJ;_DPfd9P`w#-Qe{t>x>r~@|JC?jQf8QfB(!T%O zld8+R9!fmX>g@6fsauPf0u|%bcava+7xBC^*x(QU`Qt4Y_W=SaF3k&EG>Ri#P@Ff_ z73I1*pGkPbCP3=+Zp(fBu%pi33T~Uskxw*C%kA-$V z-T@Y#64un?YBn$a6*7YjS&;m!~BHe_C&7+)$`vuw_X44m$sbIRv$YsAGPVa zh1$~{u+xhQznhc@Z}KBVwHBM!1>+LG{hqyn3DQX)5K+4_4X)h>Yv-SWa-`{?@XTpR z)YL7E+C6@Ssk&bTS{8kz=6~kNc{~}M>Yv)5YrwG7xiELW!a(8m`Y!g>nJhB_Ff?5% zBjXDO>YXhYB&-t!wj7wBWDV~2B%TO3f52NG57-h^o#Q#U3a7#AQ@|?I>D%!~*KtD5 zh=yAyYU^9;hg>YHYRPfnkDD5;K^uYmOCdbT?SKl1yq}Lp92995eU@_a5hs~C* zwqj%X=fVL=wEd=YPDZ`%SjeO76M&6i|H{_P3!d=v>i|I|N^{MDOdz2dWFYMnF(x01 zz)DIM1_lf70%V6ShNUv^8j_N~^AMDe1YL2SA-^RVj`8<{b)T@p_RjYaV^6}zu8vl= zs;04B`R|Cz#LM6}I}I~^4mHGl7DYr{wi&f|bo@c$SyEtL6R5pr|7yZRfUgIB`5Pmi z_d)9mk``9()ZX#$;hqbk^YBpLJsi5hCuW)keY-4VO@!!)W|4}2oQaPBGJuG5_!Zc_ zDY#OH8_{KWPiZt$oio)-D|PSgNJ00!^h6g4&*{WMGU z!(GD#j7udiQTGqEq1(>|g2}Dsy=SVX_g=qyyBRQUkK&_wQ`LJDoP{%#hqoYrpf7>G zeH(rF=j3d$84M07L%e>`DI^|O4g8TvFvdy4iQN#tbOzfHZb4=neI)^(EL0kd^(_ zKjB8P(znx;EDCQJ&dP6T4va4IB9p{Y!<@BPTC9Fvta@xMlNR=d1!Uh@wN2N+UusU^ zSO?62|L4cy%ok^76m5X4#I31OSAO%xZ*cHq=C6koRr#JZyOVZsNd)2+3#+u$)ds$m z3BK&m9^0Egk4!W8Oi$$IxT!M@M4J%@^P}C3y?q3VZHGBKZtY9w;XTJM+rA46ht2IT z4Uvmh5`t(tG5})WA1v1pK9=tFxBo7pv?mpRp~mP29kbvRfikn2*Uz`?+)DYxt)8DO z@uJ11LpcOyTEu3)JT>XNNN}0nUtzEyUOqMuurXb_VAlD1K3$eHeW8_5_4FV_@e zQt%f}fLK$eJ>xCv&r_WM=4EHnI1RF#$E_x$M2 z&+`Ij?$uCb(}eS_K#7c2>+U`2{}bt*{obbP-}hNw@vkc}5pTl5&(Nx>{JhpQ_Gxat38w42az{ zo=dl3$fK@XO}xe4A)`fPpoR9dJIpG=3*^-~^qj-6y~ik~wqJLxCJ>H8PYfv|lT$+)a0(fctZcmu ztBO56auD?`RPf6jEA>6cnrZ_Rk;D+qLCbl8vk0MKbDj|y@{*zhN))ua!l(0yi1bAF zv|vb~7x4{-$1)i}(|s1?SvM>k#dt-;sPH}!itRgI6xm8o-L@7h!8Vm=fZH7#>)S6e z*mjVX$J@xhhL69BQSkH=rud{)EY$Je})k(VB}`WfkWv zh|2>b$DUNAq=bK+c;jseU}7Mo@|xHWiuxj-P9+hJC9e1tDvB=#>xhvVBX=KQB=e?u zgUhe>D<{uN#Buxb9;i;gXJ#J$B<>}AE->K_;CIINn(E(EKe9MSWIg!yh9ml$a80u& z^EY~J#%js9U`(EUvAPu(V5;-7uq3?J(((n9GR;3^oaPSKsF^vfpF12e|JK(V@_Anz z$zkdrnj9BD_lLVo+2(EfYw78alt)D0 zkd%W2SiLE@RIp>NqJ#q`QU3e;x1(eKN{Nz@#()J0lxk}1dIdCQmzmUhb|TIZ$WOF5 zLt`q{{YCU)Lffe!I9YBrg(24>!p!Mcuc#0p#4<~NDzA~tSm;e4jX+)nEB=9~HNNZ!#{`Yg#Oq|xF)WoEyzstqCfngRPS)_1WEWKV@;9PR=@Hhd9GJ#ttb;LR&#O}v`?nnM!aOU$sGdDUP%oN%Z3wKIdgzH;8BT`W0=%|>LZ3ed93Nw~8(q9s;UP$q=Jc5~j4pOgMnM;` zcdtl)R(|`%3&ULxG{*6;rX~*bxj~4Wo$FS^TRtP=Lz08e0cHL^^_Ed;-)hT~ zEuQiCAkulsA9}Q(I2eDu2;Z?o4KDYHSsoLwFi`*raKb@@VUIX2u30!d2XjkU6)LmP z@UrZw;Mf`kxl<&~8<^Kq7*}t-GgAk0mEy{YO^nH|Din3v-4)-5>AnL!8Ef@kkO5}h zu+)|D+inH=kt5lYQupQ>2eCdZP+a11M+$|!c@U1faOmh#C&=;mK%=)xd_Po}gy5q* zj(a`|JR%FS{p33L4>gi~XMyDlmWM<;Qnw}EZU$vH+YF`GfXOWC)wPO{?QJ6Pk-fa! zaFi;1yg~yuNt=Rqh6qeATOr=utJ%0PEiQxm>s;?!UCxs^EvVPI!k-C;HJk)D7v)fP z1Q$BQlXK+3p3y3ViGe%K zD>fb$a#Isq(!E)g@;^Y393ygF6-Hc$a(>^m=eg>T#j0>b zkS9Yvq*mwi^mG@P72o-7&~c%x1HXqZw5^c`BhE{#buxm{q%IJ@st?XG8dYD5x6g9U zop;lAG#Kwo^GrF4{%8^I4(rAFKc>Dr8teZ5|FR-mX4zRG6cR2oN!e1Q%Jh9US;o@y+{0>SNG?4&i9Y|-1q5p+<0H_*X#Lw%te}Q{8#;F%n89rLsO*| zE_)F&k3V*uLhYmbKd-*u*e7T-)mR8r{Pe-VCdtN%u+et@6yAM^zqSF}lrKy4b8Rkf zZXGccUI!@^^e{z)!j5NRk>nd4jrBMysJ@(KpOyyE7ZI`3z=i+(b=$qSwZRAL;vt#^ zL?uQ)*uNt@E5UAefZ7Tnw~}7U*_mnOM!f{mWbM+$$U|aT%l&hZrk7-!h;vNm#Lf~{ zegoH8WRSg!y8AWSft(aavkH4L7VeY$ZV$U3>!q=ZqB|CwE`D=Jo%v5z31UA;8~uBw z^yi-;eztuopIPZw5cIqd0&~FqITf9zi22A;IodbEOl%UYO%a5t7DOM)@@ij9-SGbs z`DGJFF0s|V%bB!7{QCCnI|>#Y!>aki!6AN9zy%yYSjc0wGlvILT}!bcJ=mMJelB%S zcd1jxl6}OxV)Ki+aG)r%Sm8V88Mh!CMWhY?*=v#07fdzQ)pN^F)F#Sv^pzt1{;bGw zUmqr;(lOblPCjF{U~jCSV;%2{5OnEBTDv!I_@54Omi{`>#XD}ReAMG>EoQ#Em>&1N z$bre_j(tb3ZTPF-1-;HTV%}&r7h$$#Lq2W-VstOQZ#KcxBBVJN_#c+E74tOd9kB!) ziF|$i)>LI>q*t2YKXC5dn@@3wVmH;ql_b_3%q)Z%pA@x>z#wunky~1+S2{s(hZ!n= zje#*1C@sC+!Gn+1!uh43%+S!4>)~Kc5V{ zZ71$Z*mkk&X^VU#{$L#Nh=}7POLO~kg>!jd*KECHA+v@?C1QF~jqqJ@fWd@Y@@MP8 zl2yCwr)Pz4M4ggC0a$!^VW(Iy z>g|M~>=if$^+0o1zQSK%Ip{Tydm}R~7n?$a$Z6KMwaBfLc;}K*`Oa$Zg}&&DEq1SOmB$P1aEtE&URD@x;WCNx zcTc{&|bjw=dZgHGM$y^nPc4 zt1kpCo)}YzM(q3+U<3Mpkkd+fQ#Iu&+1coE@|A~LZ`W@C`i{G}cre!UGAZ>uYeEaR z%pp_M->wQ1(ufG5z?v5FK=2?7v3wbWk%vgL+JR0<^gtZug1Lg~O(|h54jR_Dg`jc4 zFb2w%I|d9V3s`pxnamAWx)QCaW2>!~8iw6Q1~|~^cG7JHCfsVY$6nPGdO0rR_@_$7 z(D$<@4_nJE2cJ=kxv@I@IYt9pk+F$Mtaov-%k7tT_vu_#m`qG7vB=50(L*VB(yHbb zl1?{s3tYv_KlLDKPAzgR)~hu4Be*48&(Q4b%TUi3X16p)g8|7^tG4%n-v=3lAG_k* zOYCQRPWWM7&4!W9q9>tT_h{3z-}z`Hk%TCKy#_2z75g+fpGH)Jjjf0+$t zVxzZ*iq>cR86@ppD=zw>>a-c=Bu~Tpfv4_Uuk*lWndNPPFXQ=7$~TVs)Bl~_G!yv$ zn7^BM97!M0&lk&acsb8jScg?gjC~@iFzw2lqS!TVHL241w!^u zS?(KXo*FB&1w>yXn6_FZ6)iRG%bk(Fl`EOgdYtB$$nez(W}dP6V&25}cTUA{ z9;82QuGs4-geZ@?=8M0VzNx8C^R>|=i)z&aBsOq+S{6_usP@#zwzdHTLXU064KJ7L+x2K3kTlmJ6wg7 zEAz3Z;fEVnUz!!;>Q_W~S*^RReK&2qyY2L?vt!7oo#H1q;xFw9?Wmlan!hQx_tH zG)}~NRC6Inu_&E%KN#pKdFbj_2a&+LZIRn~U#+SVh9uNF{h{oPk@tQ(()`Oe7?jeRk&6AyJ9E%|N>b@erQ8eyad%OxS?U6}@i$0g#Xcu>pA*iMgG; z8h41$v2I<~rpAT94}6anyT|5={+Oa@EV`rayO#%Uc&r6pQ#`;x%Bm}y{R~?3I*F>- zaGB9xgs~PNN>_llu0yt84C0+8)aAHO`lQBhg~%DpWgVa%F6bn{)47!jC?!BFgoj&~ zJo0hH6qTB8t=GbY53MiGJwWj;7ZaKwH!Yu(a^-&u+xrPYADSfA_uLqBq~) z6c-%t?F|qYIhZrbq2J>boNSJ-e|;_u+{^axt0(jj_j zGtHX~@4e9eKy;lryrTPO!IO2}Rw+i&*vdEZpUrvummf&iu`P*=PoOb(PL<;A9qne& z8vi@Yb#?!}*obeoxA|(8>vlvS_eRF*{5ZmCDK0rR%fE9WD)O2B+K{?_SFGV!NbRyS z$Xda+xaq+3Aq<~@Kvh|#Klt#_9fmdba0PU`=@*8#%7q>o8M#Cq&3+#j^9l)(0z<0| zL@Cie3Xb*fPm4(@uo$r4LTFXlfdk00Ie_%ltg{&07^D!Ff&I=QWiIN9pudl1*r~Ei z<2;_DGn@Kay*Apf=-A+`RW>wZ(HPIxM6GH0yn-KZg-Oz}r~YOzLFkwsj`jN_L+Oxe zXAvZ!<Hx-IHzSWL~kcQAJCU`l@xqnXdS^M}lazOi3Q6x?*Z|HB+yT zf*LE`nPBwB_e!ZkT&6neV7foWPxpLao1+-MTGSx$HX`bG&!XO!gZg60eaA0FEw{0{c;Dlh1z__sa#e9i|#d;@3mjz9yy`A2bUnOT(UI1QKM$y!F?q zw7GI{5aIt2CSEc-JDP{Kd$(ipL~X7u+_iJqG_b(Ye(a|Q313$s{ksI9p4%a`uIRw1 z(!v^*U6>4-+9%ohG$Db3nX!#m5YSBke+M7lk)Xpv9}~-I|D+^2$ctsC;PK!AwFFaw z{EsccNeQ>|UuqZJ!DW1P?a#Tom>My#)a;gr{5|AjD4QOZB#~&3`e3=H(|bfy9%gc{ z((XA|z6-Vdb&~SlD$uipNc7vyM0s18aM{zH9V;FqygxX{b1y1${Es(tMN)g*u7IM! zdtl%OLPDw6FfwZRjlS~ME5}=q$(;KA`*T;Lj!1Q;L~e@G^?Av;596u%CSS&*JZL3D zo@h;7yWc4*Jb({Luq3cMT1!y>P{Hx0K_Rcu0YHUFsrA5xVu7g@SBDUVvw?9I@xxpS z>*|4JRp)!n+VzsN0-Zx^0(s-%UpWHPrRQ#mI5K!1WEU5cz+SKDT3%!=92k_7Uc8CG zFgrTEhlhH`#R{H}#xj~jztNdhMC>cK8CC8EXU!UE#69OS%X*g{jAO7!E#%p<%I7&M zPun?-JkTka_)=b?NsAIr)OTZ10+fLu#-p&|joizl5L-*i8Pgz~J&SX5w~OoR?rQpa z!4oP(bR{q-uPGo<`PD-bE=;?s6yixlYW@^C`A2ZVmqCiLBjhfip^kC5dIxGg^8J4; zz+6YvV1G%@vnx5zZd7V=)9b3Zt3Tt{Yj{r%^+)7zMt8B*@^FAB(oqx(u$Y9-0C(o23G~*Oq0(RjGrv+i>>x3{p31e z3Q(fCQkX z$gtC~Z{!dSFt;mbhkwz7C?*q$JXT8Yh|9}JHIgCdPo?wdH*4U*p zC~;SAzoq)g;sBR7_*LPMuJFJ>fx$cF4&PjL#M&G0n3$4~S20ofFB=uhZ}=2HKp)T7 z{{55Gy?Amr;q_R)&Uzv+%0~G3{9_uOS!UyFqJf6beKlwTuQVoQc0$ifDYg zjNQ1usXIRz@d2ryg{hPa3xz6?jY!+i01)4}{!nU1?g~ErFitd{#tzBdLYA_$<4eEK z@4rrZ?v)w;>h;(^+~nWVjaX2$)J)BhOX_D8=AqjM4%H7f-#i{WWcBR>S&3h82rUV@wYv2g>KA*eouUWv8 zDvEPDduDpk#2!u>VR<~PX6IZ?LDO8jOWCe5U=nSr%KzSS9&AWRR3EF9_oCPhj&~k1y{m-3kP)|)WTx64e;m`JY(kS|L>u7|ecPGd<6_Sd zKBs(O={5y0=Arvv15C*g4o>o8rt7{b>A7!e$e7|c9&kjV2}$POc)u25`pJbVp*vjB zy!f@uG(B;-O^8$0i`I?h#7WiTZp&Kls;V8x7@2HnA80M-UkjW)?(HiaO1Q9`)0-{Vmd>i+uH@3RSV9|Y(b^SF}QzbHDS&i}--SucP6 z#oq)iQI=fVJ>#kAxA{b@7_VUZdgDs6HCqN@a7Q0_2S{xyv)1dL$+t9~Ugxl2zfU`z za;sR3?LZl)JgNKQlyV7_RR#Kgf7n$$v`xis$w~OMw$`6rpf)cjG<=v`dJJ>u)UMHf zEjOq0o7Z1F7Te*p88YLd|G9e-q8$*Pcw6y-HDgNU}Rj&PC zCI+X+wFT+p<)y2=tBy6pd^IJ10*u}I{R6P)R1ahJYucr95f;_Z%aDPALnBZbr^{`i z;IZIn?QzWE^;Ic=E#SytqKcM$&ewtAQx!W-KX4mO4-SqbbZvh$` zF}+u!9&UI^E^AxXC%e`~Z9@&;;ukm|{ zP}Sqq@l>Q+$gk@zPa#IjBG%jLq*u)su53yR%{yaD=+qW2y_B{|=1H`V)r@rTH;4W~ zM-y&VZ2wdSM=Qg3pJ~_R_(oT$f8{Y4x~nOA662yxTlcjv`7=*D#k4GyDwmV=u(cI; zf$^Y%0#2Mp1YCI}bXwx8=j@cC+o=m1c+}hpngc*>YWF`pHY~tzff`Coy`ijb$(bV7 z)t#I1!>dg3GlNea()YinCdwp@6rp?i+HLBV1p|Ibn~`D8Qv?ps-O}z(NyXOTr0q=t zbdmU#*B+c3M0@PMx00(QwW#u7Xl2;DVST-^yFSRSwium0AVyfgTCVlehB*1`h&fvn z0K}@RGQuVD_YZPRaA(fM9))PW>*)AIC14gD=GgJgoCp9(IE|mzV&<~7ghiGKEjKUg zd`8+Ki`oMrbb*zQMf{owkFV1`n(Jg?A{h+kO+!Uy)GvrwL+uth#ps^0$6*d&gsSg3 z_c|%|_?veA8fx|a!`Evlul*mwv-sZ>fk;hPTZ%&Ku+){?Z!;h0pGzR3gX%tPH*sHp zv{A}LS@LF=&CS{mb8{T9EW6%~zNGBYm9sl5 zNGVmYM=*4d^6e*uyiy7@e#~Rkxl@!WF%^`B2>zqmA&@i^xmVT{vDLr#)G}Qz)5q?K zq8d$k0y5l+^HrPfY=a|Sl_==dOx~U$oNmV*4gGk5T=%g}>MMb-!d5WkbN}#R!BZlP z*(HYPB(liG_O3R}(yGMRc}Rdruj>}m80nxhvEVz~2eW({)x9?)ym6?&5e!5E^60j# ztlyW^*MheK!1astD7~Y{_0i&+&h7mDI2Y z*Ii`AOYMdanM5Dyr(F^KL7#IipFV%FX*tmqhr&=&cag{A`1Se^>BR$+k6%PMuo&ir zN`Alh*;woK6Vy(Byn#SXhYaX(GeHg>LpSBPw4GY7&g2r7e#pYAczGM^1WV-xV6M?z z(~^#k*pEKG3Lk{GEdv)qSpOIXa@c51_JsW{HPMrrcvH&}gVl6^Z z4ig7`gkW|g;>8++<7k_BUmo?gV%3=8k_6et!m3@?O&a-f+WVaxSIfEc9!QcMxKX?+ z_khevdeo&3aZ7shEoYFtxz)S(xdmEvfKa7v^AJ={UynP!iau_^k!swoGPjem|C2Dk z+o(I-_1b+Tr%MC!y9OQ6d-d6lMHgs3K7zanaar6KPoH8aQng>jzg|v<({CQV5tzUj zSE{-!BUB_X@x77>|Deg^Ju;EIq3kW?cKo#4ReSrdFTTz6s=R#3L^F`S1DCQxjnn4L z&vyw(vdLWy!qhv2xJ%oyca|UU-H{W(d+cAlU6Zc3@&1b#-3R)!2}X>Un8M<`?;hKc zZY3?x13U&q+o!^?)R|vJT(SJ79|t^$8KPtv<2UM0_Rf^GYj3-3{`sAyqNYZ_@l8(S zcgx+6_k)b{*HlC_dqXy+VHfyiOPAwJ3rTk$(CNy$?REkALJ_FfMbH#c=A!8!sNzV9TnnR8#R0!77tE@^Fw6Od(oE{v_6jWcpx?>vbe>^P^rbypU z8Mu``DVN}DO*&~;9B7>vC}e0@hC&b*k~7UNR?+^*UvhhVl%^*-J@7gnJp-B&I)yF2rE^M#XH(!C#BH6{1p}GQV zbU;hXjg3kG#=g{D=GNmK7^hLEPPQOl7Y`h$exMAOcFSKWF2=eDKggfIV?J{3o_=_& znR=u@mYU$RSR&$hhii%I;XeMl*wD(71K~5_|443Z6v>T-n4*pFlv~#DC`1nLx}VnB zeKS$f05AuBS6{J64H6x2g_U(gMPrCD(V*nU6~K5xAKTA<=wjXskkX@uQX_#Y1HwNq zz3r-S=36Geh_d?-20GZsj5#pt#E_ToG{huOj1`5SW0VrpI(;!2_0iO1Ln<#lm>Q(0 z-#Hu2+#D{T@w6YQbZUlsT1i)(+62VpufYvLnQ~KEGhWU6}IS)VEmt+lJ*R{p+=v_yZ9IU1> zLa)ZxJf>Xeav51>u}BT2xlgSe(CmXv-vWuL_~z!GUSnfBIOq;z8leJJ3L4HSMbyjV z7*Wc@q|5_76$_n4t(o#4Rl6MNG@2bVM{J1LF!BkH_p@@maHZZPs)O`I_^sidJ{qIIHyT=AXMq z>L)EZh_*F2zjgaWbQ2T%x9Z8eD)S45(*x!<%?Cqf#y1Slf-cWiQDR#{Kiq$^hAX?V z-&RFF6EX<-J&T#p92yTj$-A+TSaa2ktVH^t4iTI0-0FtM=c&>UT{CUIOTK4bNSJiz&2zFXdW9 zQKQ3RhiQUHC4sawIZ%S!aP3Ko-`t=M(tIgDZm>oj^rp&{i0q|V3oT-8P~`XP!5^D{ z9#@ga{vEJ>6F>OH$~Ji+AG^iY0W_#8uaJcC0+arqnEnYZtN=R)@&TnWZM5{TrH9B> zVhXM*YPcOJflHt!AQ+Tr{qbpfmbR^^4Ec2}f`&}gK>ke1G9Gje5e=|aR3lzRw&5Nj_$Unw1@UGuVzuP3(|s1(oecl!23Tc_{jQxs#m zj|r#qTU!h42HJ)Nndg%ge)5^fg_x9MX$?Ubnq@iNI z5v6#L_aLxfZcY}S9}rn7m_0VNOUo=CKg3Z3fR&Z)KjCkiPp!$_l7n$d4_;k{T9OT) zdIIzEwaR4=zraA&+nUD(E46>(_^P$#)vysIuhA~6t!5d%o1IVq-VH!meF&}iL%Gh^ zL&IfDl%H#?qD54yx3c!Uf|B8#64>9@Z1E!yZw5kpq`0FK_ezawSKP<}viG|i=7J_O z7Tx|iQNqyEXw~#u@xt`xpEgx{S78^MOnslZx_Mw}uHpA*K@Z-RV%VoGNb*yJ_s@ zjJ{Hm!MGfXsbTq!c0J3&y!iJ}*8}R0k0=ZhcnN^IQQar2=aZK1{t0J;8-b4P5eBzg zg%agwcJx{aI4u$>l-i_bD;>UKNW2>ucp0SMu6X-*)IVJ-N@$y;{ysb$ciW!Q7QdnI zdavqJwtW4PDz8*m6nB?ls26EQf&ilNQWw;`**VwQre@C(U2?_wUF*+XTyd)uPQ$8a z_e1jenHLpY`eF~tgtf$=AS#pyo)C@@7bjWe7+{yM6HzmQtVb9#wp3g zTK@3u(&F*VvciPGVKgY>=+NUdBWrZ!8ou&;cZau|7UWw3Hv1yIjfWeTAD(f5P_ZM0QaR{_YzLLQ zejj_NZC<(&z+Gcyco6!C4d)2$=~*@8REjt6uNIQ>uU>72R3kLhpF?0eAp(LK0z_Re zXk)l(=}LpqAi?ZNMzQmoURsg$yt~tIUM*HFJm6%0TqVcQPd=~w$ ztJGk7O67{;Xy}MaZh`8HEAX;az*_<>Nq*JN4mVhJ<7e!A74-L zgj}sB-h_lbzjBMO&Lsl2%_Q*HQj;VxQzy0`l^Lq7iUnb+dvGaji(OY^zaJ;p4tt8za2tv&o;ptY3j#@j;& zQPb4+&`6yGF{an{KoCsk~BxWOEIf>T!to2_pr_9o@-b@kSv6rjO%X= zCKL+hq{S%JG5YOY)o)P z|C_*DX^&E>T==zRV}6~U7U;H=?0w2aBGOs-w9PS@ZRvrHU$qAAF7^m%q zr;fL7h5fvK+1?-46BcI`Rua8ez+`N_%-|b>al(`a3lRtr@ld-H!k!B?2PZmu@acr8 zUXl2-h&aK;xjBL0YTD-@A20W$2iDXXlpEl_`LHt|vwU*kD^C_GDoUxMJw^QFYjN>) zxtNP)f+rrpzJVY;HIajzv@9aKGtja01NKx@B#X+hVw!uF=4hGm{;K4Z$`a>QM(=ly zXBCOo&9qOcEq-SKP)xG5#&JQxeQt}=sQ}U}d0X4D9@DA&8M#a<% zzX;aJtJCVXBwE!}e3@K2i4s#=M5#yNOCo1Z?;LVl1n6GS_gzHK`_ed(e~1_j5Ydxc zQx(o!T>QAQB1o0k5MD>*yJQs?Au$)N{&iuZ-j*%}*<&qgHG?-7Vc%INd96HMYb+ld zcLb$SG&kQ0l?_w`3KeB213?fOWdySkV1XK$iY`-xG_y@3kUp;Q$6c+OyUBFC(uwi|iH%%qnl~ zs0>To79O6wSRK@Xz+W-1q$EX{x@N$X0zsaMu*{NRYRrn*!4#p72zQHuh~F2egp8SM`=parU~$t;{Nr-c+4aLMPu;fgB!P`G zsD|N2Fow`i2ZQ~zNEaV#@?CBAkeq<5@rML_XXalM8f-IZ3khRyEb+=d#`14Y=+ zP2ZyvnThjSF;uZAVi9$I|BP8Yi1zgbb=TQ(q z^;~mrKH}Q3SFI+AM`^rIc@jk?-@odLmhVbO^sK%))Ain!sHr(Z-$J4DCB=%a_69cJ zg`De?1-CA-kk(#Sa|ZDR`a+IYcf4s|fZ+QniWSy{Z0@&SKcFSS2Ut&#_uMX||M9vZ zLyl)=p?w48;!JhyNpiokvC#{}@uNK=f(L-ouV2U)*_ogGin!R5JX2S#mF5Q3%{y)N?jrYKmq({xG_wMO8r^ba&@mV-1vNP2GJ?SrzFFe;4 zvgFAAlKr$?b~sF2tH98{}Md*^-8^3@MAe+f%#coZEI+9hWkJk*rT*f;z^ z6V)j|ZP78(lf{Jd3}Mm|eE(+586|uU%U1Rq=0iQDF_Z03!#evklYI4==Ei*Zq;Bomb%5tvXJbNT9D^Es>0>(?FPqaUrp0>}eRCuEl@>IKjJqW9E zdgU{3dXm3`96)CHVVf3Sr;R5dJo|2u)Mw&WSr6g>?j-c{L1|qj#rCIhSdqRK@_FcE*nqM?M zr=x}$Ek$hJ`dy(eBbnH#zRQ-TGD+AdMU|o9M@SIuKMmZ&#Q<*a{pgV>YQ2G&eNxmN z;HIO4n_bXyz+EsfA4aD|b>l$!-v>U1dQq?7gy+okinFxQE} zpq}ess6X3K-9I5BY7^O@ocK8oC{GY!z=!NPN+A#UEf2k08=y!mp9YzI9)(-JD_LSg zLk3rMuc2L!ui0=K-pg6JJXgH78R;}y${EKnAVrn=u)UV~tZ05|CuLm#-wXWy7}H8$ z3b#0*2ojai0Eh&)gN?lm<+cj!UC*C0A>PC0AJEZZ1lNJ?LYr^H?*&o`hpI2L-@9oC zgho$3Av@k}W#RS6`5x%f6WP!-wU#HanN72-#-1%4xdE`Gzq_DrDtLoB>n%S)Q>ZzO zZJ6Xi#yD>~LtJeTrH%v;7!Zr`=1mI%0f>}Oo_a4hgVpwHEaJ`BB#3vm&cvWRDR{E_ zk^rT41L+LEM{lAl1>S}VEkK{s3(})+o|ir-3FQ3Z6fqkEHBI7Tfz z`wk7P)jMF&Z?3fMZP_RG`x$(_PzDfw0rOK1-Z)v{-Ni)y?t7xd*Zq5I$7MO#%|37B z7L)aspS}qBM!KXkO>*R`^-ZDKzEfs=(FwY(QaYP3kP)>4nO&7krXr6g*51Fn z0Zz2W*~UeC4AQW0y}>rI@#_+;0}K`JD3%l}@N z4(-g5&>h`5MM!TDu}QTZN!q1C|}q!>u|=Uj`Yn{yz~iZ66vV3l$X@8%{Yf=3S-!o3m@j78lyY+wJfz9FF` zLmqs3*P!K)8u~&VZ?9Ob^fkhPIu32Yc%P@{=ED`soML$whe1}uPe`X3!=rz;+W>wo zJrHRD*tsPQ5;29=+v5w<=>Jy!?OiYA4&Z%lMOm`gh)EK)Ad@|d(wFb834MueKFJ*g zJTI28xpf@E%fhP4b~{_VXD5Xs%}y!qR%BfBYLCFM5)qnmEm~&i)>lq{MtqWk95$1P zxWhPlU!ToKdr^4J$my);EKK={QmcE`oEq)b<91&e$wk?=`bjO=NjWOpE6@fRAETFX zQavO@H~yTJ{yCvWS^VCoz@+*2X>@|OB7>5K?AfiQ28#-?i6Ys&{yZ$_Ja8kvHOT{y z9Plwo0apT;3RjZu3%Q{NeOTk5o!!&Ve7rVPhOUy5Q_YRAHn{<1!0Aa8AJ~=7ejl;* zKz|mA_jch{(B$64iVZNU+2ZprZ-Wsc*23p%gDGYv-XRxMOIstZgQu* zGcbbU(T!lU5fSr-qsfvFq3k9u7;_a*h}!2FdqNX8hr(JgR$X@&%ACh4gnfh@SLW-b zq#B>`3n+fv&dwt~CC7-cD9F_9aqbFT&Is#vn1}AQE49gBuJwlr?WUG3gT&4QnL|gI zd4X#s0COZJoJup!krQ`8r{$L*C)52YDVoy@!|&87g(0Ymy#De9h5>@XZWkPM@k09HkqHsfRXbAy>CuA3)*RLGZ*C`S zrEa49S;`Ndo0b5{u)jKq(z)$9Yh^xYfR{jbYwEHoko+h$JzY3A@Mf!zx%RFIQD@Aw z59*kzI~{i2c?yj}<;#1qzn1r(L~Md+qQ1(W9|=e`{n~x5-5f0?r>q1L z#nVSJzSpr?&eEi_l9ZLE^kOv5E0s{x&xi2bqMCyR2Ww~2OM$q@#@T(Z2j%5&adIeE zhlkq4{`$?Rtq`xv_UR8>)Vuho+tzZT#Dv3FFo;qy9rUO`;&AuDh4#X}Q>6mq{p>L+&Y!z}&i+_`&^lIE-DMb~ zg_fSkAwDDJ=4|vlW5DLI)1B5Edtp-CS*Pmic>@w=n_=}FqKL;KclNTevD4{pfuCWy zp9an8B#?X&Wu;%-ab8)k1`**i!7&6DKd8e|CMLL9NsM|uY^Nw}Ka1e5dab7EMvBqx zzr{SI3XT~i;BwKOcw(zfJHi0w*`uG?@;>_{eEG3CB_CxMLJOWXvnaqke5*J=3DkzV}bi;U}RvKCdLG4v0ECvZ~N-(BCzl%;omA%Wh-ei^1>+a7yh{sUQ zAl?kKEg7B|R3y=X{*!~#Kdq()4W;w`Kw|q1eh}+}wd-aR$M7d2nyJYxr7 z9}49~6%)twRvHL%p53*m-q1(QvSUQ_9%QIpEKkjy5NMMAt6l~kUDHT0f(MoS$LUy! zH7SFXLImPEzr&)QAG=^<>7(Y6k-|D`iP;$`R#iXnGytC3nigJ)|3AyngxUYh0$ahZ zFRM3c7B7t_nxC8R)XkG$uR-7XwwJN|vX7HC_Ihvk(Q#X~qS6-xT156<)P#lO!al8& zi5*z5cuS8=chh*@MOfQ9%Tc%aW@|ahCqGFe>P=&+Vcp1N;^&{Jir@~7&P)6$xXB#< zyhl?-g&mxJa!xB>LeZ4#{o!Q}C7|ByMn;)J-X$9xd;TiuPsm}lwyA{da7X`Jqbpw^ zxeGk|fGb06OZ(6(qs3%;R!RW{sbIYaXK4<4|p z5-vV`u&zFev139{Bwr^o*NdEI74PtvJO8!RKt1ZCbrf##W76Mzbeis#if0~!99(OU zgtdZ!$pmoA6j+%0&-Xk(GOTpQpnu$xFC7|^mk`!UFQbQn1>VZL@K{7-<<|4v2$(tB z?_Ua_*(ns2AMrlxApxnm$eZ$hoNQ8Fpme|?|1{@j`XCGFH;Ud2Qx;iFwxW>{iUrgC zAm*w0MgkY?=nB2ORm;B@v!?XFe6$ZSj&h8kw@RBY-A+uIE?X!7j=Z7;j;a~SR;Tps9cyjSlM%=q1J~9^u6BAjhCMxlN zSAGN_dqTTa%G~ewJ-J%#!)lnZ?sei7^@z6hVD9@KlYK96zL*v!6<~u0bC~*z@=blj zgRbO4Z=z6#<{Z6|sLq4dem#vB#B4P5Py-6aC=pgGR#`Izj|9-p@OBqpajo8A%rzFIHWhw+1F*%dkIyF}Qb zp-NQ_*E=PVxEl|w7J#rw4a0!IMvo7dN=D5Vx16YKYk$pyrc=a=DPHSTO3_9^ z!Pz$hcP;iJ!xhULzV3BaKG;WK9I>}j&5pDdi8*d-ri>G@CCPvP4epTI+PA2}u7)G` z36C?;#~CY4KH7Td2mlCxUk57{iDIJ5weqRcez%iW1JPJCjPVJ^MJ~g|0+qk3FZje7TCJ1?&&DvVQE`bIM1QI%E zML0Na>{Z^IbI7oc(k+Rscu_76Rav)z@4@frq)xf!wq&(2=KSm#|8laNbcHv=Pnhmx z%r`J$iN}IJMMnTtgW5oxw(CRn;g(DR*AHy{>X1=Zi~aR$-q-qQSahZ9Xl;`0pL6{i z1egBjGEf|9F^8Xs1oR<9$D3)P9%5T}5NlNCi06lWGCI@uP(GHHkRJqKAe}igMTtt- zl*D}HVnR~CJGgl;W;whQBrWIqkU~$nD4j3=_*R|-LvI9IQr3cPXol;ViZJrc7OPvoJMh?Ax&f3pcGP{Sa zxhwU3fo;YJG`?TgV;8B2-e96V9C6iHZOqiK(%)o^$bq(JonRX=xd5WnY>=Q+|%;E>BJ#1&!1^xT4Fc%Z78ZHh(~e=e&1;3G~&IDaCB` zVEPivai=>NWV~nwH63`^Jn|YvqAOSLJJVsLheU7~S>^m9hTJaiW#{Z{Dni^BE9ZSQ zdi1SeDp4bPjUC5%7^V%{4gzYifYVb`c!j=VEq!l5#cCX^Z`DKhH72Bzoa8Aly$He% z#BG<|b=sLD0UYg1j;SAo!Tw(|4$kL&v%iYi`hE%0Ha9=VX(UFC9BVcXLr>5gD zQ~rb&C|vloYS*kipMJ;@6>$6w3;ZtHcX?E`!`8c3=l>!7+VcOSh;Byke#@(qlY0xQ zC*;iddB{tNfd|!q@qm~PESu$rZV)~b47|a+m$BHA0y?2u+)E2ToH;66Lxjt*8`^Qd zpwqpVGOCHGuuU;rTYL7ENPA{^_xA?5(%LOiAsrgbnecFrChS#{0JF-c*fijE3I zZ+J$zPl%M2WuhK1WBW$Pae)4;f}Be-Oj=kgy3RrG3cXms^SoL_ube*05enT}ZE#Xi zVbNDraid--Ev;oJ4>5wVlN(-_v|<}fxY8Yg8o~ZF1ti-8;S)f0l~wshtlr%Gh*K3| zK#nW+`_LTOV^+TV3!>Dt(KJ>aGB!sdrXtKnWMo?R1U=mNfRaKvd_K|Qdx$Xjz1mGp zP@|h}pRdnfsSo+8SYB%Bt0bE2tzG}K7kOx!oj@xTM%rC}gRW3ArQ=PmfDqkWg^(cI za#8w@#Gcn?WZq@x-8Qu7;{<<^EnmC*bx9VvXJ3KP!6JtIwQ0U35z`XJ@YW*ir0K^6 zF82s+H&d+hya`qHCH)Hu2+by(;71+1O9c**rabKfE|4cwYK~A5@n^M7`!^KdoXpFW zVF%+X0@6DHHhXlF0O((og}((q$`oaC7HT``_sT zm0R=La6C8mw?j|yDt4ShXNlAw^(6c%ZdPpy6H9Tx&DG$myQ++%q*AZO zakUf$Sg+h@J#-{%iy&0J=0gZsTg@Q5>)-u)Ynxw_qmmh`?o_{bRXxPaZ8b|?{r(@S?(P`~&~ zPcJ+)BqUBI>YQx3NdBmMJ4)0)#P2SlX>U&oK`1@vwx<^EBC|;!ADxs>Fdj+Ahs0By zv3gAPFV~tn{#L}(iJw8v?`j0d3@}@#fTHF)3sduB|`C3Y2`=PTwDvo zFi{^PlvNQGjFFo=iwY?4_`A+Cdw$|Rc6T{ET<>uPZ$CS6ubf_UVDHTSY9ivDYSwqC z_vNWS8XVD$8)Nz2uF`>_7GEaCcoOH#IOoC;w;NI#;$!8S6bg4%TFD+W9LK=Pq2#iY z?pLAMkXRo(Y(8MO4&sW`b=(R=8OM*G&=GxiXrV3FC#6pr=jiO%3!FJ)hf*pm@yipW z_3?HZ#OXZE3I5i3>{LM~`fKUNnK)8h*rKH2y#i6b{ta|jto0QS63e8q~z|)iY zqkw4NLAZ$DIeQti@)KV6?OB3jk$D<3JK0`W3|(SLlmCFjr#}CHLxcm)P?)m)p#KL( zn_ELS*VcyjpiN73#+960;c-SF6zxXw=wPq(Ah!}uYqhWYh^u;Ec_?UtmMPwg_vR{Y zxeYptg?*FoR95ASbg@ewS4%qM*5?yJp4DelzmKkWo0N71kMwi@9$EWzTaKxnlljk8 z9tmx=wg>1}^v%q^5eUra{tUxnd;dOcfyGM#U1a>FnMQTlukf$#)1z_yyk}Zfo}LK> z1;SZp+8-Z(+dcVF&}%HlcQi3LY@fT9AoM&-k$hfYb;LT)c*y8!Qp%GFH_!MMQpH$E zt*OoJt(47H!Lh#M(w3f5EE=eu%bY;luXxI|^APO&-s${{*bX_0BMp1SRtXQ3O=_J_KR;&1aFM5e7e3zJqmx*uIM*}g%9NB3gs z4IxL8?2q!`I}yZRVNqyH8&i#QvS=(mAC$tJ?E)5 z$`$6+5F+>y^tfr?9Yk#Ehx4*jR0q)!w{G8VoY5sZJwpLo!o7TKaA=4xA6+}XB>zcr zuV|jpkLvY@StF@GP9GSr&=1u%5nO+J|FEWNPxh!Itux%W-a7n3)Pfgxz$)G=lmKF!b`vxv9rIN9aINy)|>Ac=) zKXH1M`{66H7Z;O860BpF|BdN4w|gx9R)>%aLeWKb7XEVEJX#34;ZkI+iUkP zjpMf5wtf};(auF;K6sw&ic_Js=+##CCa!WfOazeb=5RN0B?uN>ot#|WnF}Ji`RUdJ zR=#&Ea$;;Nd0iG|1u>s&LrgH)xP|7s(86v<*D)H_RE#F7M5k zV!kpd*j{VEnODEX5}`~^ib#Pdf)XS5TX#$vh4H>>GE@JZE%Tvy{WQ7cH^16f7pg`- zh3-{56SIT>)4?gqXAcLQ$(u=6B}zWl&f2fGET)9(Dt_Kq+&|Kh7PFeXovGl;Bho8% zQ{{bbE-Q`5u_y5Amw|sgFV49^FDRj<^;WxvHOx&jW~2!oI6QTW|3}qZMn$=P|HFiY zw17cNONU6OlqeyJB1%ekcefy&A`MC^AV^Asq%_i9Lx{wHNdNbEzQ47e=fzoz7iXd4 z-1l|u{YjSgsL)%l(WFyJC5lfXmt&}o0|JKW7gnX`Pa%)%X6UO?V|vJEF-i?XfGuPC zZ~v`2c+dllMbK%dtH4?=NKT$mTrH*qGybr8R>yC12UA`5!NZt{tLC2+D#<}P8 zPT<)o?mgV(6vHk^SJU{(_Bpt*kqDAL8NXJ|1ws;z#; zOf$qjq3sPqW1?&AxY_F`*=`(lj(zcZfpQ+5VswcN`%kE7_MLUDal2OkWW4_a`amN0 zsHv)Un7&TFT;b%WI^EcTjVuSzdPNN>hlnp6qN6cTP~aCKyog8DLy(OrO!eSg6L|zQy=V-0p^p=&EBRLZa)53v~eJ^kJ1< zoTA{=hwOe;G7cP@E8A9nF)}^aL_^&Jz3~^?w~c>=&l};!4Y71G9xMOEtI?t4IQxBP z2F}<{E*fd>ci3-SICw|3G#8LTbBowD*Lm*Pr%^C3(8u2KUuN1HdvzHOFG;kr2?>X$ zWYBev#sH9xqipd}bb648aC2dQbs40QjG!$O@Z*eAYNTve#lZp-i%}NIQ8VlJ)DRq7VOt({X|Exy#iwK4 zm~f7Fd$>D`nkB>R=&Pg!A>ZHPu$!tx-VUS{mK#nPa^#%y;6rZ@|)v1Fuk3ea4+_Y(aE?UNL=XyI7# zn_0aXiotGA%dB+(9pT~$$z%k9!H$E+Y1<#Km2bF_HVd3kZBf41_xh%@R2AdD@!0tCTTdK zMq(gvx!`kKt)ti%=Pg(05f2@liL%}<`admzml$c-{q><6>JI$l%>CB%^NNNhp)r!I z37C&WpRBygabrff9K2VwUYjurMOU=5i@HKfEMdE^S#n)l;pzT&^ca+VtB-1f*J?rz z6!GZS8t9F=;YxATy{la3*y}%y+pg{;77b z=Lw&TQ}^r+Hcsi{()VipX(f&4Q3~$Ic9};4`61Z9M(Mq~6L(lq0|k9ZHU8^PSpq&k zp;Rvn(uszm>2{C^dM4t z+BQ98PxAe{bC(GlM?+kNwb=TGNVIIIENl`Gor??!Ns)0AK{KjgFO`##xf<8~ zx`sRX&j^h!sdD3k488|6$@Ov8bv}sq#0Qdh?=vLZv!3pM%S8m%v*$Dk;Z=`vxHz#< zs=TqtKO=r(%GKL?jI_-uDY^dr`%lPx6+z1ashso;_$yp9WIev4_|kA$xqg{u9$PQA z2m5^0qbG_4!&`D)Ja&MLZe@yv@o7#+w{@JRfntdlYjnK(ckjQ|)X^V5SIpc{sc!8P zPjH$LEVG%dfNUdefCVeLl`YQv|zvijN)lYU`mlqmIrV~0EPp4hGymftJ z5!CjtzNh^;+Y(N4i(dKiHYW#9K!}IH&Q9R_V2RdW+ncdW{I9wHdlpi!{+l!Xn!8p< z?o=vGmMs>)sgvc-uB|1!YvM&>TfgUBzu!alqI`vK{upg=!@(3m4{CADrkS)zWfL?= zn=dFuJisy6c>1JlmxbTrsS4HW-U+j5_sF9H7vw>LP*xq^yWVy6$z9~~TA5A=MTqgv>8@j+=a-`~jjny^Mim5>&FfB1*vi|Aqh!5!Yxm0RZ4 z>gUcl5ouBml5(1M3-;xXMEaOiB6e~E_Z(GdHr-0ps-wQa9l)RBqnvTZ5hJY%ftH1E zOa_Kzth^Fid8x8hJ$W(zjaz9ZLF0JyXT|#ZM6{I)T9wY5kPvepRf?C0&uF1brEl?X z{#ocr%tfP4NFo9m{D^$Un>WFd7zgge54qJej*xJ4T z!HaKyls-I>fIqbjNW@d%OZXsX6@8l9Z>!EOjR|hC^9 z&GA8@OqQ-7vv#sNU$yH5w?g~dcuRj=44$Oce2lOqsQwYcQ@T_`xpPNZcO%%M!kP*t zAS!(N3UaofpI%3&)9%l&E$HT(wbQv7CU-4~%ahb{OgtnfhaHF+3|K|OR}9D}ssf$r zAdOD)ZFl^97+4_a$tFHN@rKjGK;T{_sECdX5dBR6y?OF^pPUAJL~oS7NltHSZrg z1FB7PbNKKbv!QI*MMYR)a`dVh;(p_Lm#^Spr82Xyz+b1PbbBpHuowHkX`=AoG=V-S z*lLlx0l)O+E&CIN2%(fWONYW^%yWF;&qEVZ+EbL<=J zZv@la(7E-tsXH-MZ#HX*On0E!I_jy^?5K>8*N5ED-;x!W57hWO^N0Dxr$>B{9pZRG za-QTiYl-42qkHkxZ6TuFp}oOz>K3^h;kgWr|XE*InDeyqDmzmzJu6%)NpivnGdy+n0>s`x1|lUmVwp{XcmPh zl?W*9`yfhPp9(tZb3KzFzP8Ngo^zl(-p4yDTI$e;L**BFalz4LbfW%JRQnV0iPzvN zTFcFfp0~_%#l8OS*OLN&cSUB*3)nc)au}wK+pBsNmFawQ!GkF2uV1J|$|(;IKf}y9 zdvSPWr0^4~-{`od^tjg3Kk7*IH!qPZmv?HpH~OzW(+QcYwD`#g(YZmv_;k2&F&NUK zv(fMXh*?eSn)(F1{HTYCskyt~tG1BzN)lS@oN;~teot~d^5^#Xo%rQv|07M_+q&Qw*}8aa)nJlRV=cw`;hy|gxa6|oFu(&sHnV+=_3kA zzy9@@-ZbR;s6FB-^UVagw-HyT+}@|uZjgryKGUvkj%?Ra=OcyS)yIz?hbyySmgv(* zdP|&sYn7*3WrDR@Fg3s!J?d$JcWK7J3G1R-TD+ znL1NOu?o}3eX1TiBOD4L{+8DVCQo&y7s4@qY3DG-)Q2S>jeQ*PpOP3Lg?P@}4izQK zEN!eeZO%VvNp%}9_h0R>Qt+}nej>DxYW)pJq~M;OAv8aYBAjj`HqajMy8MDcBC=CK zaEm_n!NTuvO-spv2@1qiwJQrx=7-Y0VA3S6;*V^|0J|8o=rn;)#Gc%(Cm2m+86ykd zkL{4`o~!DXLEyQMxQ6-ZJ@gg#C)3wi8}ShQT94b{;O+BB^v4WNt$ndW=~tJoq8kyf zT*cohWKw_A;*-fFUK2OKK_{qNeIfB-WEPgl*oTK$R~fX3H<;3jKX&c$+VsC|Q@{OT zzT86Yo#v-rZMOUJ90f;u^))wd^8Eh(NvjEt^grVjR#~SOL_N57cs@v->2l;pl=6gn zAz$sYd(duK;)uDfQbIDTb8b#fcRpWW_9q&L$c2lNp?SXbHRo<;TQ41kS-aVP}Tt%~=jXG+K4 z55E%GSC!tpV5q~mtezfY%5R>@QzVi8yR#>eIJ-6_9oB$fkesZB_1EfEDaq{$WW}-) z%k`kYy63UVH*z6(mQ~g2zU7vLq>WlzOO11elA*?a>RfMT+W`Shb>Z8S)7F7?$C#Cz zXhmPiAH`?$vDq(mB}rFTp|i}zjzsSOaky8o(`C^7;zjbuj8J#uP0r5-G&DHsn&aVX zYk~qo)#zv*o?=j%@UbtHw{*XIXyrJvi+=trL@=YkopYS1P^xyE&0%8DD^8WHfs<>5 zq1f_8i+0WhX{bl%pxq;ZtXjU8woP0FONnYr&BSiP5c${cr0so50jl#VTeA)0E#>?` z>$|^s2}Qdio92jGYz0Y-8EOGTck2{uBs`xAp_#EYr5;`wbzhdkl1xc*a?BZo$p!AD z91)uS^yx4FQt&#J?$6GxvSa@XX00@R%?qL#1%aW4Wy;FpAJ~t8i23T%(`MhIL*+H z(D0UbuDkp;zmbmG8xC`ggP7cq^))`l#j5+NQ$llpaX4S>vfkmKy7AQ(Do_A_U;}8c z*~#afBl9>VcW3Sv_az}ml%M!L~PQu zkp27}G)2RTLVT%mY+HlAF>Z8+ic#*%iw6NwF)KAF63P z&$Ulg=MNg8ZVDH@HAsy=?7wh?F+Y;T8c?@rK6g`7c-?){hK1*AOrJ@aNnfs+MV*A5 zXqwdJ4Unkdk%k56f06~ukP904ityd`zT*IJTx!@75N(KDLzLO))+ut!w%QW7e&x(H zj>lIlsboyt+R?1USB_*-YhHf%hN z73@EzseDasM9wsw89XHV?lmE`zULt~JI11@^nBqx_D5fJx+Uhq1}hUj$Ru%-xwmo9 z0HKh9@a}Gr9A%S1SMgz}s`BOv_sG+GWmtl<;YVJHMpzFCk zeT({+%&Tckcu4k6QxDg<)?J&oAIzMH<+?HNJn1dK)%k;mQ$1M~8Hl6T4&_l%)W|+R z`{k+chv5?7IDKT7hQa3&l^0VVS4&l(!=gNDTpDLoRV@{A&yp6$ulX1TDZS?5k9s6t zSRo8J%#Rws4OsgB2)tij-BOD^BYo3t`%PY|eJa18eXn!(3ZRt>uI$vdjmN%_`2e7I zq=M^_h=xW4$m&@IW}4phKWRh@>%y5v^4FQ1@4D%FRTyIB5T0D%g zgmXw)9-c2A3RhFRohMqBd+X@hC#KCH-^Fb_q$svjsJ-BM+>h0>$2(eyiEeZ5OVxzD zsh4c^VMGGsO?D7GJ3KgN-wwA?yVh~)J{xCH#+OQO2fgVvv2 z?1wN8{IOOfu^1}w6cQYi{+h}D!nRhYKj7C+Ak=mbxPCpH?hH#XLgH&xQ5pf2pqGlH zBoiqXG%AZ|3t_VBDY7mJC`_xq9%icC+uGWamXV<#4P*F`aqj7p=UHcSn~*Cdh)|OD zVNl+>@<$K5#nxAM*o*F)Z}38`G#xH_6DPG42Aqj<))^{Z-Nw>fimY zDb==@ubf;nTg2v%Z;Chu)Xy%sH}|LehQdDnda|4cukIi&{9)2e|J6%Q|3~{t={67l zaQF0t;pYIrhxD>|Z!zSHOhk=*j(O7pn2 z(E4F{F_UhaF zjC+OIlHXQZHoXC1-kB>ge$-QvTeM^>GlZRNB>kakua`Sa7lnh(Y;V?FI#+#h5hPmE+`ppmW#nEIiQs1sbS2y|)fZ-3dRrX*LJ#J0r3*C)&RA9}Q^Dk)Vk z@9x?Px;cKP5w)RVvN@kM(A_|`tRz~)`BonS^&)q;K4WG%3_+T`tgUUn@N9k;+jR3b zcKwqm0nM-O0h$htqW4V?kEI>y@q|9}kqV{vsAZfH&GW8><5z8GeLJNFLnl8lCkDS~ zqFbxDjQHE*%PvTEeC$Qu)$=2Sdg(1-W8ipZ!na|df0CkE>y%_3`;4pp%|*S>JBO~& z>2fP0{&Uo12Daq=<_*wnjz+UP#PsFCq!vtZ*N0|nTq>|T-WLmYW;j~{p_UQy^9iYi zcO6lqVbs%GyZSII>m%1}U$=#r^1{^s4k4Ur)upM*5oiT8hM+l?-?az|H}%)yYt)?h zGD_oik_rFq6E@iYqtMpe7Di<8Dwz!@t@4|4r@C>UEBG-ArYD7rJ3j?n012A-pkt&| zR7=b6%PMt|sP}8&+_(mbA2@Kf!oK$etvQ5HPZkbiOhlmZq?wHkzM!SWQ{`*~yH(|< zPw0=5CD&|Ao`G#Le<>Do>aYFR;7=X5WqU=Gbrj4CNDL%KHJ`^m_8QHVrtSoq6siv+ zG&U)ViaVi^@-B{MJ=)EYPKWG*xTU3+@968iq%!VTF>^&V+sZGkxqRnv4^|95=q0%1 zuVqd?UO9RNV%~4-za`&RRjK9VF5d>Jw&o077vfZ{38mY-pBJ57dnp|znPNk;^LUJC%(J_A*9#Zf6TD20 zsy^s4deUO1F2 zBl16ey4IT{5tftlaNd8#FHH~5`KzjFFQQR87r|7b42pXew7 zy9kO=1EOB=KcfD&klsOxTHj$`PNR7xA@xbcnwW5^h>l)aY+v3NkHcILCWVZRox-KV z?c_pxZcC*F%gOuHn^@TI5XM8p4y=&<0!AkkFj*`@2a|3`lr@etcF4z7QnYn}XrZH8 z_g2GX?7BZH>%Tv9<0Gq2rrWKL4@AqXaX=Z<9z`<`Fi21L&DyX;2gD8+ydoG?+qdJD zFuOo}f%?9f_Bmdlmy|6s#DDE}nbd1~(iW22O)O10(_VpEXIfe;-;Wr8Gfctzd}X07 z+GfI&Qr9Eel$zP0pnOtqu{XIU&M~84&ra!)7)J7i>kA@-$t^Fzrl$&SO5J5$T{sSs zgU)pPQAGS-i?^mxz!jDf!rB*p8=!1@ew zPs8C4r$f`z{-7hE2K-HA!e!X^Ib~Pe^EDMW@rSGvXvqSz1rdEcSJmswd12uanm;rB zk$!qAGu#F@vDNe+8u+yQ4%>qq-g3((6pG#SpDIqyOsLh7@1~u-G2NoxHEYsN`1Lor z$ZZAN1kF#>8BO27#;ybRM;puzS_@7!PUcccJid4uQR5N&>YACfp<8+0`Rc8%M7?Ix zg~ixN`e(}RXY)&P#lvsOhCH_%G zIt}+eHy;I8ToY;a49CNnc31OH00d$$cT@uI!U^8&rKRuQ6JIyBM1&a}o!1q@1s(t< z_hAGRG|3z=__i#4j|M~+!|8M2y7BQAHe34Fkh$M`A$k$KycW5#NNa0k0|@WVgOYnT z%Dhie+E1w{gEkfl`fhRVbML{G+lSARi8uJA+732qHso}d5N3F4@q7q5zT7uCpYR3i zzmDPR4(&kw;r#KgFq(@b3Sxu+E17J?Dg~+$dZg&PSQg%lFZWOG3e@!-@vHr)WDM5~ z5}Oy}!Z)+^r8XUCjGCK!3q@C~>)YGS*45{zCL6J{GlXxrQTZJ=mzAzb$lwXgu4UQ4 zfBPW+RpxR#-Cythy&i$IHf+JI6!QljT_!lPTf&P&rN2p3)VJcW!by0Y$J(hRnj8lV z!xe_69bb)zn;K`NW|z`X-^=SYEZB-OE+p;LXb51WAu>4L&p+odJ9+s)Si>ly^<$ds zS0AkaZja;=&7{QLUi2-m=#%+4;t%n>f5iUHyE9(@doE^v6j@>=q-2oNyj&PDY$(Dq zRT?km>?%Wm&hj;)-?usFs;j~NiYw6ha2H-_XrKWmqXAqT^Dy`;03*Z96kn8g{Ke1` zE=b@(J=N9u@Cr3}`ZH$zmpjK76?y^>kM#Z&Yn35Uzhd+&8r)L&QSk(6#3*qN)OcZm zb``Fq>1wIyYm6q~=GQw^tE#EP&May}T%F}((H$zhk^W-H`?Vy^({t;gOnZ))vo%5{ zTf1i~U9jl1fCUgP;;W$b|8)IW=y3nUKgBojH!eWv>8_G28S%9eX0ij>+v3~~8SiT7 z-MU1GC*0zNIUnd2?pRyRp4_~3YrUg2m^dqUIcCSn4Gnw`82QO;IPRqi_^g=TB04(&o^0kFK@m(3$NA$D50!77kFzD9m8@Vsu2*k`+IfAV7nN zmTE}xBZZkB{qeX4^qUaZpKK<7F&i?)5c$UaED|?bakwv}yktHD(dvxc>uD#niy~jT zJ`f5tDr^(qB&{t}*f}}DhfO!0Q-Lc~y6C6Iy_55QT7b0qA%`wz!>2?iXKS@N1NUg- zz4Cn$xuzzug`-xHw~G&1(~WVWu)KY?RPVi?uftTxv}CcFc40^-($NVGl=~upXwyXc zU0e`Z&0mOvDfQZ*2GBK*2pNnSk=L5th+FtI!oF{uElt z>cOcu`J!#pZg35?Y>~9_;83IK)lAC4kA0{MNE(~pn)_JwuC3g$Tn9E4y2pK4!KDRT zH!F~;bwK%AJB_P&kb3S~)`t(!PMHXLgFx_j2s^D~p%?{tk_dn;ccXh>XeA^}zt}D2d*!zw zU)1c%K(2Fe(G`99t`;J@yWUK6O9Gn83uOmh$QEif@>y7@gqie2*PSR3?=5YDbZcMw zl2s$z?{I!lQU4Zgp{O32@BY_19P}IFM2iV_yq1UDOIV*rCdt0& zP_JS3mQ5ix&{a<@l6;Dql3d5P9!Mbk)U{_KEH4z{9L#V>!{H65V8`quM7M{Hz+N2qB z;6-2#+|w;B5REOIcbMy~HPI3TbRMLI@S1Cv{A~@cj!{kmse43>FF6rybQ-I;B5pk{ z^@`WeY3u3xqO+l>LOT298jtUZ9Gzc`x|i*!DYlvIi}xViRuobzSRe$(aO&%k0qjkn z-kj_*c?x9@?jRnK2Jwh=uCdlc<9Vt5pI=nawjqJ~&&;|33RqP-;QK^L#vuM?D$xEn zAi;n$XT#IT0&|ms?GCfRz1b3x+o{f}<+BpRpRW3%-_i+H z256A=La8nERw;gtVmEvT`KV249}k^wo#|!B+}64C@>`*c6Z0}rW<$etf)fC>(Oy8B z*qdBMy^dq#H-~+HLIDiXbH`wMC51R~!Xu2_09ESAZ2gS#FL&=p>P5z@X*$nnFGb(& zZ6iL->pP~hJ(GZ583SP&$yL4J?kfjDtIajXL2+i%ytFyNxh(sAIA(xDzS^NP)_--M z^+QCM=6*Wv#aer4`RgDpi6D03$CYnc%SK@QgE%t$4lB6>}3W?}=L}rHTmc zJyR%slw^!ja6ICXfZ#h#eYn*27-^zF$JA*s{hY-3zJt+>An>ibCtLGvIr5nb&z?E* zh6evUwHE_=ywHI>g+t9W_5nHe09XoP8a6_GFmT`Yf&#|T z{V#b-t@NM$mn#1UNBk2~UAaw&jkMK5N8c64XI1^w+2}2$;CV_W<8}$t+8)T;vOaH* zK=L95BouJd;67APkWuLqCLqv$Zi^K1Ny36dru+EEj}$sNTU*5yS8$KP274Wh5rPLg z3CI?tHgLrX*47*_q>A1;+FQ1S+-}GBNcvP2;EP-X+X_`Olyp<_Z5BUW@@J>ln|@wi z6_otWj44lf#(i=ELB>*8s56L4NCDwiwvEx29gh|>B$%%pnnA9f&l9e2p?mo3{TYi#GJ{eJ(y7$;;`b zJa}BXFWvFzMF;MBHksWa_jI$0@W;6_#oH(1SwQHC|T;`*MAMWVNcmGHut{Y*ctmdaCgD$|MGr2FDFhC=A zdpOkO_B*Mg`+W<0YuCyo>D)>-!aP@B^c|B#5q0~qFTY4i8&F584=6F(Z>e(kx@ERg ztThbliO_nNd@)QiF4W8J!C66zTzcm8df6`Z7tcILX*J((SR+1ZAII2Ih&pL$&L$)e(HKyWlcovI4DBS9A5=m!M? zgCRBt>+UG59X3x^0*omu6NkoG=L!Y<`N}>lh#0n8?FsA3v=WTm7?JW@Y%^VRdoDsZ z+ORJ59j3F6z2#EM{A1dV2(1Xvgar4cX3DbvjI64{v0MA`9E?niO#wlKg8QxYPddnu ziM_4#3(<8&uPwKkFrN}dDB5^!R4hT%2matpJuWk|GXSFz)R!rDr=g`!0SFmf$axg> z@aS)?BEx9HnjY(vtre}A9hzDd4zu~0+_5aT@TS%J?S(eq(!`g0FY5o&gRC8eskOl7 z8B|wyH5}80Atv-;kICkz>p5zaEb7bjxnZ4*r~7WLHO68T&T%(%k_;$b#dy5F1*3zB?X4xj&Yij8sN8H=2CT>t~ zB>n}RHBw`(dXhU*h0RmYVns<@;H=J{T4G$8QFW=y)M#s!blZ=}o3@Pyd4vTg@j&Zw zOb0-#R2}a>$nemM>Z-ac~Wcjt?jy%c!79~XRhyRZ& zyZ=vuHz@IpxFmJYx4h+QSWj0*@RrGfJB8G!2J<+cB75_^8}oUj+KrN}Yybpc3!viG zo}k&BpY^_hLwunJx2}3k3I6yqs=QaW=PLDwW5nQl;5zsL-#yHNU+N8~z8%fmb{*TD zZu^GMe)D~E*R(3&etj4#wA!~vP&Ac@(5Yt5g=6CQtVN4ZMM$LfU8!H-0qBy z+$jlq&5GH(Q-6u>MecaipgSZzzTY#8XgF^KWrFxU$=0&t0xhu86owM$U%HEOJ!-T%F6Fm!(EP* zHFKvCNAZjZx)7V}Z>JdWfS}R{xBRPaiLHCSok=mo`}5^DoiJ}&wE0?QKl?R6P49)uGHj#RZ3IqChvsuL02EW zj&jzNvE7jeseVxS`U8D1zHK=9&Y41FOIDV?SwBu=hy1c@>DI)*DfrD|GO<0%H-sy# z*51L&w0Oln2}|G}S39T~!IduC=CIgmLqPNgo62bVPmJ=7<$f_Kph_|!FN_N=xTWL~ z@dz?jLH)5$#M$-Q%o!g@)?pP~LU9o&+2_|8e-J!9$oGVO%LS%!Idyer2)iQykl}Z! zs47M>PbMmfBM?yw0L?r)8mz43(^cNE`fL+KzE2~zwkBSHKQWY$DnrKD_%14dA+EBp z@2o)Ganao-25Hb8*9jp*cL5i>X2syhIHaFQ~damg25HuJfnWi15W_yTm z0AmTL!EShQbC+~1=&LQ*4bijLe(;Kxq`mm8`q22|>MCyxPu2&Q&G+pnu^NC@>aV85 zCo6nA?;t2cmOF(Y27G$CskKkoC;tc_CXk3_%bGJQo{ z+nHxZSEU9*sJkya;vQ`}_F*!IBy9f(pnAm3wxRzLWut&fc6@ip%;qaod6OhVtCC=rGzs$B0LVeDNg1M+W*{20DqpBS%k6e?ChG5hg6Z ziohSpLiz+;o^pa>Z;*)j_T9z1Ub*ol#=B=G$B(h_Rci{J7&0S1zj0YY@3T#L6Ymr- zj$3$hhUGB2G54`&Qa4ZB%F|3@htCe7e$%8i)_Wy0mPyBwWB$8!O!n#mczaJ81J|mJ zCw&gXLn|MILc=DE%B)^EV$6L;w7t&HJ3puW0#keQ`Vi7TW{v~VwYN;gYdv+kSVxP8 z$ByE7QP0QO-_=-gFz}EAIuUNrmbbDJ-*V47jlG;f()2CrFsqsTPb2C_+o+s*`1s|y z=k53nS=XaU!1~jFlMU>3RMvZu|8BYzfosPQ_`bU}Hh9j?@nx^SYr@xxJzcd}r}g2< zIY5%wXl}aL8%}gYG#=`nitxluP0>oT|9lH4xU^vL{XNKt;qy6jfZkTy)BL1gUZ{By zDN2W0#ZZtgWLJUPl2u(-QaeH>eIb&z0WD09Ptewf2(XN2sL+r~OH+W-L|ID@;#nll zQtrofPZ-N8vAiFZb??3tpZZ8As!shn)}$3B7?N56q@#zyVJO!U4!Y7E>a3%YJe`o2 zPrnhg2yQIR&m_}a8>+e9mzbD4GSazH4)jg;UCY>|;*$SW-+18fET zGyf0aPxD4UUR*oSLMQ6Bopu=<9}jB_)sr^gu#2FmxWZqb(YBepM%?@24>3|@KqlKR zCfjf+i70-io7s7$=bDD@956FYaNcQDouOtU2~VFlD0uv=2^ZwO1c!$`HN}A7(1=wP2D6OHJvUsuI6Ev#jkg#!5Jn*R2#f~oi_gPJ8X$2S}tAFX?R$u5q z_4Ah8Xx)baE$@i4hI$N4G@>VkHuVR?reEda0IPzJ2pd%q)9aGuHMhT)?Jz!;x$dZy zS&m=+GhaD6-T~gn?fh`3#hx0lo~vmTz;w31zZVVrJhVs8V_o75$TgBZH2JBg~eqsp8nqlNQQ+QRXR#Qj5+h zC?JL6be?uJ5RDL3#-bM~;yhrb^3B^hXbfWIG`yg`SN)+sPE~QEyjEd-XLRr3jEQ^i znP{q~xVm9jhsq^Y&Tfj^3Z+wnGu!)of#gZ8xQ9Az5chwtNRzr9q}~DHPWt&_?R{s0 z$2pSIRgpjuc1%@98-nExTukKPU&{jT0tlxghldwoC2)LSl8`WJu(uStw^^))(wf6I zxgd-M4m<+X|ApFGAZL1IG4^UkUNrUbKeY&;mO&GH@S|jb-4hAr>wa`$3&DcPB~;h% zfA-t!dMd5xHSm|Kx8viZQ&74&qCx|)6Y=(Cd@qdw(|!7WB)hB(%(-PSs;;6}VgF-5OeYI1C zYWs}-2VN##EbYBb!Flx$nGVBl?GMg(jLU_O)6?S{*Y||WtU(WAe_WpNMIxP6+V!Xw ztyD$??TTtB>bx8;@kLQ^K_@3{pv+}-c3F3bDc9Vo;KYZ64L*Ay+_G0ZHtQ%r0YD0d z=bL$a?3XN^xO=yIVq>Pw>V3ZTyN>7&pOSJpoo9Wrx&@*}b68k$K_5mPvT}I|iJsTZ zte-iEyE`}<(Mgya4pIuo9IWIBxPJKcu`Mjp+$CfFF&U;x>|^1_u4n5Cx6=bozCN3Pgy6)^&{8g3|kGoV}i<&S+|GnCY0$myJ-meg~S9*TS4cU zjGG-QJN4?1yN5<9PmO(G%~kQ5lF+3k3uvOK2BADdJVj8qqaZ2a zkLOO$Fv9D2(^ZFrL*}?6D+^q_qxK8I@lY5)X@yr>zfTU^GDfq!np(-d2m3QW`f|jU zL8{Z}%Y)1Vg$Q+BKgJWGT%!R^n-xmc^TWzOGXqn9j+4#pDKiG=`2GI%gFUaoadGZK zN-Q}sM1G88kG5sP-88q}C^X6@;})Kj;~2V)T~$atPzOOj^Mnhj!};UoZlU~J)xXrl z3yV|z>gvK;)fcgj-;m!Cd7Nro7&po;b!7|J+cpWkA1A!pqyO#ylo;Iqea*IDtzN4W zw4(9}kWUegARCi^R*7bYRO%jcBRlJ$n(quFOMUz#=l-atCr?YcU#+7Qh*)j_eF*N| zmw?w}g69W5_Z2|945qIpB;LE7xY^d+ygGOaDR@ALq1|DXGd3oJfn`mM?m{3RX>j@n zVgJV}0qL(weyC#hRegZ|uamRf{Ow*jOQ*OFD(40%hIuxjzgE0M8wCQJ^clT0E;Lwa z+4H2V@1Oj^&B2{08>B&d;`?`0M-=0L>;dh!z<>aLsUbuKqz+J`?lT~|uUutN$$0cW zk?aTGUu%}i_>LlF1)Lxn%NYd+CmsCY;E(Ubj&doPQP{ran4*_Qm*2u05{Iq_Gj*Ki zsvGrji+@dW9`#6Nj}Q{Na-Y-B7!i<`mTP^_H!8on)kMTdJK`UwvrPaSA;w|GoaH|5NksvLw-5 ztwZmi;z(I3si|QPDy*rw;q|gJq#xxMU{HU9ntz6e4gT3M03>^?q2Z6hvj1zALif!T zdINfH6t_UeI)~q>gnP5xM6rSP?zc##UFNmaTW_;-!?17S6o2MqT*j7$L`A#7bHBezXc zF`~+GH9cd>6Qa;G&#D6Pc=CSzbaSFrGSnW|;=vG_k4-kztbZU)5i{xc{5yx@pv?Kk z*Nh(KVKFYflAM^7UHBtlA5sj8wu-NkB_C7!XhMjH?YMC zg(y7RJB^<3=shrW(i!8R5jr~>7SB6UQY7v5PYdK+wra-Lk!*3 z>|)nbWfJK%nEq;fT#KeOl}u<)uEcPd;+=0woLAVGmbXrhbNorM>&FrAe+n?4GadgC zaN{q7${Bfq)Iw8Lo%tn~dwioIL@a6;!KnEB_0F8HqP;y0)V4$5PT0joZ_VM@WhC62 zw^RGDw@9Oaj&4fx60EegyQREB92rWBo#V1rM#(o(R-!L~0&>#)@aqqqkNb2F`u0LLDYDSjB zJ9`uuInfb0@Or8J8?XC*so7skkXC>e!vAM69ifAGnp6`${B=U}^0034EeT#;G=xq~ zeF5ORbYGZG93@DFi@+3h8MKJ48-=M681q-`Vn?ZwCN37un~zmYr_Of^^3xuA;nyz` zAvg!gI=Tlfi0(E@?mEUbt_uos)==Us)1QB-Y;^b~N@wk_miYPU$s$8)-^x`k6P<+R z@uqzHF9sz9d}~}IDp8arFS0`4-DNEF27K9vLHV3A%$;4SCQS252VSO9UOtT@)iVnPyGL9u1I}wfjtvdpTJ?mj*m~u-*BdULq8=R$&7Wd#} zNQh?nyI8dXgvsBkU`Hj=U=5^|O#x2gs&x_?u#UFG^teY)M z&qc6tzI}1pSoU#d!h#6Dc0iXv5Qq;^gBQ}YlU6r)8vmLDP54j0#Gt-{oc!J$pSlNZ z!9tW6?S1+0T`>_kG781*M1YL|QgX>ga#Jvh9vf}A>=_mB2=8*AptX_4v(RFCWlYTR z7*%3F)pgF^)}dUU+}dLo0Qj@N*t5XRa_(9A3K%7Ssc%d-k-b0wh!ti-+fsW zzA8l^QdAz=&zP>O=5O(RUPqnbg$X`v}qfS-&$_u6X|k&@4-B; z#7}`|6R$bc(TLTl)LITFJ{_zoys)Lq)-Snp5}xvwK4|#q)ic0RSaBkpd#JdSWI1wZ zr`Rj}UQ;|{tNRxH3|@}JhyAa*$=+@{;bYhJ^%sf?bY;Srh*9W6c8>TAn&R!%H}~ZA z=5xkuS69HZ$5Wys_IBF#@@d}&8X7<|z(j$n(~0ZkR7_g64A_k}K#gFj!okSLY^VUQ zIx1=t(x{E!jR!l(2;b+NvcLYH0%989z8!x0+nil?kTTsD7kQ=p7n8rkZMUhs&#--V zMCrsKXW1hJsM6jW^RvB33SL^;OdEh8Im>sF=i^_iKEG-TWK`4z?)UX&f78@NKX6wd zXGxBYC5D2n*zSYST}KCgm!yKUn|aqh**33UL$_s3({wV7= zXDNE!%PQk~9A;>SXUug8;g`2PBw>H3IrQA~A%48(NgIvT(?fSp)v-R!Nh6?yjQqJ8 zc8oh7m%XDL@!}wjJQL4dO2(U|HMSbeep|~X%Qj{XgYzQfGqTBF#P11_;gRxqdWb@X zuq&@Y>n!NAGkECIOATh^G7WdbJAPkb-A*eGIxVi}cw)LZatGOH=X66aHLFLi>Q8-I zyw_~#>TTyFdohga52>AoJ^uLtUioL-uHUh8epZpuryIM=Zv`2n2L_IV_!|MD8~#Nn z;UL%)|CFAiS(N-grrrXm%Jq92-bzX%2+}RmDc!BKpn#MJh^RD3cS)CkNSCCf(kTr} zBO+Z=N_T#1dw&0SzL_&;&WuL|o@d|pT32@8lN&clFm^G_vg`TsyTvyh7MVAUaUC(H zAg@sbBiKIx8<>0AI5H4O(;-0~dA@7N0lUm*R()u~6&3RJ91cg;%r77l$Se8=Jd9G zJ1&`TKK2n^WUW57hlnVoQvnRu?*+H;VT;F}j<%n#D}FU^=Iir~?yGaLxwn?Z^2HIm z7#GTTyeA9kwLR`x4_ZogS?av|f{Sm^@CLdv2UxP?ACb*pmaw^IMFs!5TY7C;Q^%Ef zN@;4GCa<4uA|Y8vbCHtV`hr=~6EPqxOp|qrA7Q=z>JVSlCz9GQOyy4C?(RJD4u;o& z8X053_nyceZ+*`Fv^;93u9tMDl)VF1ZauV@ww_l{0f=oyz?w}{`JY=8zBWJWeyfppt_D^JQ42)q~m zTK^L`eGhVBLLTLqvB5oNo+<6&f=MY)*=7}jl-CMy&)@SnLo3&JVZjf;cOfN48E3oT zF}#1D3WUhS6OwUcEinwQxXpX(A;h3L1ls+q;GJt;m}^ZpJ^V8(51vCvR=#Z@h+Wr) zjex4cYv2xtiW={%;@2*W*7B06RV-flz0@hX>|&3T7*%#PzlsE2KpSp~0Lb#TL}@}bwY9Gy zToxm?&XV2KDHufg?aA&My*O_bB&;j$lfDzi=VVM%Z*(31!?hO+Kh_RCjC!1dP6`LU zaBmtcn#?H9zxlH3cjD5qIDfd>bmDSL^=Qx*Ulos-yxC$Ps@$~eXmECxMXe-BA+416 zS}vQ*O(u*nb>Vy3G$S@gNOdZlqNJZbWiKM|XuPf^py5`(D=iGqpvOZ3H0hW7_<-+4 zJ=Jr($0k|ocu`ATYQLr~A$(3R5~ei8+q<;8oDFH+=Gmt)MLie@NW_stVTuSh()#-M zol-@Yvj{tW3SJ@?jVwqbyn3czP<~6?p8D3s=J`FK^XTs2_{zCwhZbGaieFjViQ5ga z|FNK=|FNJ5Je?IHE~5R2u>&c=_X<(gKyQZuuoUgXmmsOA`dt?(>VQ^=-d=JfhG7Svdm&pXVH%(Ukn@5c8~a_^ zEaW3cUY?lqz>J8=}Ijir`8g9T-YM1tuhc1!-&$L{1*ZWLdX$%CnPXsK|+ zMT?2*w7#NQ{1`tAI$5|@1_mg^#Gi~MS|q;nI-!V0iGeou3HDQe1o_sn>-6on0#hgT zFN6odmb(&%OD278M2$bhx)!rIh+CAua`5JaY6RU^kkoqQZ9`N4hebgu)v2_iEpKy) z?T}h4QKaXoGs-x0c-ZagKA(dV(lkpTdcRG9mKlE}E_8Y3nk)6wJEu>; zC$oQG*s09rNrE(uK*p(WqR}aiTDli5I5$-^UPh_CLi*8HQlp+7ZPGkj?hP&j{S1=7 z1`mxX^v!kvel)Vm#ah?`bT#TNRv6L@!DQFo$0d1VVDX_Bj61|Vg!_9xlejdM(V2&G zRBM*#n4q2#hAdv++)K3{Mg6uI{G)?#=Npl6+jdO+Q?;F@!`~O}=vS-!FMWdL!>IXt ziJu?^h0Qmy_|L^i_)5{+MME&2Ka^D*(Sy+|NVJ-Ae+MB)v!{ROdi?H?IhmSD*WdZ2 zL|&epQCUy1w=E`-U7_t%AJD$`7?esX5&$^i zoS$n=M9^~2K$;`c-ALd@W8}@`?XdP*w=^aC(l$#Ku6f~cv)BinpMGEe29_bPH)<&x~n<+{CwX}Zvk5%d^U{C=7UuN)atT=kA+ z>pFt*=6xI*2_kp}70tX6LUzv>;68`sbV@L2NDc$5sW zI>D{2)?lp!sXIiVj!IhUIKFYDZ2$4eo9x}V80>Ng<+ly^Bm|raV_;eVzF$218ILJ(&DMJ?sNW!DYGKM{XfZ zolzHpmw7uoLT#oPKD)bw2r&q_LP1Ju8eF{tpoO_Cj&WT$Zl{>*p}>jbc>l=iHP83mRMg0kX!V46NJKmhT^TdJ%BJ&NMw0A5JgcfHV4jNZf@IKP)$S) zpiLmiDGLr%bKUIV3u8Atb&WPn?3Di8xAU_q(AW1BGPviHTSu-k2Gl)`*ro(<(NM@H zztRkG_>^)U6T-Z)ekTJuY&OQP$gFFZ+wu$ML+>LKFZxrjd~OgWyb`8@9t=+iV9YBs z?V5cCmNImm!HNlFZWJ15XHdZi{=68a)|YBUrL>^$zl4*9i~ryQ6tb+Of#YkJl7};* zB9V)|q?b+uI6l(-z6eY|RFwA)LGKZDRnPscPDeqEpFpk{(yV!-eKA+oUYfF!sqWF)w9Sr1O_+E& zZPm1)>yEL)yXsWl5ZQZ57ro1CgcFhc&kML56;mXMwsMSLKR3SxD#{x>E<6wv=hx`& zjZAqj>5;wX#w2bXQXzz&)%Da|<_)+n@g}QM&!(%m{;n@1p_DS9s1A!7ylLQ)*5eRL zKR&w*UT9Fzt1BiMzWvV8fxJddX{s=@cwu|Loz^f`kK-+~Kz5X^gV9M(u%qw`74)56 zZvz~R>h#N1`!cJizrQtWrQjjTp0?%@ge|++7Vu`+lPA)Tpy6v54!DYRzru)**8&d~ zzh2?Co>k)S5%_tH>sA*ts_^;w`H_dyco*6Gbtwn;6PcoAxSFwpZ!~0M>}#O&VtWV&z()7mpV_r9cC4;h23t*nzl8z#z^bz z1`}`lsj)pF(^h+-qV}@iy@fEvA2H5mmaU3HHS=_7xl)}zIOvv@Ufc3j4Obi_-QW-I zS{>?3p%Mf+Omq9mp8L?Ocf2so z4nq{=6fhG;kv<#BawmxBOhFTkYHle-eOupZcAKE|#n9beb6bT4irjM|kH=I3ZNAmB zm$*=qz>S5i_-v|X%;jX6+4i>z#mkq!zjDug?lGs?c{g%>20^v+#bokhJrR4$_1q!8 zn53kQZl2|Y8xk6G0@B&+i!EDUM&fT45E-T3OV!Sg4Gu`INF)%a7pITFm>{iVu&#CK zxJMm}zg6v_vwSO&C)I6US|d=6pww(onpecpD1^VX?lRx4!;UD`hzxs5L_C(+t6?{h zXXTOG)cOrtZ{eR+zOgrB9&C>m{H?Jyp#iX889sn~;Ao5Zsb#HFAgXmcjJT{E`2x_&?)kLo5~-3;Lb ztG9VL#Zj76UR%J8YLMKcS!+DlqGWNsDQ%o?`^+}4EuKvgMqUPX_dr&6Va4P5gllh{ zQV$kyC5nId_0w^3QqBKl=mYN#clceLHib8Dl6KENMq&^FiA?oT?ZN>BZr2c5L+obe zw?r;fEdPGQ5H{{E7xoC2N4{AynBI3WsqpCn5I%40mSld^FJ_ZV*lrSFTr);hCO8;K zam5Am7W$-GR*eges9ztcdjK;qG`=VU$Vjud3Y8E@stQRWTbx;11v!=Z&QFFS*_$s8 z9m=dGog`Ak&wfQu&DHmR4*Tvr_3jsFvl#DqnTi2QGlB~)b5I%{^r<4!Iq5zT>pI_;Eosvv31y3idK0JsZycra(n-2n(dK*S%t9vMY;Bxc zym;!fxeKk-TiWTre(UJKVvK?S(O>YNKhKtyAAx1;=tQUsho8TgI6cKRzquJ39Lg-8 zM&K9lGfJ#8@x|>qGiLMTvL+WDL9!$CxYz}i-WqM_{yu61Mts4?r!F+0*1ZEbQ# zxjozu7)$1BS0wzi702P8!RqKRwLRG-6g&IF47x+Yw?xEZUu!}}@~Rh4RwSb?FQJn> zQc+Ef<#6*t5K3N~FYW+R3kNjHQwH*gR{rd=Vnz^@h_n@uHwTRSnePbf3pim! zhH18{n#*Ea!d=k{HUQW_Cjp}nLlEx&`5Gt)0c&bJq2|r5voGid+_D?8$&KwTW{s;G z(i8K*2}5G7^^B(=J|7KUOsu1U~Sf(WAl1XI?A@T z@2=A5h1P%8CU_mihYwJ#MIZji!iP02r!pIqBWR7;>ltp(6jrKFn}*$UXJ2|k+c*B6 zvs~|_To%UPzrheD$9bfEJ@?zYts5fdAEug`*kncieP&m3P*RsPSMWr7VpR@VCfM5V z2WRWnIYyY8?Oh%Zwe75VJY3=8Ds>SS+a-FMRk?@y>z7vmfUE6ArI!SNZcaaKFiKN| z=pCqMx&@3z2v~(9K^z)pRzXA0{qfgt+ZJ!U|K5vv1!dYGTnxs4iEiZHa^XQY8oTOC*V3c z#cY`8nO9&01r3Bj5y7X&2U(j489>~23un+0@nn{oO6*wdj+)07w{ztF*$%h`2-)BK z_{aUWGfb+1c|Pp#pzr*<^Uo%?HCHO94o0_<`c6+-V`rJh&+^DKMRXrymFo(SlYc0; z9AW70VUbl=7gdNf@cH^x^IDkW%ed3K67H_+jVR*$xBG{lx=tmJ5S>R#+TZ#B!qkb1YjzPo+)?M%9Uc)W|o@banS>i%j)-qq#H{<9Z%y}eulC`X%mc_o`} zrfXm3w0&AA*Yh+QcYR4D(N}RRsi!vRnc%iX6@~@3wQt*i%TaT0j!`RCXmVzgjpf$H z`A}boLbJey({rK4$n-l8ciayY_a`f2k%y(_@L#+rc8*&mtfp;mMJCub)i)d=Q0*eW zByB4Nll$JO_J^AxuheLJ9+iw_!kYHv3AIBh@9H%@|J4;SoLCfuPHBVFD38qtDS93s z)nsZJesV?m4&rz3!sTsjFp#~~6%|-Ot6NCvY~tKjAl50N?ELX*7N`_CZEY0={tqD~ zC42yw8bOsHHbCfPQvNi@!Zfm6a{vD^1F__%kNZnrfh>wv;xo@(Tq~>>Z&nAlG>jx} z92_W%Ira51|GVW6#%O>DI5W()IXk3*c`oX%8iv$Ve45yae~sfBhe6$En&ssb@21H$(e-uU_qtOyD z6*5FR&pUf!tB&(FFsjArbiXLSIOV2peT6+MxYp2W_fj4(ML#&m&iZ;q)yA!%$%6)A zb|?tg^>6O(y&?$fC=L77GE-^DfmL_Mq3aA|>|1xY0{u_~F2#?hlT}+S6%S#!ZE@|| zxrQFwbM5_e)XL}6z6l9k2F%zyk$xffv;9u#Nc-On7%im*?~+PoPO3eAOuf5Tl$yWh zC7NGoryfZ>jBS)YqL@c=yh$zSp{nkgMX^n8`0}cQZ-j?f$>zc_VezALw=F$Ga2M7b z@Aj_Tq2WS;m4koK>bt9g*%ah+S(h|YGuP%cSFdp$9C+$gBsN!$F7)?f6uWTgzFpQH ztGIQcq?mBN)lKzL348H6uc=XQDxBc)SR-zUqKcLMqRys*9mF3`iB4Rd>PKXIwNg>b zS$z|t&Ki>U2ljX7f+y824$7sR7;fJtQ1dG{)xVyKXWCi(^=CP+P_D>$zQ;XK_v6BS z4KukKt$E@j)i7hhzdbV&Gj`!n5H9BmWwv&&yg5Dx`bLz%D??VrY43U z%>|PV72)XpNP!ST?vBq}2ler1LB-u~VyMk#Y_Wp~FN`a;#!MvK!X z#TZL?ti~7{0x{g6ouz;SfD9M+J1D_cTVmR-)iNmfZXn1euD&IW!-J2Bi3uw%mRb7! zxTQi)q%Az061aj#ohW2H;ys(Iv6|qt8~~#s9xO%gp9>)74#vGhLWpLglo*MstZKua zlUb$We0z})LPtjr!ebPMOH#r|I&;I<3f6qNHz~mnIhlU1! zp*LYEq@(v@g{eo@(})-6!(Kx}>?w0)hMe78OC(*3p~hGsIbTa?MJr2>Jrt(^MJ@;SPSR^C~)UP;J<8JpL=^~vNdfu zryXSfp={^F(td0(<lNfOF_bZ%nw(A-R9KBT~=~5sci++heTU_bBPN{ z=Zh>8IQ#pKwDIKHzZ&2CE#B)<$D8qq3()7F@e^70e6;Bk>c4@;RY@uG`ctHLb7PIw z?10ztJ_W=6bg9+dt+i`c-5P_FpFQmIJrkei77S?RJ#=@Amco)FZD)(0WwiLB%ISWq zdRe)?Ib4{-==8)X@~P39O9^RpI;ZqmN#D2h!@B>|0<@MJ52kXCc(I8P2So&M)(`LNB{ut?9OFcACk2I`CN58dA+DKwM#jrt} zM>eQyoe(X^L!{QBz8vVXYuwRsTAMj#9xGDyhUh@zLpNM{CusV>Kz0qA!~>m-%=Kr( z$ql%SQInERGBDSD#$W7rSxu7s9=N()QL!7p0{<8J(2I}tD98PDLTD7X&d3y4@gy1i zMXx*N`A9}O4vel$6%YjI58g9tYYWP>MEJSpuAg4vVDg4uY~XQh(WW&JP02RLQCByM z%}`AlHAq_(nGYWy6t$(^xKs7o6|2%>HY5;x3j$S*q3*h}%wZ+U5F;N;x^qeN9&hQ2 zcq*RVvyA4e^|A^b_;x|@J#y5^%_H>F9{`Z+4kG8MFeJ6^F273AVr$+KJK6LV(j;n~ zd+LTEO+U2p+`QZh8}`&ebtl)^HLtuzx8SY+{gAC?UB>7G2wJv=d$$CR#og<=UdxKF zZ{V+MzRAeQAO~kw2k;P)ot;Nn4IcFLF*p#bhHBGz;7u4}27A7I2E%a6wm=8)sdW?n{CbI-; z`|~|9f6&ZmlD&(V-O`!dt`}9iNOT@@tq;(rj=7V%TysAvx47YAk%vgzZM6)ahVM>W zQ71>nv_kI(TDyEb>CxM_0+KNtan`ZaIJ zz4G4_$HOMMY8c1avid00rNy#~ZdXl`puJeKlR``;=sbRyU-)6OO88m3Bm5}FYY#iu zmQK|wjwtX)Z6++5Yh@#f7V;`{W>a*CXOUvk(}T529f16d;Nd&F6!pbcn+WmHH^H^6 zQ?C{r8OiX%(v@FBGO%eP!>iB!(eum2RwXvmcpWc?rjViRM9@Sx=I6f;rxo({!iPtI zJBeOVsSKb5)XyW9mx(+#nBy)W4 zdoW7p<%F$E?)WWk1L18P!q#QkBT-Djm2@n#mW`UXrFBDCQaozr`ixxt6e!^8)_g2L zjer%Rjb9b{`2m1}IFDkkqjfD)LTga3Z~#Too*{Mdhf@M2iyZTJWtgl3z(C^n;Y00% zGh!us;q{F~ksWTA$*RTZ7+*?U2#5q0bGk$|7NJ*&J>RN+ zRp>uI6C95%0Q+x+Jvl2|9(|HZ3pZlqn~&wLwsBBgVa)YEs!6-jDj)VOtiPcWIuibw zse~>nNCy$*Z?l-dRSS3Ww$o0Z_OSYMmp0izRSJdehn-17VB^ zL#uHSyczPsIo35wWw6maM3FP$pnhShJO*!cE>>GX)Hf@IWZr)0d!MBp_K%OaNz{Y* zf(HY;b9dbCzQ`c0$$ZMP><0=}vX2YI2uaPhGgS{}hG`0AUq8gdT9q+o|1sbB81KSA zJw8LJGUz!fE=f4Vc?oB0w@R?9S=|jgx4WeWU!3iR_PuxXgAsdkBi_GIN5gh+*O}fl zWRhD&F59csN-NZeaGGB-HqQU3!*=D?BfMCzq3VgstrmcaWL3XY%F*+vytkzQ?&mJR zMd)zL@Pi~E)ir(qO}b)5p<2Wl^}F)3nMJ3Owc?B}eq6^lX@Kw>W{iMDY3#)dT{P-r z?L_~SJq+|ZB#8pH{af`$b~xX^M*#-)VM-{~Yf(C$C!K}`k$pF@S=_7H`LbbQQGc7A zdY}u)W=N#vgO8LjE9)Hw(RyO|tiklcNhx`WmB4jX=tPxygGXLiMxqdA0`H0ivMo~!^i!1R8U4oUO&Xy(vGh`)KF|4!xfXRsk{E@7VDhcZI0q<+I7u+IJWo{qYd&Y=K~{_l;p7rDb3s zg`q zOTqe^uYYk`yDrlw{Ry_BPz%RS`yyq?@1Pi5XhA8MhGjW*U?BM zJg47&YB*y>O|(~x?(}XK%KS$!hrHxf{0NM%^Y_xU(`@}`@vG}Zi~DrDzvA%y zgiQ0|0aupr+dSCv@(0Kfw?BP0P{-(m1o~ts|Ghpo-ss5R#l*Du^LRV1ag@*}3Zp=> zVfN)m^nSL|{)dC|@zibHAfzAwGa_nmS{k09dq=*eD!Fwn+dwKgApq;5EJ8iV$?ZB! zZm1*Sb$I%h$&BjC$59w}ms=NomohBAVE&HBxyxGl1oMK0D?J<*T_i#}pYnrfH@`^# z?O0K|3_FQ)#ircT$*%=GPV2@{_+kph?l*v-M<9k?kN~UVF#4n4`QU|6B*sGEh{L4J z_W#3l+5dy?Tk0tmj;1w8B-SdP)@hvpDx`o-(D^;m^-U zckkW?IM*0>*E9IeFh}ydQ4uq~d%kZ_D=Yi#`Z&}V|4bN`Sz%W`zYqt~faz6W(_W9W z;MlaQ<{ey8Ufq*&BTwi4S1v^ZCw|(qH*h{inO&zjud09h`){{>Q+{rfOY_;{kI4|J zkJ(dSHY^D0o37^mV18^lSw6bd*vFc&#d!ZZ@hG`ZwX~?3X!Il1HqE!Stno3)jpJG# zjuVzO&4bXR4MLm|WlZOeV_XtJ>%} z9UmV)65r6P+%)T#i7gMElwn09CW(|$?lZ-q$yH?v{%tFj{%U`N?jIFHH--7{fzJ6H zNi-ZyY)84q&NGr~z;141BMQe|Q1UcA`S-64t~834Yc2zvxl<+*cF)+5VEmD*v@{1S zRPUG_N}H9vPgyG{5bIL05&@<^HT@>HD)M*B$O+pdR>QL}q*SR-LcXEk=yUV>>)bbetNs z(HxxiB&@An230-hk)F;t8SMS#P3xYCSIbm(RDxGr8$ESF`U?HTQi)9Xh=M-Z(gA1h zF^N+$F$1oK0qqlvq3nbru^Z$?Q>(wFQE>A`Py=-gF#KF{dv%w`G-_N8n|X5kd@)3| z*GRoT-tU#NL;1sBBaZ@dz&2Q^=f5Qx0&Y5hWS<~y>uhzi>Fw{|iiRF_{Tm{8(sMVe zf01%x#2bT%o3ga&RJ~*m%G~RbO0mTR{ieSLqS4GPbA$bpqd8mIY%dLNdg48tIoy7- z-hjX^c=sU}ZeUu;W(|jK4bdE(>*JABR{;fDlHt%O(0>q`4IMdz-E2g|!Z{)cA#v%& z)+p*!ugB|W`uXFx927MqS8JP5?p_Eb=nS^k#~#k39E9yZ&7bxEHvkY^4ibn^%V1tZ zOfihuOTV|~xpV2hrF*s*e}&s71>6{rlCR7x66tS-K(K)r7M6maALDKueoF#}F$zIz zgKIj(V{_aLu}%@Krr7u~9i`2h`B|>3Q|qnVGZuDv*2Y4@&y@FCB zqxNQLjyfao=|t->!RE#DteyQ`qIxIL7znTk$}0CQZn`Y&=ZJpG?$7hO!22Ld4_p`{ z1U``@#LJm3{sq^#DTEe~x-7@rm`qfZ!T|t+e@?iYwHIl2+SLzdcIO7XM2dQi|2hwD z`3k}8GEPNsa~$S3aG!rdZb6`I0cBaKbV{s}ub;HNo8#O$URH2CD?CR?6g6&Cg} zst0xHYRhhp-mX14G-Ie>zrmKt92ryE0hmJM#MOdGrQ2Bg;4+Tz;| zqJn9se7fmPKHPybDqOiOO}tso`3UXvXR-NRs7_ga`q+ zul?Z%5E&Fif5$$6@% z^4*vW%`1Z-qwRi{Q!!b!F94pbZq$bZ)MZV3zwPZyrx5CysvJcFWp0DicY1t5)cCPF z>-Q-e(~hb&&hLxBUmOPcP60td@i4$KE$lo41y?%~xauHn4#-vBk~K2AWx}ljy%eR$ z`ud$k8);2VaaiyH`f3H36vU}pAZqgG4%elPY7|RkzP~@a`QNKxP5cjCvuzC$q_3Mw zS`=MutL5sgd?E+!Ufv9X)i+_k@p$F@hPtc7P_m7qTI?_RH)i!JNS!|uSGbKJCXIr$ zb8U#o7CA_pPCw+t3&x<2^}GY;fwOdp;sa&|jpcZQ?Lsv?o5O2*YF)P8l2bFO>}k){ z&HXGDK+mzbI+O^tYsSL-8#O&^nTlGO8sne*b-as9K6D)i)<#Enz3IOw9y)$m|v)3 z&wv2@+S>SD13sajVE_ql-7Y&{6>Z)asLWR)>)T+wJZ0E#AVq3c0RQ-ww1*E^SfI&U zc6KW($yR_+g4fse5WoRG0YCtQj7<2!RUlrNaI&apP~4bb??E`*L+hMm1B=G}meyBg zTO#>;)zsVq-L?12akW<}LQy#J3W#oUp~>e;b=<_jXDtGcq$pg zCEH<)*74d}*r7)<;BfmwoB{)Q*(|1>CI#bt`j@8odg9`3$KBNDYHDPKg&*eu-}VKH zt|Rmo{>S;seo3K4|7WpC#RXk}ttlPO9)%1hV(Gg2rQYKQdMzPaByz0$<+LiKO#0Ny z31MFVnCR}KAk>wFm%`t_u|)d4(*9R&oTZg<^d>D0?uW04qGM(nnW=-Y1%NMVEjLYu zZq=}oz4nTSW$eAZnY$s0lTVVC59L>iR{5NzR%_xoIi)xYIql2a+vnh60Wm`P9)gNyvp!q*Ww6#YOsDv^fO zx}>s>5_R@SpG0oR)@ zILG0Wl)(npFiqbBICUui^792_$GkyeG@dYR`~$eGe~s;=q}U^*=!dc`n=`kc3N8Ac zTGt=2Xhr94_ZwSig;TSVGEaE~-%U!dFfns`dxeEG*yOg5$FY1k`55u4St+zp6&9`uxoo@U(X?D%Tm`~JP%K0xL~}>| zk`|CrCVkRQtvxNZtQ(gACh+MW_+It+z zV?HutX?D)IHn*;|n914wBw|04>AEw7F1V(wp>CW$l6rczlWMMDDL>u5T+MrUPMZo{ z+n8DhIrRxxd)&6@Z}|OLy6b(xmB*2)jpC1kJUx#`c{LFfn4bf%C?n^9fjb%Ebzu6i+WYZ^qb@1i=40`mFrs$>-8d? z`@#c1w(~!4SPf5EEdDH41#UAoff;m$kUy&in!uTvH}9Y0f>Mnruno2_ zNEm0t(Xr0{KQ7Ja3vg+qINK@9X5D@6SwRn}8xXutKag1;VoX+PKDq}W+zN{R=3gsC zY3T@;N$%(v!eC1UyZNulpa2XSpb+3R@))VM3Nc;Xpf!5i6$a(Rg5@qdE9Ea@-cs9q z&>S5LakO;|crsJE;_SY>Gl{7^LuTE8jYUq@+8q<7`EYp7%zBXFsxOWJv7DNBR_Awg zzWIgP&WQ7PEbe-I{0Q=qb6fN)4vjkELjoW=$V2=9M6oS#%_06^)_|Kz&HKx>O!@Aq ztl0cDL_z6I=*FE)Xiyd2PwEwA{W<75;7ae5v{7tw8^+4Azr>Kb4um}~@9y-QHSwBb zN4K}LgpZATe>&pc8picPrG8+1vSYgtvXn<@lcM8DlfLx*02RwRo>aO9V3;-XNA~AL z*6})Z;f)Osq9*%__U$vgiWYrGvW-qKJFPwt{uCG-97ImnpvRPgWexC5`@HwFM~QB^ zkiMM}E0~Fp%AhvrPk#m*VBNVTOu;_B zkf<|X#jvl?t-Tyi{p$MW)Z+3D8e_L0!jVs4e$){(o8CVY+;x^o#UJIpn!Sl#=vA;6 ziv!4a+$fRR<^Hx;-%dt9-@dz|8`{8L#*UR93&2y%q?pgjA#vGRcuNil&*1Y$dQTPo z@7IL!*P3zvx4|i4s*42>Tt`?-^~@Pgxnu;Aa14XWQp{+zRr|5PHHZkNgv2;>pr7D^H&|3(iF-YwuizOoAR-!tROoT55`h~2>2359 z1K~&*UU|Hf#p);>{Rw{^(aIVE)pPY00*H|F&Qh8ONVpbr*lsDdGsL{5IFrij;ztwz zX!(^tJ310~cVkRx>OxK>?NaX-+`CA`8T`OgC09XzN5QcPFt#)g_AIWWX8T;M<(EdQF4F(V&CSJ zq!jVG+Q~@VFm0P8bsMO%YJa8&57#b2Y@(@G-;ish@BPsvzps3l#Fhxv>&Z@F+t3l? zYqo2{lSsVe_xCf@1om1|P@jXm7XAE9F;k;?7&zIVl^ z9W1psWLrOtNNo{bT{z0LCuinks#vvM|h9o_81b?-y=yN8O(VF+5dD@(b&qLMz__!lSXs`AiPmv ztgRi~6L&@YYJ4UbR#f2A{FE4j;2%Kee^zfv#-tnLj)Wt_+VYEDL9r+b%or<|pMG|L zwaK@nWCS`Fr2znvm2Oo6^bpC=G2R^4FmA>HO?b{{jyFytsh!(0*4Dx}ZOD;&|Inxt zn564v;>nWJ+z@gwk1_blKX4G|;z31qc-h zM;#=0bfTOOJu_OE%fptta`YnO#H+3UlxAk@{`JM-$BD&sCNSv2;RKFW z&DV@w?o1o2F|5QVDtME{d2Osw9cV|#4v0Nv-O5hXDa>R)lU}Z94p1JWnIV<&o% zK%PfBkP14GNCAJ-Xu)HUsI$GM=>GOimYoC{A*yNIJbIK0>4achVR$cj71uOy;WIGZ z)W-PVSSTs--*(`8J>*7qM}Uc(?ly1JAV0i*L3=Alch`8Blu$g8?8VeMCXi51Z^Ju^ z2ZX_VcML9qU0Y{B+Qjo-NV?CWrSa2Z- zQ|U9@#uw~w>xnCc@MZjGpebpGuu5bgsvyF3=g_E zdJASr_z(=%;%ZS1B1~&B4ZC?%uQ#{j?0Sb#ya$~P!v0SSU=-6zJmi9=o6t~bQtq5H zg;PCSIK*Op*D{!o^U)NeyKh@XgP8U~ubJnZ2;FkDAHRxubFR_&56<=wqEFO$Z3`Vo z88t5a?0wJnU0SQ=t1{Q)9j_0LVY6Ti-x%poHT`?)^f>Y!kDC8){WLn6^?1^1Ysx(p z@&ziVV%LMv)l4F+cA}EXbHSh}jD>hs(^Q@H8yv}Ff*Ly2w{4m)D4`SK9yx`LML$(b zMeNVYmBcbD3K$1WCIwW8prQ~XSwK7zreuIvw2ucN$_TmU-XOA;W>2`)VoFmoIaon5rSpXPZO2X+@bkg(Taj~5qvAkcqJ z;x9nzKh?;`i+iDA8@DV1$p3uXW?9*fnU@o-+>NYs^9u}rguT2R0g|IFyY*24?Z;|p zhJ`KBnq5MAe@zR*vsZb-eRz@wG}=xHVt#YOBj*lrDYVqbh~qP z9@uTpVe6t!zIv?67YeK}%K&g~-1bWzgZI&_X@|~ET{5P1;|0yycbc>a#Ot(5dLM4_ z?MS;W@Nr(asN#{OGK;OIJt-NHsk!)tQ%J*TdLtnCNOrw&sn$hJbWo%;D50@X$6hRd zpWp!&`U9c;&*9_+)J;FHiAaC$_0ms38{xNIA3gMm!^=)RiT3-oOLPnCw@*3AH?~x2 z{j=;>%m8s!cXWl2MgQy8{8E0M^50QCZHabvW?EW_f|6P2`ZN5ekXr8Tf_n{rQcXlO z=?>%xWfrW3ZI+Z+<^9AfH8}1q!i7e|<`>e1KMqRn;odRDPD%=d(6g-3)ve}rR1-$# zcT47s^dx0^dTy`rWkZhUE#B?WhNhtZn*P|)@Uk6Eeu3G|Kb%+Op%vZDkor>>NCN?~ zmzIrf*6zg+Cy(I6w_c?~iw~`Dhf5feyfkjOIO20V#w*{XoKw3VE57pp%GLe#1|+0| z=p+ldPA#PWENvW&u~T01KAt=}OrFVOCk^md{H<=GQP^n}4V`Z}g`zXU?X$GY%qT9k zn+@9wJUB$6&X>1=dnC?lQx)_iL_>43zC*)eI!(yndz{^yHjr$jNV#%VxcE&1H-sS}79BHzDg!+65b3Y>~!RTIT}KpMtLmGWU3( zG=w@ewJRq6Q8?771x`&p=qB#wRSPs{($v)r2D?4ATH5Q5iOTwD6}+q*Gycb)PRX-| zD0Lr)c6_;wb$mO{0~={7fw@SKT&7DFldh(P^z8h_$9ajx`e=y$2VR8jG1RY8{6Mro zyC3pK<6hXgIhgf*P{Q5$@c3(Vnk%WM7xqKR?(d)43$#Qv^n|AyW#+d$YG~j$h66SS zzwouz!3uDU^-bMfiMJUs%+cw_{z{A}T~W>9=jY@Qs?38i?)k#n3r9~6EkoXRG*fxW z&)E%6q<~f&^{XE3_4o3$4&6n69hwN#FH-oYGT2xPA>zOifISCf^7ukWHS*;H)m*%x zNeSskOK?Ngo7_f!$YQt7cryed5eNLaI`88J<)s`1%`|IrerTS(c>eCEd9$f@~71XYmtE4fqku2$w+9S`KvFI1`*xS>yShMzsDqcsVWFCV{g*4e;>MCM8@%!MSv%J*Nh%fDNjhQ6kB3ZS5`HCJ$TyCNNtx`hzhB;i1~wKSj=?`Or#KQtdr06d3a4&F z1!azjUW$Nt4dqV%mX}bom&pg7h81z+E=VQ90e>hBNaj1f3wrn6+jpAiGZY^Q&jJe$ z+>Zdb6RD~iD<~)ku(K`qYE8%FN}zAt+KKDy=f?#_Vk=Uppx52qE&cp?)8oVqMQ&@r zqy(!efoe*L-yx2;Y#2_p_Np>Pp+i*UnR)8l8|G z8yS&BTfP=Hl5S4>BAalM%FBKIO)74%+O$ia*Q7w1Y4G@38Pp+ZKIBCgyPZ3UO;lE# zF_(Dx8NFvlG}7F&bchq@1y_WD^-EgAwvfbmw5%}78<#as3~3f$2G4{VYW;i7C_E@J zqQ1;W+04@(t_MWR8qb=8hQd*eO-|$CuupinfdJQ@3jH%N@SsWSjI#h*qNf*#lyA1Z zeRf>Hzsy2s|1SUhzt28+Oa3=Q2<_=Ff$*zr3u55YCBNE9h~Ye~=?~`ZrhRQRMNIk7 zkhT|1dvH^y%=9`!z{*X<@nb?T0h7Tzq|blPvvvCWLtNa0cQV_Y=?FV^A?&^1 z(;bn^>rSm@shgu@>hnx%9QXf_(8Ku*b9CXLqiJ~ z-P?0D$Mu@@DT{TgKI({J`}~sRnjMuF`(nbIE$4*eYN^vVB6?H1@yA-`z`2>sX)y_6 zaK?)Og0yK0v?XDpg^g+jPN=_C<3A3yko4WeL@w}_J^*+J1IckaC9y{wfyW z4A9Zd0)YQDM2hf85V%)wAyH32x=W;&bLH3LGsPGrCPHzvX9&e-3|)ACkJ>-7&Y`(H ze_ScG-SnP1b@@~_sDl5peFZpgXr)q{eUIn0nOb8pVep4q2o-)FDi*6v}FF*=#V z9jC5nTQQ9}eIL<4KdEiabbKLe?_bO30x88Lz=8cLWiAT5nxb2G<163xNw^8IM?9Pc zE-1*Upet-}#Nh@2@ld&<3T7c$>*R->kj7O8R3VV-8oEMQu#SV}5t%BBT+%_V<4^Vn zrEuihKdu{jMNt2{A`sDp&C7TnxiMKno8KxUs+5){IA7}~gfuj;g6F8kDb)j<116I! zE3Cf!w}q^m&Ypj*c$gttG!sZX5Z+3fnUsXK=`cO9t(Jm6?DBW5HUMs||BtD!468Ej z+6F;DB_yOf1f)YmQbIynKtQBRkgiRmG}0}N(jiDlhe$~yDbgjs)7mvXUD456YK$Lvi{T($`ptoJ}NLO$S(jvWRng91y^+wMps(#ob*K z$xVk;LVl=U?footi!ySuXo!J#Lpyr6vLzDAwFUDZ;VC(4HkO>YImE{U9Q-=WaUZR_*nt7~fH*^QQ1({ShL^`!^MB z32OLpHK&76v9S-bJ|9lOhgC+FFeFdcawNNUCDD$7pu4>z%nq3#3IaT6JrskjwpF#XlCo&$+$(0 zi#iO;E_aiaTB`#gWil^yOcLj^yAn^E)?fWz8BTK;r}Jb`p9r0U`ZJ=pXLMBb1T|*z znDXuZsm`i@r;t)KLRiImrr`z#X6rS(t?E1+U*_@L@nSxZ)F&Kvc_zg8vc%y6-{qhj zgLNxQ;xNx>Mr+@P@9Fc|$H}K^1^B z;7ux8uk+Bs0W`f;pD)mn^x=w%&MI8$0jF-hdSf09?8tvhn*UqXw_c~Hs$CI&UiCUJ zou_X8T06dZ`TSsSF$lHWv|BDwQQBO@h=jw0nw|R3t`&4j4bdm8lVSiwgvO1Wv0%vp zo-((gUGwEy^%pEu!>v=DYdRwVmol!HB(0Udi=QWaz~O%9wPmLvsTv%0T7YZAK}BaC zcCdlj#?52WDGMTb3sBS|!2g7>b}f&~e^_aK662hbuQAP)vZ?S!U7EYdji%`UD zxqM|CDfYyroR%>(=dW#SZJ(&}smM-oUHqo<_zQB3Sb75qrCy}QIMVsZt0LMp{rmVe zy$>^jqF3&EZbzk7*t~^|cE9r;X1^L_8c_<@SpQX@9` ztG4etwLANRKFluYTv<(RBPrx!OA4YdIz_>(gM-S&w%8&1Y)4TkH_tMnO|-exV)#zK&KaKTW_H@tc+&*&q?r|u(oL=!p8N;y{OR)lHy-WKg8|0oCNKX3aX)Z8 zy*clZhF1l#FN^w158W=>Nz#nVKU+_F|M+Bt^Q*t%Rv582H5laf&-I>s^0`3U?#9HQ z`=BB3e**=GYiTI)ueFC#fdxT>-^d^r=Co7p@Zsp^=lyL>;x(^m?~w@FRV7pNU-ZoR zqjlrPP=a}Z@I9?3y&flCHge=%5V)yY8+>cMA@TkzEieDa}OZft3sG|uzic(cV=Erahoj_ACr-!C-ocn|948Th_lZ6MDY9q$==bD1`% z8?ei6!Ls`zCYWzxS5nKPKgid10?p3o;Ew&cd(Kzme$wn zkju@YB1?$OlY*+@!*0-w@$lhFmLhp<=6WduN5R;2D<7BHyzk>=GAK;_jfE%S)L2XNV;N?w#!gb|pS?~l z?etN?CN6>EHixtCEHBx(?AoE{B?`uE3W4}gdTK5i*)Dwc=gxT66r}fyZk!!mA>UVK z7^c<1;y@H0HwLY%4F!mK|DzZWWcm`W4z|33UTA4niU!Ck?1>R?-*&_0KEtr$EJ^ov zd)rCS-4PQOGvSnVw|Cv>KqwdnKnm<-5DeliNZMbl+L)3B=n$1*j3yKxLCaRMuivcqi}Bdi}(Ddo&B|{sc?aJ;r@c?i*DA7gG04W6kJETBZO;r`=ODEW} z8i5^fSCu7=r1yoR$~}!XZu{RuVGvUKcyx?I5(LcSrA;JpcbiC%7YLG?8W`w;WKDy` zrd#r^I5rHEAWE_ug01G;u*ZLI)=>;}rHlesSLU;x3&rEdCXm>01%&4#mh%;l4vEP7 z!}yN|6xOxl?2b3sZ)^6LO<(_BX2|6y#C5hHAnM%vP`1y~%3fYM>ZdX^_kC4738GC;6F7WnAOTSCjKIAwD ztb!mizRO>FE@CAHEf}D#b~s$OL<${H{{1ZA>IT!H;6+^Pz{?t?*$(FHXxB9s)ie)T zQEDzpok?jT;&E`cB34NB!slu^CxXHQ9;Y}k_k7RQna#GfIwe3}NI;% ze=u}mS<9;=+mdq978UG~I$M7CkH<A&9+N1}

&@N2I_L3;{7qadu$?#h<7q~Vqg^a!+TCcc2^RkWqfk1~MlSpT5yEVq=o(-pA% zSzIoi>eNGasDTp`yIc0ku*GNRGzxR4K{{$>{CWlyP!3E8kT9^24yHvNsPl8iS0YtZWxue1a0zGF+5XKqS zEUdY8zX3`8Su9Y}qUd{A3Kj~qtZ#NEy~a$8ll@`lxhNep&7*AmH9)*IkweAU{u3A& zl|Ci@Xe{v(g2M%{+uSD7@L)=Vz%k}0Pdc-5O#2$x@$MLxQ*O?j?I6X3B{~QQq$0Ad zU%Lf5z73Rb$S#8%*@WhxGA^4NxB3m{If%te$ZSj?=;}6e+1u|E-@Gkr$a2f8T%8q% zCvwV}EzH|?iQHR6mo+`sVg+M6USB}P(+accVPvs@;c0kv=UyTBN?3TBMlX!3)neUnUx zPYj<*;`7ASa-K>io}8GPj*a#K&-n!)La;Q5)Mk%#-xpiS2vSSu%yIH~h@f`c@Ju)G z5p(kI?)3@sPB>@a^qekT9c+90)ch z=AxjCg_u^%xtQ+#t&0ol%o;_w>%B>Puq+o>MXFeOZ@Z)f$pb?(tj&Mjn6-FFgO@gV zEhnx^!CwrcH7#y2{qNrzq|=Z2z`1byQsC`m4L3y`XffmbUx)mKbv1J1TvHNI$U$(K$nk2D-C67v@?l{c&@?6Cb zNJgJvzYFOu`w+I9ieep3QS-Bq`Hago0%T5f1l!(b$+1a=QP~bY}66XUR@gTRRG}FaweddL0rZO;7(RzvCg7X@f%&f%)?!Q3C%x`@!MS*wUm=?V*qQ)eX#P*AQxM{mGs) zpU$+|#H+koN;|3ixseqmp@no|X;0!0-xa0l$>3EGMvlA?UpG;Dg_p0ggMubVZNSJ_Ay?bOriM(CDQc^n)^tXjb?OYXt^?_cr#hPN(~NcF@gr&0KgM` zu=P;j8+aHIbVmIMV$e5ckIuVpO?bn72-rK(ow4j{S8A(QtEk|`YWvPSPr$XYRzr2= z5iZ_kWTfnGePDpgMCreQz13F$mZf2b1CS)ph>?JNx!s&E@^S`CwMXU|$?$xT_yBTE zid?e!`3b$t1CxCP@Z@Fyc3A)jP=!y{K=PdJC zZjSKta1Y`1l1qc*2!G1Tc74y|Q~OFi_#czDM}dJEX_16tS0-dk13wkH_l9d{JPnVy zGopd)E2%td*dnxyV3G`^t0hdJx9w|JTjRi9j}WXi`QX9`fKf;&XMX|ICxV`?6AkT0 z??I4-`~%1cA~>YFNFBM+cu9+tkr5SuIC!Z7g{aIm;CKR~L4YIsBsV<-{pwp!*LE&> z0Yje-n8D?G609bgfA{$G@bO(%`1E{VA~J-du$-G>uR4t90?csc7dyO>e0ES(NE$83 z@e5quK#D2AD&;yE@8tw#yYHPEOUK|){(DMh6Hm!27DITaFGB|LfdXU9XA8!#np==DujO`m(twHr7sB5{ zxA@VO~5|Jvkdr3}XNFot*Uo@ae)e`}>tshcI z+1DpR8kE7Sr6T;~7)!k0eVVM@H*E9=$Cu;X8rb|$BAMAw3(0}P5_LCM~93}Q#eo-}I`yla0DV*LF4XtyUgpFfwbzI8au zH}=_Pp@bW|ZOMzfpB>&JI&hBxx1f!%K(M9-=C}p;s}jOU$BjY@`VOc_3W?KUP_?|| zR@*+-_>h1~eI)8Vu}hVlivqS~)>MzhiDI+G6odroBHtf~M7qIsU5)PlxByAbU}GEw z_s$zXKPz@U4=#A9lqiF)yHd!_Q^z~-`9n6$FbHX;;ZX>bNI32L192I%U^!#h|?N$a)56<^xJ2#;k zJdUh4`j*-B_ooUV(vVNUfK(2D_+WewelA*#%b^C5;pW)~dWcbmT`L+tY>NxN>z5m0r3*JXQNNiV8FC8duh`#zr87;A3V$W$)?Ykdk>AG` zT`B8^aZ7X697s?TJRAMryea-vLWK0KGc^^?cXpd5EUf$zaAQZxWa$iGHQYz-zPERU zkH-q%OXx?a_5MSU|39O|kaQW_ccG5u6qNB}*8pV?NJ}FC;Z^(QB)!ljV1d?Cj*)TX zJzqHiGGu#J(h3SmRJk25$O}gWI>}qNG>Zn+)@mDAC1Jl__kzsm2e5fiLTbJhl6*Sq z)NLQl`X(Hex|mdE88SlmqyXJhchx{}b#`9Qyt5kC2oMX~>e zc9ADV4tG}L9TASf`t9A+%eIb%l{;Itx1^W|cir&ebr&D{aV!RP2`td(-oJm}QMpl4 zvo@wvFJ?F;@9a$Z*uVf2a_^XABCH%o9^+z~_tz7Sjq#yEMgt#od>4R=HVwqs&S>AK zT@w0}uGT!&y=3+0ieOn={muRp1gSKw%A4bbXFI-p;XT`Xf3WYc>aG($$hqJ{NBOcn zBQEQG@f5x*O5nZ1fgE&L{^kJ%EvwP%9(Z+;4Ql8Y+IXB1EC&!2Dvwn-I}V+3{*r9j zC`I(qqPmty7@vtMJ=#?{ zF2paQ36=iju+#?q$13z63}UCou&wHG2kImBJ9`QYc=yMNA_7rGYnSqt}r zFOX31@+?88DyuTc1Z?6DdFG`G>h-(xN1plPQLjt&Sw>mCVqI2_yL;~0pD~}52@T?m zlkCbmeZp?uNIGN?jiwIL;rIs}TpIkxbKv};iq_LjMOhv_misxoB{m$sM zFJO-d#id#Of}NBfU1*^|QiK0y&}Wt>78W zHa4K3fKf-suYQ%qaov{H;e{5tM2XvPK73GnX?03}>HBw9q&c#<@yd5_y4X93Lnqdz zd+%PEW8+js`%zJW!4kN)b)@x#)dr1;J#tGhaamN~ zRCspLJBGw&oO8z0!pFBy)M+3&nN9dn_tC9=_onl`vFT)s0rvsN!TpDW?ioQr%t1^v zDJdD|Yr~#1dv4hud-b?|1+Kf$acwY0#7fh5!ZZh2t+K$?%xS(9TVLPKE?i@UlT3Sf zm}xlIeAS=Khohm%!6fd>-kazh`%(AMnnbt8CuXHre1$w=W+n-UN@pll)zpVn4Zskg zhCZ#RD3NL8RgoEqOf>a^9yxB}Q$!aE7%(s!s_N9elVijoyONqnV3_KBh5b=`myDb| zW1ghtm<1({d2V32yN0bZ;6ZWVPl7YHNq4S;Ai#lhicV;u+ceh=Xwqv#|p8QX1^`g%?%c73h>;(57dTu>&i;iswjT6|J& zG>c)pX^V}aW}aMU=B5|wt{i1UKev|fKGymYCH=Almv;CEMg*qaiS!)71P(TXq>Ky< zaqiNO&N+Tn8|porD;EB{=Wb^ZO~#JhW;#>P1zP|H&J*Yr>CWvKlOZp@57EEKY!M!YYPgTP~>>z9Ct~rmk>+X zln^j2BGj3IleX9%YT$5r?h2`aap|IdyGvTUwl72%AGBIxPF;v_4|ROc9vm6201XDW zal3S$VqF|Wt}LG~GQWH(5zVMfF?a4nCmDc+zvzogw|ko&ofgMYl7foo%v z$xg)PH{QPORM#%ZM=dUS5e|AzMucXyl8;Z_;)$WEUemPJJ@?H;e2!oKFDQEr6GH|m|0cM7@^XAdefmE8177hZ`RmpB^?~@A08f!3~@KEw*B22tZ>`y zignrFQ9PY{&#B#Uwa&={>Mf=RHSs_k4mX1id9{K6!Bxm)RUq$)TZ}Yts0=}NRbC?K?tZ7l;EFLleHHc&Q{*SniHVObRv!WiX21F4 z7KGP4elR6f;r#lIS!lB{i%eRIdn*rj_IJgKN?F4cHToESE!ozKCpIc5w?_CwWh#-@ z>I9)~vDR;Cjadu4pT!TJh-nLu@(YOd2HYr47T8jOZ3E_H?Jgf+u?pYKec}_9O%}f5 z;fLlxfHN^R!xR3LNUQ)B5d~?{gRCGclxIBDqgg?-&M~ucXGIe6_I&nkW?|*4=)woR z%6AHseSDqjKgE@gg}eV{#dh0g|4l6d4}1BmG-k7~65M)g zHJTV@Em0q{I#$!eK74xnT<+nB+^zcKI$bugZw3iZ1q?6XTs?T5X1WoNX7keUyNp_t)<(>jHm!I{QWF z&;p-7d3NjjU&*q_@HcOiAz>JsK~_1h-h*j!k^z`soq@N61zk6QPy~<>t6hw)0zv7kkUS%zA_$+OuzI4}ITP zxrfirzJiZT^!??tN2%REP>h+6bCal-v|8$;s;bz*D-2kmBZ2{n^pDe}#qY-t-rLRD zRxI8z)7C4jxLA@n=euSa=IKX2vmyN>;(emQZIaWYSw{=AJ0>Z2Vb9?UMA>wd#~a z0>>pyGgV`S=FIi(XHD4BEr~nv<=7faYBmb26dx}auiP3lU-F0DVN0WJ&Lg9vrUAZl zi2L*onK7N~IR_gMhUnmNZx6_q{{UwPsAOLw9u5utLEqZSpWdsg;^*@eAP0yWDqRv!Ul2A}n0wfQnC4Q9m^Q3W8vEua5zmG)U!7cPjf6G0TnG(JK3 zZPMFb5#+Yp5nS-m8&gwL;j@rZT5V9atnUCQtii5Eh5qNqk6ZUsQhnR^Rw9`bAuZe~ zS;Spb*j1zp1Z`h8CwFe1&+N5jzU6l-3C6;wmkya@mRzKh#(FgO__0n2mj9r1T6)s= zvs|`MM(nG4y#eEvTZJCBte8k&%Kc0xwpZxU zf4;f)Jl8$Lg|xMsHjoq0kHx{!KRPiNEXP)ue>P&2!Z>*J)C6a7Cdpk$CeZw zv*70YqRx?}?$u_Mllut=rSRWtyKdrAQlbSIifZ58;AI9=^valq&LWAdF4CcvN=w^I zl6!0<1QF)fqUG8FW)pwMZ~3tzmCcp>@fG3WZUaV`he8zow8eRlve&L#qak+jXhv(~;{8E#j9f%{rNd~5n z0s{LzndLSUQ;R4$a>u(D7kbb+M1%W(ZP=g2Mn|aB@S_ z$=$Jc++D=0eEEIuD(@{gV;)wz?`Okk1{)m&tbaLWB8r$qMY|%}<^z3}TkYimW4We9 zl6Z%KAhfu_u5kcHNEb-+di<1#>wG2-V>gh%*8^)*D0C(Z+`j05Z24&?0@9?=q4ww3&) znkH@L-LX--!wQ+X*-Jz*azYTS0c_S5%$2l;dr~6Ihg+M9o;WCKxLtCiy<6=*f5=7k zO=O$45uzmZ6DuJ3Klh`qh%ut$wE9jDH>>s<0EA((QFBz!pd%`ns0hj2gL zlAMu%SW2qt=RP!PNHo!g0$#pPMr~5yh4Jid7&D7m_umA&mjy{~UZ4{%GJTk~tEt8_ zwS^eKzaHGTz3~bSxm;`&6{9=g>hfot@SBoIX9#FMALWY`(gg_3Q({RVoT*x}kqJ26YT7rl-HMq(LzNRC2!G#6ydLh(Ci9bbx zKV_iSL1D7-#EFPRYh-3dQh9(yCNeFk@==m--0+ViA%ccnxZ*x-p}V`UK&DX2uU|A^ zQzlkaoCG`%5%^Et7JeGtqo}W?UF5e9_soN%5AR?+g7ItKRI~1IoEfCxB)5%v;)T1r z$qMV3dRNbNZj3r^1l9c3n$5l$xv<1_M3Fl7m5$K=8;;r_1>x;Nv+Ngmdx}^CC3NdL z&xQPE2>muVZz6pR>h<3?p5ZN;* z>E8yZC0=dZ@Hy!{)2Z9zTV9E{W(_rB2*-83Z|QDt;!N4vi^!RfVcf-875c^|4^Q2P zFnyuG)f1gA+|n^xc*N~MCoMa>AE*2JL-YnEZDr!ts&&af0;fAY|NgPv~NrL#hE1~ zUm3qIHj0aiVvbPyJWNT}k)wCmN43{f!PeRSuPc?IXQY-4c*Op6^n6hu(X9TK_UqYM zwlwjUFw2qIWzG-6!h??Mqr?!e!!>PTrWnEk{dmUbS2SJxBhv^NM_Sj%GSz2qD8J^6 zJ3qgvQXMwB+!n?q)((tZ7z2}HV_6|>Gan^Bp+Bc`pAv3$7S4=!&Y4MJit@LAkNaak zvtU9T^qYPWyoDbOG1e)krz+6yC~Dx;x8aNUI}=$DH_6Tuj!&Nmct#^Z^xOOA4HVX%kC>MH zaXXbWo`;SKlNHnYlXr_Y8fymE%LyCnV*|n{eI-^1E2tblF?4BuZ2%lwePdJiJ)URq8jrI}!Vy!yr~h#r4$>J53w)8CKr z7~Qg6RdGhM#MpXiGkENZB2d}c27dKt0I@dB=m3hXfExzZhFI?I zW^g6*3L2RdZlFbW+m@knRR#hk1C9@o%4nhpSA?J?bE}< z8*?rR+Ks)npGgXPEOx*z;B?=(!}~WNyn_&VgVW7w|ErVVuD6h5E6t5nLaGU z6=?_I;9Vf_r$-4giiuI17vu9dxQ3jnVG}3^&3`qOY(1N7>|uCZf@WGD-A7_G#40Bhm1XvTS6X;A}m7%BK_@tBxKZj-69vr!M>4matLCPsRFXEr@>GrBG8 zKw`c8^?CgD$JIZRTqa`$cg;n<^vluVm@JsRr>^0@5z!CxI;?}LNf zdh7hsMY(WJuv#oNX!)`>qO09}P6z#3BKrxql9EcL?MzafOiYXTDm3AnKTf2xCyDMv zm>g~UDiY|-RwbVb=a#k))Uec~JHMH5xf5y%k&P;jhwH`IxUM`lKSjHJQssCeHBD4G zf>UsxR{LvdNhW%1O7*AUk6KVA`8ZT{H{2@yYA=eHwwmtA!Pfe#A5Z;-NHX*)`EGwZ zAua;Q2zHP=G+5!fMS_%rRuFKKt)nL@N>%3z<-d>DBQNy#*CSs;L660?u_-I}J_Fu9 z)#JxwkiViXO}}RQN$*fF`X{ERXPH$_TdCe4c>H$2E5Otn|0e=-?fv__Pw_7HKE6Yz zP2w9NLsF`lOlFyP=E#C(Etn+C_#75(Ag~~5&ru~u>3R}plcdYx6YHtF!k*}c_9u>f zcka}n&x-SpO1;`}2uvfVt`ClR;ARd7Rx-dX`!)d{oh14fPomZeTKEHFV{E9?EUSPU zL2#q_AP-tybXi%8_}YE^wrU&t80YnMG4ek$>Yic`YBuvK^(ci7OrAf-gjHWjCFyTd`%kiUSHEtDN*9*uOF&-^6f_iXZyV4MJYpX&i@}3Y!G!egNPY`hucIq# zZ;!dt+OU4Lt@qp0Q%{}RKmM?WU}t;gl`=g|fX(pMl3YWh@86vd(+4ED!=5A)0@E|^ z5_HSe(-+TPW4Uz>PO^qC3-G2Q*>V$Qwa}qTjTHxj65!d<^T!<=Fl?i-zrw;tS8>rD zUs;=AEMFLFpk-;d@YV5)XrY~yIGSczd7)aDz!vnU_D_THT;pces+~rp#sw#fZCJ#g zYw&7{tgJZ2O2;`h8nuVWSJh;~zRT5+%)I|}-_%eGdT4-NhPWFPQFA1@C8cPWL93xef(2EB> zcfMc!{Yxm3=-%!8nLY0A$HhRB?c9=*#P8Xwr?Womqvmj5mB0KRj6W+3(Y1E~sCZ&z zWEL9&xUMk}chH_Z$%};&@%j ztP?y_=Ft=sXEOz~es1n84Bo1>ofhio%V!@eov&+&2BcMVw?I}_GPJe}(XSDZ^J4Qh z31fTU^TEsA0ji4g;ie0j<@hHuWErnMY_DIntvwm=pM9M@K>EOaC1J;DchPounAh9m zN#OhUVle0s4x~@zMdLc!+WYnRWL{n_n21QzTlQsa<&57cfcM(WEIswkDk4yhvA^G* zZax~&6b%@$s8^Q?lN~)CUi=gkOKj)kT6Gn`P#SYv$ zd6hvk_~h|$J)pLKeH}yB{L0hWH#rzlA6;Vz@5^M%hp34c3Jn z!mx=fw%g5Ty5NzS&=!2<56h{?9v;*!=POOqPJ=bmB8OiMEnm9%!ShMvIAesFIml=4 z{>=Yz0gN1%zp2#O8!>N;d*k;$0rTHBD9~n?=Xi!XQtieUn{!vbAzi@`q@=R1J=t*N z3Eh_&49Y>GPMd*d9S;F>3hlxKo7xAJ7A;}rCM3sxwr|DW*FR)#k;wQyks)_k>BUR= z{nTZ0!iR4%lCr#b+dKcacs-z}*GzC5#U@*Y@H1Eo7md#IDwcL7U}%X_*K6{_XSfUg zC_bD9w!b2Uo53Ll9wYFh#dDi4hHHl*W}^&a^kM@=KNeBd~5P`-r@TRTSToz)-Z znS^0D;6sD-oHrlvVuJ<@`XA{h z0|aw9%eATr&7e7AKj(J>{Wo~mD2<}qRq12wyUEB_B`=9#A!4>9Gcy7~QT_>RG@Wah z&wv(w&`(8sEqZqPc-%xJ{!CsZ&h10(@3 z@Z0XV9l6U=p$tOhn-;RZqM{s>f`7`YK2vp7Qw_GHq%*XrGhe!Yx>TB|l-u(#X^%^Io+F#im8G-DY z;r1$HdRy1s@zabX*|8=1U3Ue);E2+oL{grRz5aCq+?Y&@m7c+@dMg$9U{b-C5unyf zaw{mP4ZJwJ!V8s7lVg1HhqGuX`MGRO=eB4)wR9)?YQ57mU3#Sj1(bs~W=w*f)u9ec z>nN#3MIKN)22S>;$N-_JPM70Ub$Kvn*1Nrm1ol18SbKWRui@_Q{d~n0E5{fjlMVTU zg5nozbHj6UGRn%xT(*(Crew%rPFwW8CX5j40*DXT#qj`pfx(>b%&2m*5o!C<#qz2a z-~{P+*r@5b?Bb`yO|zsf$lgm`)MNk17thX&L2PT5Wxr6bj79J6+}CH-J&MQj$j6e- zZ!pHpcRlF+n>4l2I*-u&vt5s;MKkk>UbWgrSVseSG5BNpDmv{)A)i3a%-<>n0loUF zxub!|NOjx!33xSlECxKmipRJu2oo|jKj!4GBA3Fz{+;0P8c1sabV7E9fviI1o1>>o z?u72TMs*T`J+>qXf%ziF8skvhmqNh~yR4at!KpW~3yjGO&yU9%^`*1PMkf^fR3Aly z<*$;th0wU0@fLbrs*T>C4&sve)LC zdFvmfbcLWr4)}7C5g1$$+Vr-?_H}Q;Ja0$#f!k07t5NigC`>wGIgB0`=HYr9@DKW( zI^c@0DCQME)6kM0j`*YX!}TkNfrP~MiBhWEf|ryiA3rj4V}pXeX!FOzTgK&t%xDzr z!g{>4>HJp9162hr3;G5?tb^$&JdXJRe%K-S8x1zPD}QRv$S8i%;u8stD+r_Ewhf2U z8>mw`8;WIqw^7;AN%s4c=hzrbdx(n28|=N((%w5ec8I})t|hc>PBB5U`^M!yFom$d z2LUpXuPLl#y8GA_V+kEQ*an6CE7eJvI5^^7@(a87J666daM8k1NiLKaOIY8czvfkx z!T-UxP$q~`Cap!YEI^~e#?;4?PWQn3drR)-{BG8SY$T0QA4c!rMB$erZV1(M#Leus zPWhxzU7A%fk&32de&@+*9O$p%(TSPfmdRH^IHxU~pKO(AFVr?qjCrR42STUuI2$$( zT@O>Kp{oNv0cJsAU0hL-8MLEF)AbQW#8mqYYnGo~u2T{p8?*ox*4SVW3HECe%|Xpy zr_ie2ZkxZ5Z7_<4b37Z#eZae-TZ;ySG ziH$I-OZInX*hOcRZZCm*Qk)$2?I^sxhJZNR2XW2K0>CCE1~kC*;P>@!0uHAa5T+gp zS0*e9yrA8IfY};oFnssq_y9B>Ojx=zp;Imy-5RAJE0eg4C5%X{_OL!lZLUj?IAEmumF zK3UiOnLs#=R?Z}v0Xd@;Rz(nA68vU#8O|yxFiudN_rQasWz|CbOcy{Z(YL!MyuO#1 zKdRO$0YQQh1k_(m>@SWblpc7bkJc}Z>#nPzt1~2keZeV7(KVCb;_w-r*3-Jf;X~ zSQ~7cUtL~B0caL(L`o@kZlL&XzCH1!l}Dm>NmPA(R9%jg-i$X9iOQd<)HS|sX-^@c z2sRtbdp2D1Xx_V<2}@(|tzHz|vQJ+o2&&X_RTY*ads#82h~-E!@-$Lt|DwY-EUa29}}nOV{UJ6MdCu*5;SG(Njdk}OMrTLFY*3w z@kL9yqhn0D+nFiug!r9Q_;u6$GPe>Jexktw@8I2+p; zdlfkpz!h+d{(rmhjIOii^r5alN)5B*?og&7`rL}7zUPQCdG@#f%w68Sn`zn&ndd6GQ-K93e1x4yT5gl$pdOG?CQlpHnK3X{r$!T;?bdNY zg%4&?u;0(WKzp0roqvHaPA7#f7>GjIS%&Pfv_$Qn5!<(IOoyTTMp7B%mzDKj==Jq; zu0g*1Dr|N~dKN~z9Iht@ zWh&mv-%wqb@-{Z7w%&ffZOcE4i^bQ;6Fg8wo{mDSjy*+gIxOORr-GCgeE(Gwq z&3odfk_8<^>3=rcFvEoH3PZzW)0r@!R=|8{t6qZP{SM8Vs)4U~N5|51+TYmUpG3`) zTqg9!noPgi`OWzRZ~vN!^-ZchN%DwFp6Q&+FC5#6*e+Jqq}7 zA(Pdi#0kp_c}+1&&{ubTkbW@a>@4ZgNqup1%So=Z%~g0;hw zCwJk(Q)cgVj1nzG59JdVs#o)87TVWJOG|rd+jQ6)Qm472qHp&;wQhSST9T{U#z;r` zo<8&ak`bA_WEpmW*FQ0!R;{hq=X8@%ZvI*yyE2EpIkYB@8+TW`=3P}q8<$-s6Ze`D z$N$#Xq*GUo&SAQwAN;M3jfs(U{flMZ=XPtp3c)^}Dk4LqL7XoJzN&rDCY$`MLT1eR zgWBWt^tq+w@$&E35C*zV;K<%mR=3`Jw+!} z-$|+%TkW{U54&?1c@u#|jOy1NTv72H15+3*wA{Rgn5Yl*?pl1OfPvI~Z>xJJu$)S* zhfY-J{B&=lXYwTW=rlXOIJ0A*9xL%TrD$Qvi#|Y&;*%y|%?)O!i8&=9)q;riXi)#K z!_Y!^(x{GiI1_}r)KzADeynNR1k`$RD4t@&?+ae0y>ioe7XJSI?DBW){OS55jGL@x zamhLXmNFq-XEUe8U8ov^#E11q`jbhG6D|*DuYYbd@YTOx)HvsE=I^XU5{9sg)@Wk) zqm3IZs5sPfow=jWWS!HxF|$yO=5n9%{1C4wpAnT^VVV>TiPgiR^oW(?BS@yu;0sm! z8`zwa-!}6$U&`re9w;!$09Alae%j}ZFDKWG*&qGM@S}*1tWK1n)f5er&Z%FsJO#7) zy@?n$(bjfvr7e<QyUAces6V1ZgC z3tMqVH3twRzI}^{o0+j*b<^^2v{o=t>)AI~k9G>)_aa(-nUZ@*Gs0iaF6@3F|69UC z{abm-y4ryJ)48Ig$kLs!U!R9&?U2br#uxNBo?L{iiM|A+O;G7qDGQPq%PCS>cLF6<&AAS*rw;tzp-O z?y{^4_**bJ2cIF-N3>A>Z8L!9qt}qJw725_dc*(#e9tEe7O;o^{^OV*Hj$+Ft@7*| zLt9)1@4d##TT@DBRd?}Njm+ZPDJ+w{CRa3Cp)^7p6XNho5e+fDtNBr}T$@HoY0!A; zlk*yLtXBH&R=ar}0Q%G&9fUmC%osg3HKJ|6jjVK9b$VwWe}_#BD2?uWGXoBRrKJim zSOA79KhPjxJbMu5V&y$rAzSsZ^E<*SJ;YrPZ~5M;emc=xT9K4?HFW&5U)56?h7+Yb zz~&}`Q5$wTEU?o_Ig0aWl%?$0tM=CybFWeuYq?A%(xA}`+HdjbAIi|WLB0Ar*r`zt zost+V>+yl>@-B~daCDAGb{kZ;z^s&HpwfW$)nfCj!O;hr{{NB z7X>?-h>Z!ZW1kJb`KWX>i46AtF2WP=uUT>l6;&WVHMiC7x8@&Xk@&r?;^ zP$R)fkN=o=Ol-yP`ugaXcS-cL4DELpIUnP-valw1LVW;Ne7o$Hmh_kW{)>watUC|- zS)d8b$zJMH!pHmYV)3Z;2DevS>C^w7vM=_(R-GkGzV4fgDSq@bsFd$ITqS?aS@5GH%;;&Ny<$zR8)k2)p+l9#~`* z(~D%9S_3N@6=uI~-M>~}|BZN;kC!iO`f;sU$4vbV2Ru6bBS}dz%>Q?YqrPWA=?Di2*7Bt4x>e>+UiJ(XUP$iIz`yrt=4> z)`e%DlX^s}bbU$8AT=EM2Xb+K{0oFTa6T^gI?0dsGy<{+Y?fhmtt%8*+9kEI>4J_= zeqEydbIks)c|#iD%ouO|36_KE0HHuMHqF;Ki2nSkA($k zi^#bo`b@rNksNvW(k!1d@Xmb`Pq>(fhxc2S_MaQP5;-^H!gRVs$Ji9k_w#Av zEmnj1sQrvm3a?qMHMo1A$mNT9*jJ9WGMUwBVxcXW`zfry>832hrPGQSD5ap>^Q{v+ z@(}vQZxi%N1D@X)%J2dqayG751X}OmhQ*8F_c^9Fp<+5-oL;wjH8=3(i;E2jUpo!g zy?ej7VarrOg{dtTbKq zI^_CN>sQa+(Mz{Dkk2VA(|agM+p@7%_Z8Nd$*`;0{yaXeWoc=dmt!jQ|Csvfpeony zZ36)X!9+TwTe?9Sq#LALTDn1{8#dh`ErNi8v`BACLXj3}wt$pKe`|Z*-^};Nneoh^ z!`{zxuY0X)UGcEAGRf|;8q6XjYK1!5&v03I+ekjOE`g%Sc1%K1`#2IKu1qHTUTvQAwjK9;$Dbfd@5*b-WRzxQ! zz61m=89cMw*5Z0pHX${W2u0xr&YO1*QC`A$*xLkwZ8Z*=Gc%lxP3*AYe5nZpS4DAg z+8^=vf`VqDzlWuK=5BgrWfbr_m5*BRvI`U1F8_BFW2EE&MqKzzvNWh#`DWGTE6V8e zrSY}JQA+}8kMhTeV;*n&Prg;BC_bDtT8rt@1I^*i4R`L}SgG7!`dM*`at^;*2I*9} zh%>lXq2zm|^h{9O_a^qYvwXs{RXZMm)S^jr6ufw`7@5iyw|+}E1e}qA7-Lda5IS-; zCT0};uF-PoL_gz50OOvW)iD^o{Nwll$Ia8YxV$`P+9@yv92Tcz?fl0ma8);JG0(Y< zWPYT5>3IjE07Zp*ZqF-=k__wp#p_JXGHpsgzp%C4`m)8um>z0VOm(#dL1SDL29zQB zz(6cR!v}rC6fq9dKo=}|{~lA`Ewa%U6;vf!^UFx&jkwcSk9Q(9A)ex$TqT7WJ)Q0% zrIpz4KYH((!>CP3zFks(NDQ4Ty!vAYW~zY{r+b-6MJerN)6d)F=ez~9Ow_*IblHg} zzYza6HjM{m04ZjPB+C-~5P?K?z3dJU7VS*u(uXrE@|_nzL>Rh|@G?Q5qw@UOrOi$H z^D54an0WoXtGRgvzc&r(b!&dU zRJrWI)AH%crv$4Ro_y>FWaFAk8uNn+(k9^{m5YeC#&;)LmNmu(%3Lf7UINio zR77L~QP?p;v;G@wl#*jH#tPqV-h*u|CISyG)jZJDOidZ>edXz-;Dc`Fk;7Cbj8qGs zUIv=(uQe!U{;_&NL$!eKxRJ}e#ABTbwGcxx7Au=tbrnZ)^5CFfJC4k&pOCvQ+o#M( zA8&Nj1sD{xIGpR zjnh2+w|DyMPxT)@+RbyR0Y&Q>tl6P=a7A@n>4}8lyBm{3BT)+$Z!9@9Sa_9&uP_~$ zA#2qA>4$#aNLR0`XYr}PYM@?a>7>qyCeM=@udg6fP6|k9=+Mqd-tC&S7SRr#zbcV3 zNpc{jtMi#~x^`6v=1Fy(L-YeQOkq0%?-H#oe?U~9+3r$Q-6{leig6LJub~xZhpQN_ z7y6<($x~-o;=d;$G2IyF1Ld|@Q)f`l?tRNs><0l=-2U}?e{6W7qSz#j4rA~7+Y1#2 zttaFoQ!v+JW=GFlb4rcj5CL4Ze^?KC9nPbcb;kPRW*CqnP&^N7d(!07%SD@A!`jZp zmtG{Qb9v=xty=J;1XDgMd~h8}T3MHkon*%}{K|nI%;*`|+q(RXfi9gT+;DwiGk@5* zhAitNh3&=2bca4g$Mc^yFq6PZYcYar*~Z)>Tu4m40@~7k&{cA}ELi|o7A6-NI}``JyI@fn_Wsz~;vQHpz6LU}rE=Ey z$DreZ7XeBF_#|}!6TP^`C1F*!?{|C-O+mA1R@i?;i`!_T1r60APwA~Di?Z6M{KJv6 zY}@I!UvIse3CY|2c1cJ30{MiHvAs#DUG9TEYH+{WcZ});bte6v3 zxxjX`>5kEF2kVko!V?u%AAZYd9b$RiU3MjyI>+8GSKj}TtUO!q;2Wx2Ato@nwEda(YxF~ z_`ObAZ<1x7gH70LznU&aVEgng1XRru9r~zvbMf>VI`~(t?;(;_V>S^P%}K*QhVG_Z zYiM|l;Gi5oLgEqjaMr!RB}REinfi9Oa5J1)?xCJwu6$j6V`5k~r~B|lK|+@Aphcbx zPk(Uy+YOb2ADkS~a=YQ5G(QP&!h{%0{zb#;c0%Kw^&}0;#rOjb+(cNTezvP>3Ad4f z{{a>`jaZxTyG_fq4V*9khqvWrkc$|xVJHp1QXV9#MDCP$a1R2X1kh(rcuKTs7V)we7@(t4)#Mhn zVF{OR)Xl;)|F~@-@bzT;lf}jft!Y8y7N&HZC&B9V8#kC5&i+(=tii&p1d_~~b+uLv zTHNNEgKQ;{pKaOuDXOnq`?DvyyGvlz$~`KMiBJSV?&hYoV}=_VOapl=8+3q0c_z5U zL}cBMaCX9QJ07iVZ=0C>fSZsN$c|PZL4k?B1lUGsLQP;G$>5-v#lc1o$6&a%^6%IW zB!grRj2bg`mqQ7 z6)GTBaR|%Y@%sHoMIM2EhEm~tcm43*rz#PePX z`q5_HrN~)H>s9a7p{f(PFj(5E12y5{ZLrdUZ9!2!6@R?NqxIkTK=O(k9kpS3x_^6u zOt6!J{!RoTn)r%da31YmzJw;ku{Pxbd;P^Ah`v_-?mPe-6lYrbS<`{bY3ZV_6@_X~ zh9K_&nUlY#G0!nVN28YxYe-k*|F{6_0qkQ($N{{e)dc~bWZ`?;iho;EC+wFq7;?Ev zyy6r~RW(E-y|o9=ftB#Brzw+dj(z32`&PV-Z`YoW|0$7Iq42?>I6@LZTHV+GMvhz{ z$jk52bR8_jXc7oNbB{ezN>oVwQ|o(JpANkWv{#BLjQPoW8&7Bjvh0BRtq7t?C?J0cv|3OI-FB`e2AEb=>^Sb|#&ZSwpGJoW^IdvP{^#tABDXcrgBRYghqWw?K(*fAD z0@gYrB}K{6k+J&w^J+|WeY{<{FqYT+{VcC*`^o46-wD~QmG(#~j?pmN1$!9NAjD-t zbF5jBN`Fxp@Do9ym(XC*^2r`kwUB>`>;89K1z- z3mgNEqFA7PP25vpHW$5nhk&`m#YLt;D#%!8_al%!E&%2sfj(Oj%>DiSC)PF^J7MdU z-{@0RAar#s8n%fw?kFzkA<*E0jf?;Qmay{y8MhL`n-8a|N7>ee8BNTUI6ePIbG#%d zf9d>MOBu_vuOXSbYvoZMJnDhmCVp%24@tjc)M#_&2EvjD~2vC-`=U4_-3U!JaO zUsOP3xe7h>L(FL^PP#(@LU-q-bqPi&>b{su`H83{lttj5$-Y%R>8KpO*&P7460 zn3#eeJvOzF{nm+z8dpAju#-)+p*nC~Ij=7MV~OL$)T^1JG*`XO^Wto=Gc)F))=K0! z5i2S7qMkD6+>clv|DZV=D)m{Uq1W=wIzm*eY_i~m;K6w9q})~AVLxiOp93PVbhTC~ z7OGbqu#QBll$&W@;C?SnVLu?X&!B5*d5Z>^fU?(AC@I^c2X2Y53JxSFWzJVP;Gg@u z!;FqjLxadHq_S|LSB3+{V8{lwh?3GEnJz)c`<5xbYXa2QQ}$Lp0peHC)c&Ss*piiZ zG$9nm$15rgL~Mw7p=5s3>u;_ytcAYsfcOI2JY}5}%bPnW<3I$gkt}zYrVacrq03}( zaf#mhwQUAAyz{qv5@K|(ySx5X{##Bo|8!QDaJv}3QOz9ss}QzNko9f@YYcvMwGn>U zS3|zWKPpiIp%lv>;Jn6CT+jlEtDSyxsZS}*iWsQQ*PhRK82hYOo7OTHK7AHJR-9QD zHzVHCfVu)&0vmh33{YwTd;qF_E2L*>Bcdj#vcwSr)?npD0lM84AtN;dgUz|=DW9z3 zVr%tyJRB!E!7P#KPv}S9o1g)v$ev?kuIY1gNw(NKSfRguCBU}09)P{U1JnaBBC>1s z1*hIss=tram)UD9hJ)zBy^*7?tqWN*w!@YegUG?Je%Wzo~>ZTeiiq znw^mx10N5K6_%B^*OpdRgd-+On77Z0iR9%s!e1Z)bRAi4thSEVT!X$BeH+6hbs6HC z9s|o4uuOE$%_u<8b!65XBJt69W;f+PD)|wr9BYqPq-= z|8eiA|Fz7Qd|0kF*fG2k-(VYgNSl@1%;s@{tCLb2b#ED&97Mbha5ML{YvusE`Cro2 z4pqktV%EV^Q&*Ri@8f=|ABSdnZ`Ff^@$4`Vgg^$AUw=6D$o+;nHXWXZN=I}<=LGj-R&#t?Be3Pz=T|3^arw)Tc#SzsKEi0w4?Z8yXny6E zQDoGc*~bWg*KBNW+@SH^E9?LV1*@fAM!2Cp;c3bN7YLh|QsR^fE*|jU2>|xAviahe zo4bHHCw)oM(cZiYERo^s{ZyurnJ#)Z3_eh=31vD5r+!4=ztBxw6z_br6UXz3%*n?C z+ufe{$tl4M$~Noj`t@7alitRC_#q&5GkEP66RO%&>WPdTbX4wVOq;*W2_Z9rbL`5KV zX>P7rN_b>o*!P{^$G_7_UHh9H@MW)p9Qpyg*l4)Zy)R6TCP+TqfRzg569Ya2}w3^;oTwrc#p176hBq{A&fGUby5 zQdq`q4Jx;)I)(ocF8_PzoTX`}#q=S7-kuLzus7b*wsq4-cjaROCPWQ%krwd@7H~b zy?DI4Kp!ELNdhico5twEOamS4+N9h+B(iCWn3Mg)ze_~qjn?b>{yDw6x6+cU^@cqE z!vTLvGI+5LxHIO$yn%irw7%Yf_;UICHq0_vY1Z}*%C}`ca=&*NZl*E(>&~f69Au>9 zYAS~_$;q5=T-QwgOY6rc)ts^ZP5c=KVVb2D&b8c$Gh^={UxW6t*XGgvl=a7clt^1U z!30K0EknIEjCgyj`ae%pCAPEZx8pu;tD%_8HN4__k=e~f!t$Q%q z(2E8B^}>ZCC*bdc6M9)v(jQbjKpa9eUlo?)^?DE!?uZp2~u-@-ST)s2>@vi35=x#yE2) z#T{T6a`+$TLNrNNj>yF)Sa-rE;%@&Fu+3P$gP(FAaN=K+d;Z;V#^UmQ<4cvT%Tzaw zhNr(ij@qacMG_{=m(>LQq{qF;$r~0tl`;6@%6BrSIfn!A5W#u5Lhc9g;yuDdH=g&x$E3OKypXGIz{ce@@ zsa?B>;-pD?WJ(*lP^nl0uweYHDpn5THAd(L`Lh;Rt_ z?jh`r@wqH6=QizEuJY8mm>(BAINtvO5{v&1+DADuRVB>fU8B^?b#mPiAAP>|8RaAJ zyuDw8{hwiubgoy)URj=r8|QRLC#cHSQFd5pTU=;0u%VxJGi+|Bq?a9jc$rUdl@*m) z&T?+o9;FnRf}el)V$a2tV!!1ti^qPmCC7}NL}+45fh08;;#L5q#(&QE;&6iero0c|=(-45_qxDG~5^X_dbK&*yG2JhNH#NDzwyFv==LS8*z|p)=c1_P z9b*3tp6%uWYAtW+*;68ltnDJtkaA}K^DmYtBHpFg)+dq3c`T7PA@!oMVLDK)2}1{e zc2QgglVB?tO=oL_4xc`z(QEyvZ=|O}(o*5mwASw7oLlXHRxG%Ju?@5miOD>#y!K(ofVn8F9?9B`BHD$3&~z+C}B?oQ4x`!P8_C-UoX-C zk3o@9BTOtuvV2kaf6(nemd}+d1RAy&uGML{jck2?N_8bMIWlS!v|3(|(GzaY_Q2yD=92(7-`Ai5n)58(iR(I^XZ!?_UfyaULGxIx*u98XIluf%xy4o(&b_b#yx6I;3ml08v*G7nwVBF z5^XWL50R^X?g80vqqr1T;vt8W^N!acX?0txVET`w;r)gi3faBcRVGy!HmVW6%{5=%ygJ&glhwv%Q z5>lP#%381L`S?_FLfyO!K2F0CUB@7=nsTi9R49*v?bsDC)?k20t5Ro-@#rh%FBxh% zOU-XkzNBQ$_U_ZHD@y>F%hoeDFi1o=Dh;EQs7q{j*R{2ID-ndtr)7 z(!BQ8&O{GmiL2qz0_7_4RiaPdRF}e!WHDOqb6Qkj%a8Im-kGEXwrhJGYYK~+2w6a1 zqq{MUh{$|4h5HrxZ0no3_B)~ny18tUr#U}{7B(E)D3n{8^e5V11g;ucd0<9kRj^%=9C(oA-agpTfj{wOmPDnA#P88v4c`%LUgGl8ma$snP3trX( zAsRAbFlwncl@I&#ClOtW997$I1?s}&V*--jTtMgp$9u8PTCvgNNy#el&6~#H|w9Z#2v(nbB@&x>MPw^;P&aE@nErh3N~aZ6nb znK~eqg%=^W4=S1r$Psw!$LA9k?71aZB*~)?PZ?hH;6=`5$srXORKYKX{yXnL+D-|C zVCqrXu*BhBYzHxn!M^7p9#3rb?~d_1kGGP7;rscG`E1CWd5L_sXO{j&I~DasPws_R zJZulG6Ks34F8g&}$gu9D&}H^S)E}?jAqs)8nekrb1CuU8r&!>=AGKiLV>~X=fF#-N z{NfchARgj&2!xtu5cT=qE%o=~$L8#hIG}2YMz8+?iTg+00Zyx7#zZdxj#70mCqR2( z1#he+7iJBGO~qle$$(nZi@BGqpYp2I&8cf<)eaeS*2BEd_t%Eeo(FKVVX%!mkeF3F zLZ7Y(*s69_0HJ*G8py~ z*@gYEnRanUVxyKu0#dqF_wg1OR#B|Kv!6Tz;;h1kfCQYvI>)nJrFc9~F7I83Hm-j| zAs|r7xpNW^Z<7=UhWrh@4YgELrwYx1hv|J0fgfrL&IR2|hL|8t3I6=q8OTgP0bYa) z0vr{1;dU_@m2B^;DTuu$5TH4HS%5rPE#1cmv8)**Zd3Xuczv)JkMq@;Y6K>Sc314j z_yvo_bR}cslZ)ZG%$^D7o-H>`aKdxbj7{#eh+9j5K3?8kbIk8zY1aF$7s z1eEqvh4%8;FEO4ngh<%nB-K6Ee|DAM`PcUZPaz{Sp`F&wx@P$lTK(y-EuH~^S-vY- zTwFTZ5}y`MGKW#isqWT8!mfV=hF67Gy?ck*zvUzoXpSCB4x9gO8t|59$A7A4ne%G5 z5?d{OsD=ey3KOX9i1-|D#wMB`Zf!#fCIy)Ay?Ws-pF6GiGY?k9DV89H?0L6|EgLJ` zUTtot_hF-7PYj1~ks$=(fRI2w>2&F@z{X$yq%o8&*d9gKM6q|sUrrSG6Jl1Fu!&SWKJVK8Ta7aTHBgjbaOAiOlS$`FF(z|_p+$i8-&)O3w7P4p& zE@c)?ae=w9kzxhzA3AlEs}7DcA3wGFixt3ped*e@WyMU72a=&Pe>fwq2AbV>r~Nl| z&M^Js5WO&N*N#%Gl69Mo{W8-WH`{daQowa?6#s2v9<{NS0P-)B{z?NWHxE+Z?MjNz==xTE^kb1z6u{C;yYrLPbe zvpt}eUzPDMy>ONOfQ_uT4Bkz8@^W6kjhwbq5#M515g4Hty$7hdwfjE3icxiScdrT? z?EpC)ylgYw8u{oK?*2T)huoB;*1`X4#@Pp92g_OLHK{ux@=wNuk!% zjK=XRY3-;t@0sVa8KqEv`qXvI&#=V@@%c0Im&Am@y_5c1`R|^GpET7UqJxJ2+`_LS zIM!#xoT%4igFO%(&|UnfxcZU70!?TF5UDwc@vsyHfir?{@bR}T0?*jIH~#wMdlgU} zUYrwbJnDS=%5oAqd~)zacmxZ(Sy@qi<)%~Ff-5rM!@bSaM5ic= znnb0{)&cm}iW(c+TezNmkqgDoZC7A-u$*mF**l&HyelsZ1lvRi6oZS;x;8lYvEC%1 zOmx~XG*edaxxrQOZk2lafA{v=$LP@~U)+=2YI;=T<08|(38c7dz$Z_|C2}D_o7#9E zJp++A&gP73w+MTSW&ecNEb6>_R;1qo?d*bTUbs2W>BrO?QcH;&OynpytKfa~J#(eu z_3L#1lYoJ8E&P0f#TCcY=kfIYX)EJpF~DHBcZDd1I;9*GJb2QDf~2qJY3VgE;>)C5506`;{+Wo<9H^@T(@@-w_EP zjQewQpEg*{z7|@lo!Q%8aaX--H0hAaO>;aIvwg;UheL4gj1W?Oq4(F#rGg&=(ii{`^+RY#N57|APOg3 z9dnxCV0?W4e!++zG08T-kYvIar)^h>?nJmi{Pl2JPqS+dGHUai_oD^sF`-g(5~jH0 ztnYy&%z=bIv6VXi(lJI|#}V-fTa*fy8fg3)!LCtv{0F0tz_oVEV6^*}&ggaPVDp|F zv(E4a%=J9;&TwxqUCLbSnE=mr;+~fxzUS!zuIETfG8%iK&ztpaLG-!Jag~+YH*O%W zE@vE6>Hk{miT&T(@IOAFTc=gVN2IE?4U}y~XJ=+81aLp$fJ>i%Lq2=X{kGT8eGWgG z{IJRJ;X}-n8Bb7ysy5D~Zp905P$D6~Pgl$UF>x}pPAuHzeHKFNZjm84+qCRe!HRUr z{<-mt^JG!9m%!ER8ojdc;2zkHz{SzEHqu{OWt@IfcCuvI(qxGC?4U3!_%iy-8l7pz z?;-j)ALP~;2Q0}TH&~)A;*tv!_o&6vWM+{2Dkb10(zk_VWay!toyXeHGCt;jr}#C{Z@ zjX`15_HB%U=u%a6bp?>DrYqj)kMzFhHtTI(xhSt5@okm32m`eg!$)BxfRF;a!c5&1 zCG*8FFpcH|m!5bK1JRJPQxXr#4z!m&Ch{T6{2lA5R+1jQJ}`1L;p{HaJ!zv4Cne}0*X4| zFL^c)V6;c+E)4p1M|%Q{=P5^nsir58zO}{sXZu2@v2iL*=BBJ z@+>Pda>t8o2b5 ztyPBtGH%I$cpNH;tG?z`eD8Rbwn!6?hO2-a{6mGn_>*P*T^Q7|-q9lbk3ABO1g4V9 z6Yu|E`{V{p?pssIy#x;>PPa8~pai{R2~+GwB`zcnccfUl9&E@*Mus-r@Hbx+0i#&~ zHp8jhG2#WjFloVASz)8^PUWYk>OMYGHQ&h8%&I?M$|a`T-F>1;;9o^)o!<`reN+-N zF8}pJ0nwM1@DQi^EK5slD({RjZG6fp(r?MpIX(aVV;CwQlrn*8U5V+0q!E&4WRSG` zfkm;hKM9B(rwwoEXe*n*b!{u;&x<_OV%tbwWQVThnU~P8@SZoQW6%@*JS~NCZUDh_ zYy*y$n#}uE%k!l4cY4O>e)`(Mn&qhnD2lvtTc-M14=h$z*>x0(^tplsr#!3}n?{&1 z@yXX$*i)(dLPP6Y9*&BilM}AT|4Ma(rRVk)`7nqaLrhKS;VO#X*m)|hKTVX8K@QP# zPMp?5SnpW+WkuiDw=ES{RpxyDy-*9>&%wUL;ddUJJrO-PYwI@FeTZSop5pZ{Y7|wJ z9x<%=rY0mpQ^$XK{ToU-m}6*c^42F6_)>yWlcHw%)JO3V~;^rRfLf~ zM!ix=FuS0s30T=r;0)v_t$&&Fc~w(0!Vtw#8>19;-CLxfMZfCqNG$XgGvN<^3S`1h zj;5ny_v7!Z)IPy@x*x}V@;ClVgKn!eBkEg7An*H!bL){Mdq+1kR6 zMfOa$H2xiYB2hogFub#@KYzwrPpFJC!M@cBV#G|oRWwb0KnbxOT|)SI@!=56^rv%W zHaIWdsn(@}42A3cHKlK}6;y_KrlyCvoaSJMiTPUA@iNbx!*ury5uZOMLzntdX#5@` z{PZV=@LhY6yzaL;b%NSO_tc#J8r)quy@Y%aa?!}9Kb)Jj?IQlyf8@HFapVi@ea{;f zoFE~#w4W}n-XTwQgMFvylP60Yyh5_x0|LtAR3j+le%Zoc9Qo(FK0boz%m7#rGx90< zo_OPt{>2BC7k8b5Wt?>>FW%I`VPK*gLS|gw#^xp#-lNy87=YP9;sG*`pzBwjcKrKL_z+L5Y&3?io4Ize>Cr|z@SWE=%_J6J15?z zUb!YZGC3(>hpNsbUwG7SPBcIMs9gGAW$^h=anVfo{uz$KSWx3mlB9N73XX3T?z9NX zu2q3`X@3zZ zuTz$KV4u=stn>2-SPc-rG$t2D0p9&3MBLA$zNx)Ue^4t-Fgj_j>g|S;@aZY%Derfw z*UoT>nim2zYX-yer5x2}?Z+g#FXw5N6~P=5maWkEKuw>xcQ0vdauQULfpX&Qw^A_< z1y?aX2DGFbHnoDmzLVMC-$ZgKF)pXZWhKm(N*IZsFZHt54pHkIZ-AjyF6@z#r=|of zI8CPUAS=EDhV#Ng3;rO?815W-I;VHOhn{6{1X#XZ+{U{*IxG|VrS0Fn(9HWEJ<5e@ z-H-v9eWyX6T`9=j%X3FO{UIuru;?z|4&H~T5_T7NJFMCD%qbfj#7*Q#TGHFB_;sUk z2vg3DA!&OSkKfhx6=G)M&rSjdn!PFdGEOsUXfGGEX&gS!Q9j&VO3dYy3j=~rwQaKQ zK29#-$94B*arU_9&2+;-Lb}>XkRjKqIJzJN_T1Y%a)O;e;1PYI5Oy*e=H)<@-i$VI zv))_jfp*OZxccniv%o&Iott z;faaQqcbuDx=+nwv5G$VdQLf$T|x?U|0zsXCAiuC4eLqW$CAoEZ^m%po39>d+G^(wo2)?75Os@b=+iY%?n!Q=-!gERzUVQDq>k?pvI1qSXmDfy|ts+wRY*X>Q;f;j;gKRG;p zZLqu~p(SSR4d@@hrcAK}A-fXIos#!%7+_{M!rF0wN@ZZH9NjI}cS-9^n)pLFG-)F<&8dK5tlo6` zOMp}>T2!;x-xbueixtiB*$4=|*)&T_1{7XkE*gUu23O7lZ;qBp<}TS}StLO$P1|k; zEg3025Y$QGhs343yvpB{0L4$){Xmmq{gL(130&sz#F70jbwocsqjXu~*2m`CPmjNwCR6u;oXYcoL2hE#X=$Qhfnl?2O`Gr!k-2GSpG2I8jlROO*gdr&b!ZD2O`{=OD zPgGt`=h{OS=e)o66n=&$HnF&(BDO0^Oi5f^?@wl7;u6ekg5aS~SD#LZ zTWJNU)9F^68+dySy}EXY7w)#UxoNWV6U`M8)~U*|m}~Ym82$yfeF?1L*eb2|R;sM1 zvtZ2?CQdr~QW|uvtqG)ZOX`leJML%A@ata=v7^&Bv z^^BOlcEAD@mWRKxo_GX)VZC3YbF47C?Kd{>dbMe#tui-%V&0caAv9A@XiXFs^A!-u zs;!6dp^Ch2_KQ{!1Or1!(8u7S4Mha{w#T|#7U%BbiIHudP;T|FeoY`!xjyR@WZR}l zCmnEZQmlBy^;lOS4UGCng>vRG4E7M0Y7BfDqo>0LP`gCp``Vh(JT3sZLLZ=z!~3ZJ z?u@LIcljIe#maFc)f$PbU*qH=!kUidF#SxD&c8EQ`Z~mhGyT2kO)pokxRB=NfP4RN z>;R#E{F+O%0x9RyEp}3VzlBoo$x;>ez292aXEQq3>+q`VCzEjU5pha6A@@I2T$bk( z=bbMTGPoOMaVczclo(Fg`W<|@U|sqdhu9VLY7EM8>m00K>-dFkY+w$SbU1$=Lya3o)4KM7I9U9rai)?4+7SuEZBi+0252P$(28#ySL2U@12({w(rwwin>=Vsyd#V}b z!d8aYakx3!0JI7MKeDKZyYo`w;sS657C^HBWJW4T&XaZCc~^1ejfEE(T}G_n*=-6J ze~MShPRt6ry__4vR;oRVOEasq76+(E7B%I&K?S$^N(p?(SuKF*7BLV7&H;}O^?^6h zb)W`O8^pC$-u>n>jA|3Xw2~?+B(Mw3@b>O%6@AlcS0Dn@Xy4LJ7G?>WJJbXUAKisj zIR}O5UZ0x%Cq&Tyx9NI_lh1&W{%nJMJz1dIx2yU+w~M8PPxS-j!JtK34GP)Juk+N& zsB^o!8y}o*{efzW&}C^aQou?RyP#g9>OVE*zIJ@<0|{`Vp?KM#I`@SXNmH}x(_giX zKkplm?~v~qDfFv>`;{=(`j=j)ihKI>WK2xQ)MSqDymrnU({JE}!9)Trbt(fZnYfM) zGf0Go5qK036@e%&t{VMXBp1f0G55LE|Kc-j2dY^V^bNv3YRQ#o@GED4+^ru@FBmzS z^U+ek%apERq%_Dvr+g}j=p*@usCi7IE(sU~^#r}(yVBjCDP(kWQeB44Qi=Y)v=5{H zU-DZ`6TB(Q#%36U3Jn84qzz~N(qa1og*v!Q;{q;jy-PHShG_p#bkxC5vjrX6I~sHpia%%Xir^Jv%vpikuw`cgRcLZqk%# zvfsSvL@(AxL*m>nArP-&)mX>sQJCX5O44G+2f6NYEGe>dW2@gnRFzrY3Y+x|xS7#s zE6Bi(l=t!ILJXXv6(G3LBu;?!*O;TBaf3^Ei*Mj#!E6gKsbYj_LPrM&GL5%p@YQws zX|UFRUmePtd?IYryStoA&tA2Z>@j_-ikQ@6^Oy~qtZ7-%f!vFctfip$x)D-86|??Y zMMjqMJDXiy9oqak(_naM^4z0DD9)>=V{kRrU~zipEniPYW911)FAqDT9jnl%{rTX? z@zgcGL9&E_YHp`(>QpcO z>?XyGeJfa8HPn#NcO{ji4%)GxxiD2gA{`fnma{PzD}6ySEUdZ zrWR4?yYu7Me>K>^E1SFAFzbihon7*@)xZ|e`R`!&e_VCHbp6@HnRRi9d?h)Pigeqf zJ%+T1c024 z`?cUD#tk8hi9`TVUjh^9oj1n*Nl=5-wRtfgyT)2ClHoVjYOViO{TsO>WHUazMw0n2B9(k7B~DbBEC1M%>; zY6+mLSUEisVKF?u1fyX+o4pVcfFiUb7Mu+TK$j3U3bt%_9fssKtO@XIG$z6j7dGmI zZ}cHKI~X?(JFjLNlYDW;sdBni`#*M`_P-s}rKO)baY2>7K@XzX%A&DYO`3=5I47wI z)mU(*rrCj5!UzvpLswUvF46fx(aIG~HbSno8_iGu`aDo;Tx97vv-UBautXiz)<(%O zH{BX~HvEP&vdbk2U}^x&Ox9HIw*dQevqEo=p^l6`ab%DF!7sBCRr|`aS^i~N2^Q_? zsiFNvx!rGxU{{*WV&o@wT)B6CCT4Z|R}6SlA(x;p1aDMh4Gpd+Ihx$TOp4bP6>KCq z+#Ta(fylX*ivg(YmjS#0M{*8cN5A;SM$4q+ua<9>9&cKdlj*uE>&?GSUM=UEv{R>g zFYG@$FB@cO-rRFhp(8(Db*=wT@ok4~GWHB91%X|SCrK{K*2QDF_=wfF%;{np91&6l zKy14Sv?XwL_QjMEg%O`0BsW>ki{F0m1L0IUqnQw%dqDxxer}8*5c`<_x(7ztl-_yD zGU7?CXse|^gS3v}A)=^pPyEa&%Zb zQKzkOpyq<3)2ODt*K~UR*k7mnvw3nGTJY-!2h1EDG`a(}s>YE5^Hv|s*Zl6b)GxrM0{$82t(nUJ-2w~2 zv#}}kvlJ=i;*Lw zo3;TB6@OxrPfH!_fk&o%D!>E)8_WRo-4t*t@8erl>okuKLqk!sA4_ub-P%P(reUVj zyz2s3&va&1?GJOd-f24p8VXRP+4EqfyXKgWH{fNbB@N5I_HOmOPG6h1qH=&IZNC(2 zPgOZBqj}wbD=GF~(XZ}q->8ee@SVr_dL$$Q<^%?!i$dha*&L zoIX#OiSytOm(_sFv81=R2)Y3Z#f-|yvFlvC1{YC(`dkeQ650J_WJLJ!Pw_#OE5|Yt z|MuV?wfTr50AkP>msJ!^vW+LcCRKy%)s?f_QW*t$8 zQ1|pqa-$Xh8fDvMPp-sTs@4 ztbL&>IJ(|ik&E|U)WmnnfRVp^^MIH4#8j0eb3}T;v)9nNHmk8#-K~;EPjVcrS9Cz_^l^S{klYb)6NZNLKg+^C(7X?!Vm(jdyvob7+IRSLO)At#JH4)|Dv^Ybjrw|m z3HCB4@nA;{i+UM(>L-ocyrjeP4M^)H3`^;yHu8uiP*CA$>ZlAG)z^B{qD;n$-)?fl zR)CP#zEMFC)@}>kG5y$4Wd;uR-;&R>IosqnPJYDMQk^Ma43ZVwySKCn7!+&KBs;i0 zHC-5?U}1j3lsw+5cP3Cdkn%Z}Q}xZYu{%;pvU-XCl^XpJw47Z+(lH8a_Sd8xF&ly9 zMvdSDzq4anSdBv?ZpL?3rZEgbHqi*g?MlA}F4}yGm@nJ%*ScnkaFO*np8l!G=B?!X z%o$|YNuzr%XRHo4pI8sr^WTYCv7RqU+A+ZKUdPz$O)v)Dn+%w8K%`x@uUMjdEjW$0 zxsT>Ic;nlB^9#`|4|}UmLH14st0k5ey7e!!vnx#Hz@dUTv-`Vy3NwPj{yx+^Jgx>R zC*boe^5(h0=*GM+_oqKBS%Zd8k_{M4;oU%~r#M=@73xb|-w$xuD()9GLE`z~(d-oW z<00}rWLwTKm5L9u#g|8tnVjY{Xa82EpJ|Zg-f`L6eFB;_@tlBTu1|w~VUUb6w9ugv zuUNMz{g5LqG_=WpIpHRW=x~E;6Hud;>#99hb)9_mJdv6Pd=Y#on$pt-i%i!DM*aEw ze9IZC=h)*X1tY>xg!TrwjKQWL34|`nEGfMiYbcEHjR!_zyhd*BA8G>5jJYg&gDb|S zCg^~9MkM5MjgmB?()dCTz5x({Co3gTWpDB1$QL2Vqq2WEsr+_+;EG%R-s&XsLhIO| zX0Y{HtiJnv_j^3G^#f6TP`%0a2q61=FqZW5TFzL4u;Q*z5-{fhk!5yv0u~+zCyHqF zxv=Z7 zUuwOah*#sFlI@f7>^=COZm+D3d_a$rz*tSir4S7Q^f!T)Of-gHBK{jhDURT26rWy) zVsiV191XiZy$vT3EO{awAeU+H!?$|jwj$20XMt}#uy=p5BTcA=6Hra{K0<5$+FROx z==GoGreR3SB<6PqZPX%}7WtCjT9_2_S)!Il&JbP^_ld5z3l(GcMkz8M%LKI2P&hgs zp&2ph0(*utxOHTvU-E7js*6lkr{GmECwrFN%W$V1nwr+b3L)AgGK?5UA$R+3;mtoQeSQ3%;Hdu4Bty_1n~=Sg zy>}!+_9|qLP$VmRh3xF#b)P=p-yi4kIOjYnclZ1CeqGn~yq?28avd*U;#bu31;;Qf z;TxNj^P7+4EB12hFNb=9#V1-^jIWnOI$(G3>ucV1eqG&Y?atTH$;mHid@A6RBSq0e zdw0khK07$X69Tx%_(7EmSbLx`E&cZM-u(Y@0p5~IfEDR-sw);HRqcN|T7!RHLTXRz zovRjS_xdPRHOn29YmA;P8ffrR3{qM{$l;%%gyWPoTsq{rOE|V4cLavSQIU z!j%XA$Dm$Zm3K-GhJ*X}`8MDv0oh7#Y{3ylA?)W*uoH48DS0e05Qrj?SLYtP*IePi z1IMCNNf=BpNPuY)XViQZxVkEaMaG*A;Jj>sSC{$i{83UdpK%`E;Bok%G<;yIt-6ZRx^La9S$B9D%)=rJnaeh7;}lGtA{ zaQK7UtX^IFeh9p3z&b0`=*#qKN`e?t%in)I+>Pn*)v@mt;e^AkpvHQno!f2Gp!A(V zA|u-uzRy?UsAqmJDp8{eYD`wBa6VTjeK*?iQmC~~2beIH%4lFXD*%*5yZo8T?(W72 zvM)rMNGd4Ah+wacTr(q>6wt>2JkfpRbK}KAhd8Jjm=K&e6rn`g{c?6xx%r#dC%pe8 z`xXE6XiwV{$H)oR^&K_TLGw| zlisFcWz;IxJh`C#`AXF7-jgTHkK_~2_AnHLf4C1CePXpz6J~M2g4F<|ioaRtw1%WB zjT1yDSeRus%tgcbayc|qB%?^YX@s!a&jNEq1mdx|HTeNn9ANb(fn?EBv2b?u6jryl ze{sX_78V|EZf8w&D=i6vkqBMx%X-v;oP!*R}nRS z%Q38d9)31DZ4@%~x;dgFCvd-%2iSKAKB)b4+s@8T3|UPy0n>!foCE9TRozMFyHDxP zEq;o+Hb++lC6faMVJDc0MP~(bm9A6%RzE-PsQK}yXq2@p6%n|VpEVon7GLDPh>ulQ z)ef_yuVkKr9XNQGq`&rk((+e4JtL=}q@**+_{kGh6BEI#EDm52r5-={6D{kCszs7XCaOHLRG!62`(`u_()P#i3Pp|O3O|(V+Bs0>l-W(|b zCv??;iznR|1p^K?p5$(BQDB>teMf0pnqmK^VfEqB(Ti#O%91vJA_$)>Y(*p^EveHo zk4wISl_lKf(;rar`ajNL8%uv?czY;(e=XvQXlrJP*5<;i9fx?%iIjh`w}s2_?``;=;zHwI53h%bTux;R#rPLb%K9p_Sl#{U4ke)9`Nwb0!fLK zlhe@4{%6U0D>d^K6#u|;CQp0+FEXzh7)g8kyKK$bDe7)BO0#!;K~~DJ0l$t$avXp0qvP6>?W>-dYbe|PcRMEu5D;}NYL-(`$^m`eX zl>(YVswW@U&|;F^f+g>^CUN2GN?G%Gn3mvI6xANR&4BAIQc3vbgWcI#KcdF?7N;eQ zQmy)Pk+k27us5>HS_LG->r|~KRy6ms=;}Md#R^A z0l@XWZbAPHfRqr!HUMq5;wkr#K16Ct$=l0GwST_PXQ9i`?Iso;!R4NOJDdEq!`J;j#Fhw8Bw^j%6b|C_7)F-7mGC=3!oEOQ0f9kN&*Jo!4|9!X+yA;iJK1$IT}xENspJ*pv9uA=tk zC%4B--+I@R<#RWTpdL}9wPKmC>ES8zirQYQb7);TuNm}r(~C5WuzGn@4~tPL%SScg z7oQc^54%ojMDT4X3jJ`U2=BoJ_P^Q0d%nJ~;$p6)FC8H{t|~i9in5-d3`ntEGOBB@ zzwULm{Bz8vO%)(=^LxZgFQXxK|EYfVSy%RVBYINY9QP0T4wKjPLlO6rY<{Lx@IyHK z^}d8kp;)0a(XPJq}x-iPAt zaX+KT`m{yAK*7Yzb&*jUDwsg-NK1drNU!hmkO{%!WG#aw3BDY$zM|T*>xfqx0M;_{Dhe$u%9pNEcfPk>N+_Q)jYj40fCx8nsTIPOFVd>2;0QVIR@?e?}un%t?k?#G@LRvP!qv$&Q;}*g^nZSbL{iXQ6g$7 z{NMue;7DG0ZWM6ppBL{O#oQAevaHrZ4s)|w0d*WW1D!(HWTefv{L<4ee`0?@6 zdyoD3WWt6&)wRmUx5#S!xtfxD-v z@{V}8gheh8ESpcU@I>!4ZuG$UbUzBZ1V;P_82o^9$v?T)Dao!g=*x!B{}G0Cs8vQXLw=oFgC-OBYV&&V_vyV*o2K_ z*~m!uZE4y7a71hz<~sY6aI82e5BF0etF)K{C8yG&RbaL-zCLrLalf)ub_)Zl7@~9I zorsBWK?z7{ z^}YSY^{7~Ar~tZxT(Ua@k-X+zqJSliEdD&C;+ih0IdGoXl#;E$rJ9!aa^D$jiHi5` zkJfV(oT?n$D&D7Zo&8-?7Srk{&GO#cYtX;Q*CTaF>Qi)K-hd8bQJKWacul9%p= zr9yB&)f-2pcLeRf11FM}o&edVAsMHR61J@{Gby^cp&-y(5LLY4vlaksh1O0)(Rz&t zN#EeHnt@0ZIEU{KnE1aE+4);GEwQ{)$r`uRm%|>nNzZ!*1Ff#e>6O3vgf{2Y7*1gN zv;)<5qHnZ3uL!0DH{ z;U`zm|N2z>RKrShWnx^uKn}R%jjs|E{@%3hS{sq*0m~S(iSiTRwJm-j36OF*@P~9J zlGxY7Jo6b#Qurum6b=tH#?}}=TEDSl>{Na?kjxNN-9g9QD%1v8Zn$A$ap?w|tGjV= zzB%B0WWcV6$S@|@WONOt?T-HJbKW`tY8Ygx(E>ax$DiJ_GQjoX;_o=*_wdjq{CL@i zl7;^Ix@5dkrKj*eIrKHte{jG{L($tzrsh?Qkl+6FWToI0_{Om{*(|H2dIb zapdt7mBD~HiH<8x*+igKg! z*r!m6sorvWI$SL$?bB9A{iC~$rMLT`SY<~*`12ZL2L8o zRKP0ZvP)z_wvF;V*LwB$A8*vWzIm7>*(v-)x6W~wsQ&(!*jbk9`;*shR<8j_&+_ok zLR4PZM}fCFMag4F(0QEz8>FxAb-#zanc1y6N=QaL!Hv3||GBOJtYsJo?zP6nmhdPVcHXg+=e5&eu5c7xj(@8HONh^^-=M}ywb_E}ZVaTkK7(aIw0%#w zEGqHJukW%ZCOAYyOX0HnK=9ysf3NF;Gsz#fYaBt}q9U=}gpu!8z}1=`;4%Rp*A5-y zK4x;Sy|C)bKXDQoiCiMT+J%)|+T3v6$dDBq8%E`CtPXtT1TBra4;PS7D&CVTMV|&t z1{lR1SYG$3f*BhX%wq#${;0&ITEMi=1nNQm8x~=q;x-dMzggLW)1R5&sSo|P;vD`Z zFaMKrhNnc#+ub;r<~#Ad&!M1Mnmw$hyb>ow{TVKUc{fB`2lU|qZ!z|h}wmz1l6r%1q@J@;!Xv?NX8YR}b6 zzbQwAJK5@%B$6X_RAxYpRcN^C64`tAhha%~eNj@+=W1S?Gfa>a&Fzrsai{*^`wRq(!F8VLT}{X|lCtNSc90BR40Rj`nq$cYEd zKZBsUf2xr)GELkl@!BPeyv$&s!2Z0Cs9&#-MYhLOzOMu+hZukJFbwI)_4$*0;vz&i zZe<{3wKdtg7CZ#jgxX4M8QVT367!#{zawkSYu_nj0|!LB@88FPLB~YGb@c|;3y^G@ zabuuX>no^Fh5?@jMr5*ewpTo2M2Ce740;XTM-56(WD9P=W*y$mTkygq%z=GRo)u7t zfRKdrFxtkoQY5w$dXM7br?CcT=4)z>CVP*6Q%!>aQ~)4@QJa}Z@}+w(Uj-*YDCUvW;)^wpQ6*HMmcsul}M#IaI$EXq(- z=`|hlLDrv`|6Wux%Dy$YjmneqT8hH<1~?kPIX<1@m7fvjnf|;L_erGfs8J>->QHf_eOsSK*)#m>OmeOV(M4!79qQ_+fWi+-8&1%6sr4WimbUI7X;4bx zL4x&gPC#s{&vghe3B8mQ&|XGHdb6@_nvB+@a8cj8t71C+yzOgGm5rue&RBlG2e{7P;swR<2hY z<>kTXk=$Q_6+f9I^e!M}@UiIIrhQ`<7-EzV;xa@F0ZXYo;%=$_5?4haTPF4lrUh)U zYHql)+G^AGx0-*Ev77mOOEvR}Jdlfsl>j@Bj*N7Io^`c1feF%0?u3NML{|@IUm7pX zdV5{;*VDw(B{WnKFkgiM*#z7efTlc$ZTZ04l>@5at=2n`bFg zpiZ#q8e2k72M_?13<3NIjEAv?sg%1?sx%xI2dKRTYKuRj8}8l4;BuzEeIQ(Yn5ePZ zQaF}c4SaiWKqy?1>i?s!(Ay|8SOQQ_v`~*o@=CMom_keE>hGy6m~`n^hnktllmDI& z^u$%Jfnm|!+2pnTail**$G#!`7T0Hn&tIjbtw(Ro`Mu>JeWAL8oy^`WsiKz|@>t4`*ZV(ycX}fu&S=2dyK-tEMqL~% znl`cP`S^G0tz8{RWMpIAZ>bO+-*Tgia5BQ9#O_zmp~4t*^?oY6sO9#wpIBA}HZd5O zA)UQ-b(%M<5~EhJ5*~d+zcu;YElsP8ypb>S(HjU>)BT?!wHo#y74!oLVHoiGK%s{x z`RW%SLcHLAUs{%4UYjGxpV4s7ox3OiRsNlf*!!W2Q)=k^co4G~QrP~!H!=HP(zIwT z-&Qw9lH<8QfjY6`TlQ#0(dk}JqJBEHRa4gA&x5*ym2;E?o`89i)lxT?)3m+E*Kq>h zmX(tmaJ@m^9>iR9^Vt8KHkv0I>rwLekI0y)qeqM>B0H(Ss5U^r;J$`Q@LV)?JZc+~ z!awIe+oK6bs>Hf(VeqI^nX0}_s(nPq!@N}^Yxeigw2(`2gxl5*M8u-0FG*4EqHjvD za$Wfz_$_g)u&c!3^8GQT$04rYTu z)9n^r&q}c~=*5+{ai-7O8PLy!)Jn2DQf(x#dbZIj67KDN(4v`fVJu#DDHg ztvOfNEZ@K{RW{OL_<6HPo;R6Fjh)};cRm@DR@UIAc3thUD=|J%m#Tbk2<#pW$zVHI z2#WrMM^v%F|B43I zp*4BG_FrTYo~gDQE@NuG$a>?%O|sTxzH2(|1VZ2(*CvvlK1<5t(gG~$2(Gbuj_sfGIn-zCKI2*imu>=De}-aaE8|8J{%u zz=p3T!Ij;f^RrFZuO?(aak$y0yyQI|JReq?V(UK2s0A6tP^Rc97JRR&^;jGnJrDfO z^qvm>m0*_d^q-2g06jnk@V!Cf%43~n*tm}jV?n1A1%3oC?CAkQ*3{q?<@SRU2Bs6> zJJjUAr7vB-lVJ7j_QpT*yzPJF`G&6~`%jhKYipK&F;ZE2CkgaUw|rEK$Mid*YB%5g zH4-IUywF~eebPTc6!puwpEs?Dr{Fd^{-;BxUnv}fDpKYMk}JX$0c1=Cg@ve26w-1k zYByi*gv@d^H+c(35#O<%tO$VRE(QI=!Ghwa?#YCtU9jxx1GWR^JP})4FW-phditJf z#_<}gxNfWz8TE3omgN@|OmV>aLE-UZp9v-z)ag3#vRK$rjS8^2!h0j+e{Z~}SS4<3 z&L4ZA8ob#=3LbKN4Noy0KY7G3qRqcdcD$B-E*|?julT9JcuBj=I~*>rf)nx{?L*hs zAUS?Vsf8u9SiiBXsjFxO5*K0)j&wUWU#Ta;qJyCG1uWqcus##VpTk9y@F){Fgy31% z^P}bT9g=byU0>L*s$v6~KBw~fV5_t|PdB>0X>6(e%K(w=_M}8o27@E&9@5}gls!*8 z_*kaXb?s)unJ2ba8jGB#2;Cic=De~kWz5SsXA9Ar9lw0y>9NDXCJlWlWS2V%DTE=2 z;9xdK&sB;3!gDbKCqb17v_+bL^?J<}O{&L#k^bs-kZvXAxO)eoQP-U$dN0l~QnTOm z_T=IMAN9^%Iez{4tjA}@4@K7Zk)7?ME#%b7F1D(J^WVJPO^jz+Ey|N2kJbYD6V!V5 zHJb7Ia57bwnTE+K=<1_MM;}2`ZjxPTEY>$wGYt!ho>}j7(Nhjkd!1vZLQg}=V}1iT zIYQ&9f08xz_y0!?kNA(75$r)*{%f{XAZOGAzqR_??k~B@Mxx{)&BZ1 z-n^u|>DR+Y>R)5mJ|9T~uPiu;JUu&XH~slhLOwMw>O<`8tUd5VNrCAC0!;8*l&>a6 z`+P*F5CKk%@LEQJzziW7>QwOo%ZgtQSRTe1D!e<~}fQ~rL z?i~6;1+lx-4zqt2B8f?ifI&uQLD+Z4~u;n0IIFP!z3T> zI-g_T927MF{c7pUqVZ?uRlbm4DG1wP5T`JXh@T_wZj!glY<$+cVh7$kb^G`>)gx)8 zgL|f|35_(A^R=~EVC~1Ioa0XductLMC*y!Fz|s!1KZ!sr&7%5Iv1fY&9t1SQlpwe^ z2=;wJ!9;$>^JDKfTOiF|G@EDAuV1>QjdZ%x=T>Wjw|;RuEmGI`oeLs-SHMe0k^NqG zxT-kxadQ0wTM8wbPm*PXIXb;IRmHz^w(b|v_cUTnvhrpr!s2!8v;U*8YgqP#fzYwb zi5Gt62}t*0R!~uitF{^SgYVjMtKWU?#-4%j7U3wqtaxDn-u<4fWTr(MS;Vddx;|2V zo10@BMGpiDV(ZbZi*zP#lrFUmObeblqRF3=xIVtxWg>S?oH>tH<^8)7F0QGiwQ^>I z&;$kr(Zcv%kiYXF^-}%PJrkiyK~R`sat8sSV?MCf;fnSk0_vJIDAgaB-+50F}EHdZLO#$f6^nNSurugmrhak2dkxJ|n^MjChW ze_Q}ux|_Mg#-IC>b`DkJBx(GEL1mJFe5RUu5+3Sr)F#6cpfNh0q~OIlUDq0WbRn`C z$^HR18P!w{Ts}xJ1qx1h>a{v zAeMuvML;|t?de0b#!$?9->^6qeRO3&tm|R5wFEr-$$k3PD?}v7Lz&L$s%>=W%n`c6 z3L_D6wUSE?=!9QfNIi({1ZoGv>nsFKc+^H5{A(apKCFgT zuol13PiOaJKb<|`4+ZDia$B1N#MZtiAzU_mTOa4EDz{71d_XnRoy?R~WHjP=_Hrj& zJ7wHNE6Q+p&@|R(fdDd1gCU)h56~hW5H4DuCq#DSwU3u`fro8&_o4`4mH*0^TaZOWp5pvNU{(}n=ifovmwI2smnEpe8b>k0hJ9W&A%&ZWxDaz=6mx4}a)>^w6Z9e)AH*YKQ#vbv-*He(bhH`s8w+z>uX{jg?51EQC^0}mY<|x5wS>> zv9w|Wq5l@TW}k-JDh}VX#5=`y1JwxU4)8D726uyoJzC(N075S7shDuBwF!9uYd1jJ z?i=%4L%(o*mg2!5&Aa3hUH?-#itpbr-CwTvX4e|rqg`R4CS7$?!0=kbzI+m-u@8=@ zj~#6fLM}}D_d>gl29Ad*@xg5_J%*fmKLN0DfTThyKRTmfJ=^$T{w0_Jf2Ehle}DNQ zkdh(Y1V_?-+YVkO4{skw%g%}P11&Y9(=}sIM*G+7wn9ASRC-#6u~n0AFO zf6uHSJmqt+JZJ+8zzr#02Y|i~dZkrzUNSgPibk{e@bgXeKyFUwlR4!2R0Q`eI*&K$ z;{T+I|3OBiYO5t<=91aA+L+WXNvy;w#g! zf0BAivQLNCRZdf|Z+pZ#BzQyJ#N?*6ubcDv_AWTAbUDG2p8-HZ5Z@Gl3u8jK&@7a$ z1?bBQ0XcRMW7WfEb!7&uOF8wAH^LVmf^Cpqf@M=t5q*LUq{r7EG!@X*oMiX% z_}Inn=V6h*0&H3)wC&t}&P)1rmq2lWON${kgIJPrvb;CjmRTnKzNs!@oCZ18gk3Ek;hjcs_~AOr z1k7{c%=fRoOd>X$uKy?_B?F7vlLuW7s>jF2rA$mns-94F1cbIz66%%62Y&6GEZeu{ zMVJ;qNdg6>?THxR-h*d}Upt%o1qcp`=XSsd#0yOIUiTe>P%s^MIo{!ReiRw@uwVB5ASfEpuXw^ZTVxoM>KcsP`OZHW6~fRLm8(UWIOZ);o)zveyV{dcjlX&CE~CAEzv@E_=}LlH^W(E-Nny%w zVrfbQPY#-T>lr8!qA7@Cvi=+t#{|4}fJF@*{9}6(k5SeSk9;vr3+_^-!=qBz6-v zyI+cph|+f~52fxogkrZ+s?Td|@NnQC-fH_xx$vW^R`k3aeMQe$Jk)AHe2bRI^_IGK z@rdUb`ZdOtK(gu`tLtG;ks+LIGBOfz&~Un;!o}Tit_yyy zq;R#~6-V`5I&>z9_Jx&5@6~IkKFm^vO_#6;Wu1tcnZ{1LCFO~+heCe$vzQ{iN~`uz zz(CCiVIYGr)~h+c+@w!yS)i(X#L)loD*Lk&opRcK$$#$aAe|`HBo_h!8h}5a>JF;K z8H3Ks#r-Am<5np+H=8PVuPt^(3YH#JxVGQid_tGu!bd?jkZ#afn-;HKz6GNGtk|b` zjBnYK^Fj}hyqg^7BQ;On8Jrwjob2RSjOL%KWI+yx)2~mCKqw)oYch9ff5i2U8AD$` z)@;7b#H{0$LEbZQAX&1aqW)CV*O%GMh`s-vv}g2Z;4zzcSokj5LhG*^TLvGuiR7mi zo8^;L&S{y-{(3~eWm7Hd$ke4v;EtubbcAFR0(yFsKd1O=`c23Xv>+g1tv1x?YMP4z zSScp_GUWO>_a2A+{_PCkiT&LkB&;O|bVz%P-diHcg}(=M zG$Q=`cJewCu6*}5ha`P{i6QUB5hh35b#G>j(_e%KQeQwIbxY1{rC>>eR65c%EAZq_ zyw8oDp-<;Qe+(1&hPd++$ui2XpUmz}HF`I0uXsgVbDX`p*D5oKAY15Vinn5e_g!dE zkRk+_wGQnG6Iwo1edy@O#%bb@0$>8ZD3ye(7()zN@kn^-$=*_cq}&(zgcRM|#BneC z@~vtnZ|C%s>j^xO&?Tf{Dv=%=UQ?2Fc201r{KJpS5Z_SDYz$~B8{(M<1+gNrXAexg zA1NsRJ@IxP=g=p}UxNEF$i00Gz|`k; z??6UKWMmv2sj{4j30r#l@zT-cE|@UfJ+Q%I(g#c;a;fL~<>F!yGrQr19_J1-ssUe1dSo_7nAbW3%WN!Ea0m)()QiMt=C*rt1euDN7m!k{b92Qh)u?Ky77p zpOkDv#%PxBZTUq@g8rC3mB_mE8}j$ZNXe0ff+jOnv%Kj_+1tstg8No$NI30+%g)qv zbb_O!`_zvb^k7w|3nka|=G&#_U|~-Rl(biiuaF0gw4KZX;|HDn?Ah+fKxz2%7tQZ9nd^jm{OwZn;hlGON z_!5|eCq4>WUY3R@PKJeZGRtqy%EAKv93~y$FZShga61|j+WvyS{C;4Gt6x2jMRyOZ z9`DrgZ6`gF{n<_NF zl=-5*$_L(N@`bXBI>y+?27!h>EioG&F%6H8i*vp8&T*8<>_l-upuz+)N$dC&!RZz* zF+VzJa`sj;$kh$b(IHCQ>IDwCS<(a8<_3~W(#0h?F{`JlQcimsaFx3t{?XF$r>Y7} zROQ`U25$@!P6sN(#m^y_NWM2@V?Sl!ZB99E4m+BBdJ(*PaViYfFn5$v#4*|>ZZkc; z!UF?MtKX@%-K~o~ZSk*zO2(9}$>@tc}BWz-4br3g+;w8DMJJxwd(@{|Mg z<1@-EYe(JSmosnnz05kgI&%MkJZ8yG^8}^zxtrH#l`Tc~aIa0_*aG=$%oMI&ch8d{ z6h3^^8%X!>kB|lqHAL0D;3_UXA=PrmaWuKx#j*IK`(uSCF2B$0KIL<7ei>|B`J=V= zte=5YDG8M#job#oiT%|?Azs2=(!!RO$`D~D`X~R9H7Uj&^YP-Igba~s2z-0;M;jYjPM1o&8@ekdcjv$bspL#a_S3kXHM7c z{#x#nu&{ZHR%0E2ae8{7jGutx_Orh0;A70TU$T)d`d**Lr{Y3FTE-%DSEkJN$Jieg z_>NyxW{0~P;Tj6R(#1XaYId16oZ=jcfxUV>q9E)fL5<@Y-M6G?SR#+=Nom;ZP7Iwc zMOxbUivf-tZu1Fl=gzxJQdAju%d5j38IBe5&imH6WmKM`QgE-qJ6Z$k;Zf#o(>tgG zTk!#05%hv2ToVO(c~nzVM9eIDPYbVzjCt%T@Lc5C?b&H26YkSvzvkG1&_|U0gEhrM z6uD;`7+`Wh3h@z;v*IjE2Px(4pCIavD*>A#ef3MZUQS1o8;;qF4@z_&3Z#y2<}E`} z<1}n&NP7{1M#6~|Ze(}Wo<)({o#RVwfSWB+5A~(&$pZFz8x8^%X^rv_`K(w% za~L_?t%iwH-Q=2XZ0sHlsWOw4!|CidB)6uD2Zy?689PDp?34Bc7@pLxuf#tcOu z9cken1d6&_;UJv~=a{T`g!8P6hN-u{pA0-aRQmrNx_LH0>I1yPQ47&-XA^bt!<~xvPNZzh5raK!QPf#>DXxl<42 zd~lgJx*5wVo4Eh>+}-@E-}AR4`MHFKC@HK*Dta=7az5Mi4rRsESPSMBS_(lH9+GT) zmJ!~OKU{hn8w5b4WjSc*e-b|7X!x%_1taQ{*-JDhivSevVS^~XvkAF(7wk$Tt1`^| zmd4hj6ZETMGxUKaT1#u&UZxUtzh2K;oz`Amxid#TzgeM)i_TyMJ~gA$wi4Gn2e)EE zmu|K@kSt<^XFfae=ncQ`hX0%#%w!sxl`=Fpa z7}!G~`}}#@sdyFc>Pe*Uk*dhsMdP;IWVaMP!$0S8U*(%pi__3$XAeI4chD@X00*=h zvj!cvWUFlBY;b<-TQ_pqf?Gj`6@GJF3x}tP2G$hbv>VkA)i>j1f_HS*2zy?YY(;%~ zCW^$3tk9jW;niMD-cYW|WG)pY0|^rdj9KqThpZQWoD+ zZzO=D(P%RoOiHyQ$IKu7^QU9}TN|E>D_JaTcd}gq`EpOnG0AjM0W|WA-)EmBMWpj+ zAxe$$ZCNPX>p!Xr$gM{v%%Q)g?M1CL5NgbaO!0yQ3?qheq7%U_P9AZZf`ZF$wbeD#X|+qd$-vNE;r zNH)R`7e0TA-dvZz?V90l=qSa@oGY(pc>)nBLXTVDis+#-2)U~{VmNqyJ?Rg9^?Q$I zz@67Q)2-x)E}Zc6ft+m9e4nXP;?b2xiMlOv=rTG(J2k*cDWXZv0h}<;xPd-Kmef;HT za!;38omN9o!@N5p-X$ILln0&Fz%%SYij?n(8lC!=-bWJfetU}E@NAW$qYrxZiURSM z0t@)ULPCodAIM{3(A4x!y`G@-3g1Fsof6Eyb+>Mq;Lzu*@YN^7 zE96fr1I^GuV<8PN6qZrJ=cm4PD=GE_2g~)>&)V_S`&+yD{U4?8IcuZs|M+(vrvEz+ z%FMBt&tKwW>r|q9C%+-vPbcPL723YJs*$i~SmIhF3J^Q>F! zIaS2o^5bz-H7_dIY|o#ihgMXCralUTn~9mLJ&IHVL+g7mT<*{o2eyd%97k3ugo#u+ z&Py!quVfW``vS&egQaiFTSyWfbq%T!u${iRx|pa}iR>n z3j6xa6$t{y6B2lCd(7<7btPc<7~b-tFrekll%>|3*!tkxeNM2QJMk-inII(gc8R!c zE0w+7lL6AIb*2-SbBdC5*$OxRRd4-ytM4LBc4Vr?$`^BRjfS!59@Fvgpn+W-Vm@Qh z6gQ1u#)HQVdS9}Zz3vmI^KFDdQ@f;;6r+YlBrSDqb$`8a&16yUbG*yuepV*@QFV=K ztjbn*>brOAAgCa(_TvMueJ=1ojNaU=%qWzHs|)%bl1Hk;^5*6hhqBE4;eO{=WN<8S z(WCue1-FI0x!IxA`413L{tpmAdOJFDU7~(rrK>v?UQh1#&C2A0IFKPr;a1a!s5%;5 z?K@yqk|f#_hyJ7w*9kk7i)ux#m4bV0r&>7zjc6bFjfsbGxqiXt41D_|%a|+9fdmE2 zwUC;xU;I@Bbjqu@M}+2;j%xg$#zmPW4SCG|?k2w;5R$b1m_PQi;LV@>OXZohWqtON zzM28z{l>Ynvi#Odw%!`0Z(hN; zNSElto;X8?rER=(&ljwZKMIMa+lg1O|5QBsv^G;$R|CYn(DZc2&fUk-fFRV_=>^Zs z2$2eMPPPb&`5}`z3S(fVJejkwr)J}!tLMq;+;(ZZOn1vZ^1OJ`xc>|`T@UV(pU|_} z3NJ2>ff+N-!DRta(|7-sbd+yMB~glPZzCUKe6THRWF{xuK#ObO-1V%Pr+XJ- z_n;qBqokaeW<+nCPk5_~mYU>)JV(6*744+>JS9>C~^(b_un=lpd9E$bPT?PJ=wSc|!Uzb#fXw5{{Ps$e~EBj??6W8i=* zm91-bQY%s>U)2xIpB=~1-+PO1-jL|089|{r5%FJ~azpU(~U&e#ml;bz0h&j0;iwlZxl8Z%p(C>rKEouZW2??zU;xLAe_dR`KHT zbbFjqiaYoi-C5sij(qis9fDiYoL#Ji`~{QVu*<0qa{yzP0O$ZX>)z->LiM?DLLVux z@a)EP+!vBQlv&%gM+|x|_I5fLsSF#qB}Zxx4F8m|R_p&TdJGlV07idgRJ7(_2aGf# z=fu&`hw0Ba<)Ts2$D$v;BEfXWdz7#fAMt48V&?t*&YJ-^d{j2Fu6lLh`ojYSQ^YQ= zc*;i>YLu1JRxYiLDdFGQ=*>$>rq)-jw6*c$860Zm*$Ub zr+M7qPnZ0P;#rPZXN;#@qDqbKgW6zp|Gt5|#HK~J+?NlN)^Zu%P&5Z~e9$wBs2-^H zntfZ?_RNcJ_2@^9uClz>>Fk(~98DkmNAyGCo5%{V0JA8ZN5RK;&fRhxI*`)VK0Gp# zVZiThbjkSeV&T#v5ohrJ1!nAW=R)W8Uh*LsCafGfTD+7~EP9OPJWhzp4Nh>OdpSH7 z0BuQo@Fe-G-$`<}*|r{_dR{x-#W_B2B)N6p*sI-RQ`hW|&|~eap1T8QpDJATgGZFA zBjkM1-}ZX%QHSn8(aGSxBzQ0m2ODQ9o zt~+WACrr8TwyIflCuP=m9A1^3(ID3eQ`amu^^=9?Z&`CN#o*9}cWuGG`{M83cTx%e zwhgJzXJnH`6*5NFX>7%+m)u~R`QbE+;Ve^mF&0&Sgl-}nF{=H4O!0;!JF}c3U$$^O zNBIwA{W(0#2X31q@Xviv{xk9N-ut|!)Y1EhtIjcC^Z_v~aq=MUH;!sKeZ{IR1}x(? z3ptX%<~(olFEbC_6FG=4&2`mOt?psr-7_fsPVg$?i6n+2j*~=ln4AGglnw?}K+ra} zNZ&8}jtD%Vb=u%Z-}^jjZOBUfXMRi`eNbTSbz?-or@q#dmt&q#N&Zk^m!M3Km~*;J z&?H(@L%xDdp9%>*V|4RN5M_5O>oXszyWCUhT9t+Zn4Ud%xU*z^*Q&ilxOKN;W0ih1 zx!H};(g&9eVr8(vl^&dsAO#Qc7hpqn^H&gR!wd|LM?9`esd+R!Hqm+0hlh5Z@bSG~w=Y5`I8KIQa(YXb|J-YDm zk>Rl{Y<@3L)5d|DVsMXjm8)cbtI)yCrNYc53{~pEs8Hsl2Pxe!ZccF5jFcv~=9DX^ zrPZChk`gj{`e5{%_;@5KX5#cepU^gyp@)TiotgrNk07{i7WTT*M$n~g+0~>U4vr%( zhK0q||89l`)h8t4fDztqY~)kdsT*t2F}Y@orfx@C>LyA>btQaearHQkR!zO2F-y3e zSK2?W)tg|BxJoLP054+yno5u^!{^l1mEUx0Vy%+i=hmSAFtP%+8MM@p zV|D?(S8S{c&ui?J6%Se_YhqXmGlJJ!OOZ1gvI0D?@u#Mb9*VbsHTH?wWCgX9b}N)f zyd>ZM+QzD-b9e#frjv()=vY`t7#Z2XDPZ1WzD<{59}cmk?j+;K+N|y>i|ZIhrMA<$ z_Ui!ZhMt@9cEO>;B~>vs;VT}8=MQt<(JwnX8L9J^>mP}N0YMOaAOPrJ?Jd3g+%I^n zPNle9y=O1MN|z#4JIHhBa_Wi7fhop%FV^*0!;3s@SR0WCeYU(AT)Mv79-5bD46Y!0 z0Afgo)9i4Nbi;for!?Ty)nnbUbyU#YeA_>Of|%16DNr(Udv-GH9oFY`e1vvF)pXCcqM^;o9|RBZj=|_ z2HUMf(TcF*N10QhoQ(C_ExXyfO2?6(4Aae=^)NFAj%Z=b7!L9m`4#DYy`|!Idsui7^&Ax^Bk@s~o;5*EvF$ zn}YsUQ>rdRyEPN1DJ3+;@*I-O1b2w8usGVyy>UkOI2LJS*(VEz`k<8iNj&J&DcVw1 zBgDOYWhbYuX4ryNR5YN%#VD3pZmb+^aFmOP0E~Z01E;M$RuS1;cwotRjH5LlW zHkj8rF)*&AB~Al&aCO=K@bP0lh-kK-tK2dJIxh{hA;0RTjbDzCI2t?J`~V_x&Zn`W zI2s-vrstIXxqegrT0{TZZi@ffZro-Wx`sk-3T^Gc3ftAiv9&09L&LksftG*dj*{4X z60*cl0KNPA!xIT+&xAyc=LwHV7R-6%M<&K@wZ2y0AoPrH(u@kJYC!!YRi{Xt?5-wg z_55PI;NHa6L{s&qy&!HE@)XsNq3qJYER_1KP33OpheGc^b3JSQfg&kHMrrtx5JIJd`_ntk8P^yvF&@VVv}5Z2Qxv+5tp`17_ipcOCw02^CX9Q;c~wWv80<8wuM3AQ$v$)N{ec-xgTMCwQT3KlQLo?M@X#o&beD7r z(jg5ZB`pmipny`+-3_9Ek^)jnD@aSHq=JBSgLFwhd(Q9qzqnb;we+kbGBekAUweOQ z2Z)S6++;r!N9h=IRNrE9ja1&kVSAdDuo<;QJtA26#^)tZy)o_csXol;Te{UbY@K^i zzbo~DT$1bU)qaX^u{DWh8MnTxryL#07aE^fj`h`6qqp~xpB>xC^XF$xOP zbpmXa4R4bsuk_I#icX7 zNx$#!FOJBDkNW0KgQd&lYXUnKOx zUg;hK1C@e8^w6w79uQ^T04yl)>3l>zxujh$>LCK~o+;wZ#qIyvE)r51F+k0`_ zV+@ig#>##X>%RG&+cLLHDnAGnCPNrSYq4S52#P`^ES0B{GD8KNpwlCtP*PIgijj6{ z=a$rL?f;m-KlcCKFD##FZ@4Oj7x-Bvc9HS=At2C+3ba$$z{<-%c)$;9{IUyei4YjD z?v3E<*Z7=*f*UF-qV1_V4-NOlOX^Mjw8@(GPnM0YmUwATzq8iX4%sn$8i}glOC_HAub+J^*s%%km*VgkeR~=0ZAg5r%p4LHCJg-h(_S*I z!+V;N@?YF#9z;&7c}a5uuK2;GM5gq)vT9mDe?L3)$F})I6}P$1(Boh6O1PwNJlH1t zbN@EKxcp6R1&d5FU==H@`vmx@%Tj}&LOSNL;8MBuqNq$;eUDT``q2j<$oueX!B(Hyc>Qef3Cn^k{c}vRZ_bZ?_-h}(gUv}Kh zL&6lu4u2E`J9`HkkLgVW5-7g7cmU6;tV+*aW|oy(ZL#B#YpXdLLl5!vg5M>%|Fk9X zHT-rlS{vNVYI&@o8!Mk!qWIQfJl=2Mdc}$MvrF`7dyeYhaP58apL|^*2O_r?GdD|$ zX=Q`<`^j2IBcxSVbGeN&0d1lZ{1L5bi@w;Uukt=qvK|GXOQ-b_o`07rN> z_j07TlF5gsM%OraB9H(fIs*R7Y)9jX>s>YfdwnO~;$Vdp zsRGk9^{c7Sd?M`G=%oCxzjMx9{MK!k`|*SkU)}_~+##`X?>LIEmg$o&7$=dVYig4N zaA@0kNLY*3^Tf26XrHz?Yp7>i_TeBybXBjQF3nr+S85%5n0s8;Mr$`zCy3YU8r7Go zW^`B;hWRA^1iNk0${J2^z-*VDIEF$`J@xdg@I)zz2)~Ul+dl|4v3oSkLk>E|? zt6r+RwS0T|%?*Ou7tb|y2i=~Or3S(pY|1awy1Mr&^^r0q&mFe)p%LfSL}cHCs$MJ# zvrWF;XEG9QS%E%noy1W9BFD0D^vN_^!}CQw;r0~AqO9%`lQozH?j>`$r%(AmZ1%3PG3VMPMrQ3#F#valmBMbt^9H+NALK;=X9ulY?My@|;9>D~pwW4Oq^L z0xXengF$>$RK1qY7xd-j^fe3GyZ%=PWB`F)%lKVU1N4ar>YdIncJ7pqmZp6sXN%@L zByQ(maDUz49aO#RA(_A#_0NnjEcZu&-VT>oli~F<+u!*2Wh~}VLC7MDXgU5&Bm08% zpDO|aCkdc&`}OOD!c@`6ULh?_o&q{>O;9-X_U*_*_X|L5+@4?9eRFETA20c_?kdJXH!)I_qoDxBE4!>cbJ z(2jblusDSL?Md1JTCrKyVxF-}ga8eV#ummYNP80KMxg^VWf3$#7RP@z#K-18s@C)K z4aNQZSy{nJ?c+1DBfc^JANI}TNctb2jdsy5er`lwBiJi!#I_e;Ddy#!1|k-$fGy)+ zV^UG=?Z$94!*dGZxdvtFf)h+147@LR0EeSr%Zbg(NN%M4=hh@%VBq?uOn}Mcp6l0J zXaNn_Z>_OK_gmBI)!Kg3kexhweV>rhwcz2aT9S7(>1YPU)zkO%Xn*`EsoV~@duh%Y zU*+S8GT`@|oN@#9c+Iwl+^Df!XrF@hI)ljZZbv9J@#-E>Kz7KHnv~lMQB>3s#~e#f zzf|XZ_q)7VzSDhqnpdxc+U1cNs@50^Isr?xmm@MD83@6nYKm-V=uJ};1MQtCJk>T} z^eiYmN`ny#w8YRpLIX`N`$4A7gqCmyaXf9J74FKD9e62^8HRz=WZx8@B;c(7h3Ypo z+^<^tHJHdw720ZGF6cr-qx+9@#1BFH1<(K;I7Yr=qjy^Lybhl`VNI+iad>fOB}5pV z`x7~Vu?9au4?9CG^?TWrChZt&&f$W0J64N^?cl$AAvL&v-Y}ExnK`=|E3jny#9p3t zVND!?(bm=oYTk06)7wuc!o%tSKNWlWa&CG4;3g{#%#k_eFNuKV`cQR{bGeKU3QeS- z5fRYTM4Fa~6!kqb9X}!jxeJ5DVmmcOWC(NzHAL9Vw@2oiD|}9C5)u;F6Z>E7@GI2u zEWC>Flr>I?WOtfg7gtHn$dn>__fEUQ_dFW7&?ItU^#m`7>}Ua@B0K`Jpb30;Faf&G z*2%9Yi{ArhEhoPaw5_W0Z7{~*kX0}IuF$WrpU8cL`?`X@`?=KrGaH5l^ZwSLH~MpT1{J9wZijY6waM*wz$f%%g`9x z-?2?+Ma=i6w+ZXNI`ef7KWSsjP1<`fcu4t(QLyBrvHpS|$COv;)Xn#MfjnUfFI6nM z4VW7GP-fe6QmIr0iyOX4!F>qp5@2CNjTQ2Mx$p2I57_#865PpyZnaunLI3`_f)16% zxb84)t7>2X6Si4asz`yeK>|h?xN>AXPnABf(N?;!W{Iyt#Mg~eWz3x#dS6r|-BeB<@gnY?^biX#R~4)CG~E-XCa%4^ycaI{E_9> z^PstMn;RowBS*4cXaHqT!9&GB{!q@$3@0r7U5YaEtrqAs=Z`Q!#jXMuF$N6AR1H^W zml5$Aptz8SMivwv5F>*QBS0=;|G=D+ki1@W4eutLPNWc!|9`aZKUo8zpSqPVEm{yY z4o1NtA;tiXb3=VcN9_U3hA{H?9WM#LgBMP(?#YsFj6K9_b<<_RYnN3WOQg!w3zCty zAyW*}t>$Ym7!vg4@4AZreYmK&yXG~8)*hK*UZ;(j#*-5l;$->bnGBv&6Pg-Xp0l94 z;qg#{z&f9!G2|{u#{(-1EY$o~NdEFdve6Z$oLmOrc^2pCuL+__ebHccf%qMuvybon zaq2pu!4;Yj&e%X-s&kw;dXfhl&7OBM)7%wcO?vb0f`%4ayd8>>`NQ?ENFnHbdKJcu zkd{y8J+GBO+Z3qGjNU9wK^s3Pq7J;3fdF~L9wVyr&DYUMPn?@?UFybQO*U`sJz!MC z3?$UiRWLR#W*@hc;9E?!{y4W7h;bT2NJG4@ULl}{XZJ}d?2rH7%cwZ-)jhRU3dewv zx~PQa4C_&;J~V1*u#p?G2PJZ{HyJ?PPMXB(KlEs}Ja9mxA>Gfv;RjCpYIKV0hj-%N z9GRGWNk``2ZZgs!=!q%Yi?sduuLnV@C+Z><4asaF2dg!x2tfScxdS)^YjSd6PLA%Z zR?AT)({W?d?VI3%h>Fn^1z@d##a=_d+$aQW{7_F%-y$LT!oq*#VPSPB2oOU{ndN-Y zCs(IPO{b3w@4g)RA2wT_(oj}Fk`gVUC< z7jQ+Kw{Ok(VxMt}iBo~#r`Zac2Bv#oi9JCoJogW3aCGkcTCJdi~aVvS|A@yql%UOdSo*c_9LsI1f#ekf+pq7pt@ zaBWFTbQAqGQb`S607xaQJifAJXF^LqNKZ&^!$6ZM53qCVyakgf#+_1;zrM-7C+%aM z7w0WSgOB1V#z<)eg|;E|skvq3WOp(~kk9@86W*O2}fXekKwpHz>+(n?AwZQ~#8 zXc3g)mudBQaL=SPbUf%Ai_%T9?E^+j$sg(r0~L=N*DYsMgqlfxStE9WoKu`C=5hn+ zn23Jy9p5V~Mm5p@z-DT<*LQU6feJG(I-oyo=Zi)CU=C_LICRcn;-tV+VWtj4Zt$84pq_A^m+KDh`l3T^^KZ!`_;%Bb? zJN~;eV^aNschcbaeYT95-RY@~IzOSU9+~I%oRulh;BU7(o3We8+$VNIS5=kyXo!K# z5)48an1P?z=qs;lTgMX?I7iiK>C(ZI4m}2?N23$$2$BI|S@>Q@6Ixif_YZsFH?FWP z6aUQ{F5$E7BbiYjxTjt(ynZ>tK#go#R3QnC2V^F)<83VbUqF;xor)jhs*H7jTK;s6 z&`H8_vr1Tqc4@G|_L&%5Z~noc8wVV~@?fO^YO&O_jDJ3hK>Eakty~H^j9Y*R`!u0L z%Agprf($60K|2o;4+45>rgcPwYmTewE35yP=O*9m^p7(5Qa;N?VGNe|AC_&P62ak!-=y+7NTG9@tgnetPvBktl9=>DG;KpvQ+cG40lDJh!K zd|&baBwMz&)ffeQq_SFuGU>bzk;Y~sMPQ5hP2k1=NLWPVBoROm3vkpZNY&xmw}9ki zt5l&a_1sL)Y|(jHUMh#AQiK_d0%$a#d_E3N!jUseRiZ%Y;-av)5 zkpN$Jd7N~ugpSa4NiorZUnv#SyscT{?DMju-y6NfHKPrJ$@cusk@r*T@MEY_2m-cz za0a>F9}i1mwj1-HPAW1IfqoyF6VaE>!QK`TP59;CtELM}e9=KdeGBOCkvAvZV%H#+_*mCMf(XWIU^m^a7X#xtSWzQ>`xW{ z%Z7^T1oo!ST3%i7GV$<`Ow}|8TwMvl|H~xc!U4?{EOLl~+`gRVW;{<%7f8Aehb>Gj zpzDu-(nz(`)~Bpa8xLqX9DBG5JBD-1kU;8(FRlvx?ZN*XZ!JgC+I0VS=QSAphrwfq ztOnTs+t0;PP4T;pbmpZAOJgVuYic)?)Jeuo+kV+R>r?ePc?eO?^DJ?<{^kz`xho@j`dm$-Z!AxR=7)QsWJ)u1Jws zYn9FT)Qo3i`D^^!EPtY2zh2H)=dxa*oZ7pY+{pO+&DHu({D$x9<1c(9_)H9U`yoBW zhKZ)PSI+_lGF6BCO$NB=y$=rs835`<$`FVtWqmKBLDo;DnEg9IN8i_Xe7BQII;1q- z9Ofa*63g{-=BG+G{->gf`=5%6exSZmp}4fl#EP>#|RR7j^e3A$h(HL zS+1%ja}St~&iNW+&ThS)-c-=T@iOt?#BMCYeWm99hI`KEN;iSoVwC=)67Rovf0>l7 z(2!2Er#HXAlM3uHIjnoc`xD_j>5yadx?>ojMfobh_MQy>HU*4uL+~I0HE+@T<|OHagKc~a&JKOx*o zOK9TGRpu?@NTA(s`{;~cYQFW0}%KT}iPPtX(0j9AmRe>iNO znbXw4k1y3^N2tzY6dVzMx6Xd^55u3?j4omB_)(AYy76ccdP|=20afSg$dXbIYMfGG zv=3 z4gC!Gh+f40!-*k|n89y#NKb+F>}^n4EEhYG?toZen5s-)C*c4jh^?Yh3nWC;)|8p7 zT$>OOll*wB^YfOo9R)Kt|ATo>`cw1d6g!!9bbP22m48c6<`&-sR1rj1GxRj-S$@;q zrY$O1QY=e*^qng58&zZccOL2Xb~gR)_}aVDW#`d69%;WRKCvpyLKWe#4VW|yL4)*A zt=yj+DpR!Mx`q9}E?sMGca(85{`B=S-M(SfLwXRqyENa)xO1ZJ_OW&2==*l8!N!Ar zfhfixcIRC0CwX+>OO{|+heDpg#%UA@Pmb`-_%4VQ(Sw=}n{cH=a&qoG!G8k6WMwR( zQ5k_=rn7f0Q)%}sYt^SliU!5{^5s)<*uFVi$3s(rSnQg*fsU`B#qZ~HZy?>12AVu* z48wA40Y{=adI!KC4C}ctML0Vv*k;^?i}Hkr?a(OxowXJ?ZaQRg>}K}H2aYEMB!ncobP2H&{no%DtxJ73emLp z=@UZc=cORB%Hco+)f>wTnB?NG9)n}Ar8X2X?aX0BbAv^)ec|`LWllEQ|C)} zgD^ioPFtJcSJvriYw<7oWwfE=RN*l(?484;;M{!#{}41@O!;WDYELuo%xDrDaqEV#~0+y99?H|6_Ybwvul+d1E0CZy<9B?U!wgq zlxFqqXSE^^RzDeCWKnmse*0r8a9VYi|FI|uqmhU5(Xo&!1>awGvRsWit}qoCyKZu> zYd)%^2G2f#`$n)j`)+Z61ynAGu$BY>PJ4x4a+{>uZPR7<{Pvv@i{;(|Xtv4o+6=bn zBIkE%FctQI8ctZ;yBQY}@>$Eey{iD^Si`$wH(GWy$VZbHq@>`LU-Cb*1HrftSJ(e; z_4@xg8sv=*4>~GFbAGear#$;xtd*PE_q8{Br>eQlFC℘N-D;Q=y|Cg?&p&G2|17 z0kF*mpVsPn!c7(nh>8BSIqP>rN;B~>>k7i&&Kv~- zWfWfDCJ%sh6~TO;dM;5yMv1F)@#-$+sV|piCIN8UKWN5taypQ$6_Ay{fd|1Of&6aP z%c~BZl{sv-j#44M=cY0+goK5O!LEZrKn+Hbb#<(yA`zd2i%v zlWDd*Pbr>P(`Y^QrXyh1_ZnJ|7LwrZ^yG*O=R3f?TqI$gNxc{ORjknGa`A+?A~6x8(> z&xJyVNq^#2Mwk7IQ6z|e8o`#V&|sOLV5K#^9Qtk)HIsYBdNJK}wG(Oc0xCuXU`0MK zidc@9W|^C3(*T*FW}HXzyXTfyM(qYBY9x%~3H71~Oz#&f-JP z;$KNX+>hGyujy6n*29%0(Bgyx#)TBX!QH;N&_M%>&s-E=;nP4#0cITAk$Uf7D7PlK zYL8`7>08SAWh6kxm%ppG#IZ4xV3-cg$q7|f%Dx*h(#ZSvh2fMT$Gp7Er++_af?0#zan^Iq$+bHwI=OUON%lE%SDmzG7Gtc@j=CGWWZ zR%WbD*kay2H`5ISiTi*4a%kKE)$GVu)5rmTnBWhaTe`0%{!}To3~3U;vX6Wy3*zf9 z<6(CBUQ*+S6}8X-;SYSu!6JXKAq2hl(Er&p{-*;MMz@q-KP^i*XStM`KkDrfI!>e0 z&TapEuP^%7Vtb}mq^z#4aqU8SV-Tnc&95%X0%#*$ApQ{S*_F8@PEK}1M?^q}O{Nci zhOh$UB4WsQ`JlDz+})*SFkNfN{Xg04|4BGaj&V_b($5nrHm2VTl6hMiNh2W|Bz7G` z#ZYM^Vn*$r3*;Y=`Ps!A(N7)Si9`s>c}6l07qj*@gM(>=MxX)xUTGa~NLnc9!FWfF zF`uFB==d~fj-TrDlh|TZ8X7Wi;RuxB;km&~^UG34zU=n1-R^M(-zto6zJa2EjkL7z z$TM0nX)ejbj$oRk|Jrv5@rPU^wBOCw!qAY~PgG(8c`-cWNBUuiZ~x#^L|UEf#_SE( zl*jE9bOO z`IE<_5A1A;ck3E5hcLdD&EbQz~ z0SGh_S#q77iSpGON57@y-~U+0Cy9yWO#>qn=$ZlI97%MA2y-^Q5-q4^4g;^4LiQl=+~KPVn@y)Sn|BH2)LH(b(cHyDmZ0OVIdrjp@XvHnv_%ci#;tKg> zrvrBKp7X`LjL|Mu8NB@@p|vRImnwxto}Gf0SeSKSx0dDFw|k0~>h(BS(0Ssohk`f| zEA0}d70<^t`0kFxD@1P#yW&wSe;^tzs$j7BYHmuw=+$6=T?LZK7BGZ@;))%ly0{2F ztIk;%EkuD~Q5~5u6}>4=K+C=nMS0fXmrt?KFndhZfI2 zoTL+s*eniIwSNV_<^3u9>?RwWP$@R=LSAzFfrq^EWwFrZUwOzB(ux;ML%s8mafxQ2 z(oNEGfF|fmo;-J>SzAZ+i{Hw~;t49w+sU3fEi!&g@13~HV6 zKy8CZMJs%l`%iQ<*{0K#56uidr~|gQIRSy8Ko9OA^bM{?%kWna-#v?qAC&bzHw4}A z`y)2XZxN?$o~D)#Tz5H~G;ZLL@ph_J^@`1LBwlqUb3d7I%#BzY$gPSFtyIocQb9Np4#i+G);01;=>V*a{6Rjd z((#i$2HC>KMpG;-o3@faDX1)(8MD9nPzc_TFz~5A$ZMN3$4ch%B<{z?M#H9(VGgzT zEJ#gOVi9Y<cnI6gCY zDQqd)QK(4zegMo34&%_x{;1{zhyeNn{<|aLkhFip$%&%Vh5_+jOK%!Gv&UV}@YGH6 zq3f^j)0v_3a#l}wUs+*J0Ix3p=#dm$7|f7k89Z**Ob2Pll|RcoX5Yb$3QL*86?Oip zf2nC^f7|r{iKodxYDBD;_0h*-O3)oc-5XM4pn-*q$oe=B7cn{c8W`OP5YUqX{!4{U zedl0l?zN@;-4T^T!|A`$pPTNDl!c%?u&#Qg%{(Efkl+0{H_d_f)!MJrCsnn<>y{}$ zZlF&~JXSb=OJC_a^)I>c7IAQYRO+GzE>@}ViS5FY{_meE)T>b?rRe`W0u1$Kg;)`a zDL+ah4=Wn{P8*7fkuAa8?!5n7Wr%PxQr=K}|LoE6g(h(aHnXR1o}AU96!;!YY~N#n ze2*T4kh;1j3y>27>ryz9d3+z<&By>xzh&l;`fbvOPL# zqY`2G|Kn0|{5@T={=W0<-669R(@Ld*n0owP?Xwv&Y-Xd(Um!7Mj}!Ff@MzHdZalXEj=i1SM=|E5 zvz6ZP=qS4G(TCy<98F@9&`=ztmHuFL6B!~VvBfAX<>F$)0Fa{43%>__a|@M<5-xyS}0I*!I6Xw~Oh9FO8l{PMk*sL1Sk#@VN_+Y@xF1>dbc7H<*|rOl8GP?p(~z z7WI@`l1e-u?G6nXBw>1CeR$Vh5Goe@Gs3VG3fdYRs4OySKMu^%sqXBH)|QDLmi*p5 z?w|eN2Uqg_KjWc=q^jyQX$YdxEIsRE>G5B`ir~SWk2YDM^rYYULQLxYqDo6ufigq^ z?+ln256q)`EeeY<)ec?@zV&h1{9I%31oWP~n3x_9u^xySx9u-$BvxvX*{ph%WBzk_ zVAL5&;iV@c-F!pDNEmZs;^J_??us@Q8?uf!XUuYzHg^46iL;dKf3a}= z&AM+30ILXnR_|CwS6p-NCG8F?N9#NTdN8{@3n5twz6o6=q2Zt1p;#skqP&l4_g}rj z0M0cVEG}Cf9gx*cL}pE^>`Vy2Z(u`1?T*+wq(ze@Bn$OK=rY)RBrLbdOPDe&HQF?| z?VBXkB}tn8#OS=@>$K(D68iV{^>M?!MX&eTN^g`QKJgIyTl3T# zS+3}-z&xZ@6OvSyJ^C_Eq6!Pu&W{^|fI5l!_Yc>8cA&unx*P3%!pP%+#6(j7V|IZz zij6&o-J!g)S~~VqotCBDd2OSRydZ#Q#ozfkQ=MqkDA1EP!s>Bx?-X%Jp}E^Y{If{E z4yoHNfe|!|N1aytO9TOoOjc1v`iIr4JGq)*mlnLY)dhTB6VFJX87u*!lay2=$8mBh z0_#C2jkqZ+myi}{Ixv4Djk@Qn+H7PM_}0$TyO{~uD7SXRw*3EQrxVM0)!@tibcFOeBOm@_#yb+$>&NgiGiS1SXhFQ^I+GB|+zNd+50OHnhu` zR39s6`b|>0^liJs@Zui?GKYuPBegrsC(e{dnVWVdb0d|Hf|W}-WB>HI?s@&^{05<~ zHnPOsx^)-OYg~wrb22K}A>8@W)Yj9z>Rv?p4qqlz~Qhr%l8ZGkbuda^nWiW#g zPfFFi&5m>q?PqIr!5_gOBm&(FDkwG!on}lR09_ZDPGQD&v_FN5fdV873c#YXMPUKk zETenxVQTqJ$Rh*khvIP*d;Y^cbx7Ac6>)Zc+RT&(i-&GOfFm-`M+v4DknBf*v<8U3 zyC7ajGvyQPW-KA|Y}jl5i^=PNRU1EYwdj-Kr#P!LgHV z$iApf(ei}n@zdg zmTiT+xyCh{`^Xz(l5dG$>>MHpjPseKq|o}3 zCDmQSJb5k@=lQO+7`#U+*hCmkPU{e1Yy=0R z{>RyJ*h6?DK!xR_6bGBm&cRUF-#(Bb4UM%Ef-Az7P0*IQ>>o!@JCSdK0UFbO<*-d5 z5##KOW<`~o&y?fuOmPTLrjq3?#`a(mGdbeM+XYbtY1fchO>O7!ZvJouXYt+07Q4~y zkm6^#aavlW-l7@t80{dzvwk8fD_6}foJ zyY*h4?jsK!uduQh^M|G@g~O8?IbBJJ&$7Vb@tkkfgBMExK~$lorHTMv3L?xQ4$S=e z%3o2jQwQ1ds~zOjpWhtFn2OOZ7=timR!3V$cPsJ#%8EDE{{+9)%A~8#r*XH83=aO{ z{Z-#q=QMs=GI1w_lN&Fc1vNA>!&3nTMmLDYM0ltz0!?sD7NnW;B;gAwt75FLl@7P<-{u{b+v0m`-zdHW#uSq!&k;j-(WYL$YAnTyHn3WG|eZ?TX*y-0(D~*m}SZXm=QUDQGZW+ z&(gW9W(v6MZfRpXD-*l2T^kk3C`r?9UW$H^XI zpv=tSG~a4*c$luJqQd>UC)#7LgBOuNC}yNkjDm7$db@o}Fy z*70}!2npV3kA{e&vc8akwXea0Say4f>IDewPxMm$I!%Sol|&we7S@=unA8W%s(Ws5 z6Ps1ew06aW@>@L{{&~m)+f2S!TSet)f4TkPxX@}8%>;}5nbcZCWsuiYHF;Tq`W7xb zP4Oq2g|p3_INSO<9>e6=mX;sZ(8Z+>1*=^92YrydZU*wqRcKdv%N?h4 z;kAUUTALg4Lxey(L{TbgY26{^y%G>nN1-Y(Eq~?ap4G`&c0p(Ce@O?_FyoU$`U$`N zKnsiu#$~(`8i~osIYZKRfBM8iMW;Ox>{<2^4OG#)y0f~uwD3cWjim^FY|~fz=*sSg zA@_e;fQ08k4&nw)#v<`=m6byuh;~d&cO|D}g&7Txl{CJ?;I$Z`OqZ%3*!7WSH z`;C{KB7Sl*6gpQk2qR4?_c|)zzm-}@iV0uMS$t7gXu*g&G{I=!6^nf~lbIUUdCmNK zL`Z>4Q{Yd#`qFR`PAQ6i#0i6XpT9xEIhv>Es+*R^cXY#}FZbSVV6)RaDvJBQa9^>5 z@O0gTwoc&FPr%^G=*e-c6T}1U1yGaj-Z9 z`IZ@v1B_>;Xf(z1F#Vf|tpM3y<@-aMY7R9H^S0Xh5KOsCxv+=s9e{hKdT_)BbO0gOVqr%(s3if8@z7b-cr(_IM+_J;|44#((*(8Pt)d{i#k}B>G~A z?{nra*wkb**KknY#Bo-{>tN@o?E}7;qp?>kDcKITf)rijEe-1Or%p7 zBBWQH+VJR(d>y*obu+c!ll0#x`s_T73yx&mA{|yZnF|X}pi_|fT}{GvsWU%%^Otr8 zQ)VZt6zQ`6wh>B;cxhV8a4yx*&`T=Vf``CfE#u~vZf_0sFJ|}sc}z%C6!L2v->~|J z)Mi6YLu(N-X6E9)me|jq{R-Nn^{92pA2}ADyi2xbN>V{?5hnk26jfb4{q1yxmyxs& zYYqmo6i`WFmZm`rs&t#0u;fY^n(Hs_#KP7nk}P?yn|DBjuJU&5ZR@rhFW4TA;iDyo0H4#*=DnX zTpJA=I|ad@%e=^*@|`?u!&KoNM~S1K1;BcsM7Aq)iHgvOmc}f!eEGcvlsO5)o+$g8LBi^f5kvF2i9 z;c7N6b9Z9>+?D>L+>0GUa7&YW&&Cx}8PbHnzEfDaL2Z-SIG^c=IOa$)$s{mq@jwJ7}ER z3QIF%9j2NhamY;3=+h0GuFjI+y<6Ls3N>;(WwfkdOvo;&uJ|xd`Y^+b>FjJEx3Cbk z!hk_JRWsw{=rA2JyC3P4QQLBL@Ay2FU#@xU-WtX3OTA%t z=99c`*%W5CdBCt?0s$l$vUWw-o*an}OyvFiJPT*$t9Q@DfNy!BWhg4St6L5mr~#}y z0jMymt@0bm(9pmg70(>8l)SIOqc_S_WryeImwLEuZNJ^?mIn6z_*ib}^5xo=*0{u} zp_KM!@d=t_)kU3%i2HWHg7PEzr|$p|mNsv#vS%eeBW_&KE-Y)ddo#@0gxVD;$6sZ=k7(KiGHH%Tr{74TpCNfo;<&2ksyqQ~yh0K+@C?k21m++xcJp1zz5&0u@%|K&II| z5eMxBDP}XP;<`C}?Vbm)5$IrIUemRO)ftk}Cplcc<4`_O6C4go&L2rmTd#GwCI@jj zp-4*J*?mu?_qJ7&r$-SAVy_>v@S0zqb!Bt#aVaY4m#?J>QVqMMkP>=%xjs(SuRYN2 zKP#-$q^bR`uzsd;vJATo?C%dANI=QD2`~06C{DgL;h^rZvXwe`U5)@&uA-StMK=-7OUAC74FVnoto zt<0t9zg8b`DahA4zTYQ6m=EU=FuZF>m0K@&RQ@REO$sZmL6hwZo0XB?%+ zX_IEmho3x)wzRB-^dFa=QtA@)4)(qIA~^^O=y;|KbsY^i{a7 zE8U|bm_Hs8`!1()>pEw(@y7<^rUNYhC50qXji?cezrQgB?=@-*yc=H)W;2p6^Dx(W z0Qyn)#WAC1+^)#;G40pmL)jb#lT&7T#CIqDB6v(Ca$rAlsC8lS`%=xKA|fe*!mIBU zR)eU!nuelyw^?~G5Fn85()Us}MFICIUTIqBVmn$iK>5u-sJr)_a;{iljuTYwFO4Vz;v(CqoCCde$U86cRf+RJT z&wCXXyn7UuQs9+*2gC3*$8W@I`LGDy0|bszoc;HnB%`6}=`eXqY7R~+keq}C2AVFd zl3D#KK1aL4$3JG|<^Ax*%I_sq% z83)JHe>Z8pYU=6|hh4r%F;4!unOnBQ$knH3dnbt|uvNw(9^NuGUrKdz@*1BatR;++gU7}kAR3QVUuPW@biNSz64Kgw zcS-iejwdl$Jl>g;c{bL&{s8|UcPOE$;CKA#+n`$70m%c&L+_>6LVFrNWzxMoDIMb9 zx-Sc-lJPVEwIC#-PAw^EQ*P1L=5e;JVYS#f$dINc=eS}b91Yug?=E5p`YjzlH8U_M z#TH*3Y>pZk=_%*RE-0WWYuGmfmWusJm7UtR=na9RnTu+NSycLm*=@2#-xl^dsdz!G zj4og^6A1~0VO2IKmcNRzP(QZ0jhD2vhSJH~t>@hbK}|=;J*K&AVxPhnVaI=WPA|JJ ziPxGC2)C=+CQGjLtd%HnE{B|!)ndL1v&yAGiz$3qHU6kb6%lZHaE%^!+scZsj;@`W zI2pMO0C^n34Y=Y)3yfYKu2liC$qJgiV9l;*W9>t!u~iD2ynFr~Mga`u8=XsR3631la8-`^w1DJ;ANowAVQg#;#M9kf5xZ{N12*Oisg zz(bVy{zMpf-%c(i_XTrC)wMCp3VElJq{8K9jhR=@3+iB(g*BY=H3$O6g zb44yv0*|(?8-}gBwgf`Qe@~@e_uvZ(&xRkYnsvZZaJ)$-5^TXvh1qoJYmVaLryzs! znag`I`Q>Q0fs2E4GuX?f%I-_GBOS`gKZD%U?3vM&qj288ia+l0i6sl!eAtzzsoLmUyO4(N5H8Q=YdzWRhOl*rxnhQk&i=}ktTIWj)~D{qLb@&Q=F z&DA{%7T=4)6zHO(^AKl+b1!rZ-|4Gj27%8^$ZBMIIC+j==Aes{NnUm~S7D8qFtyAst7R1OaHvJb*xMQEzPs-zT+@J|Bjb=O;i5(jgIZ}4pfzCcSd z$V3`_ez7v}fFFHnCuD8ZfQt^+P%+QliM5mAO#9JV8F1q^DNN%EyAl<&pNLE(Ai|9a z0q*B<=)P&)+&CfWQNq6@`RMj$$nKpz2f+0#mwUan3`7*qw}!_lOaI-v9Gg751=9HV zPdTn&+NPVUGi=!JE#q@pF^NL$f<~`qrwb91g(XU>|M?%YsmFXY8xJiRY@mAJ25~Ao zIZao3v*AB>vqe#?pRK*uNkt3)KKZNvr}#EH=kpKoKT)pE)hb-YQKSUO~$)qd{eS$f)0-DWWyN zxg8z261m#4+GtyE?6UUY!K?O$TMlmzMAn?f2y*Nx(Hu3eYF)6vP)tDlQO;pY0!o)a zA*Z1T5$_!+!%1 zl|kc>{awb7Xo!a6$rmqI9^ydD6WrPwHTO*4%uGE0 zp<$$}*z$Q>x%v0+J9Gz07rU310o#d2lz8_;3~>wk)TD=#sNAQ7_gLb$_A|(6oXbOTmv*VaWb?*FNnsXL-V1i)}*P_-fxLm>eBI2@6PP7sJQ zF}XN9H|P|(^dj2A{nNA~$_nYR+yEmp_1>xh2`>*KBG1lH=<#E}IVF`^;XGI5e?xZQ zFlGoNyGKpUeB-R4568{nxk_0rA5|TYPy#)z#$5CD`3!@nQkwrG*@&0^0nem(xrJ?w zhkYXUed#f*AB=W!o&0|^opn@|Ti3-80#ec)hY*lXC8WDML|T*%>FzG+Qt57x7NkQ; z8azsOigeevkN5o;!#}RWi$l)y?7h}pzd2VW^>%rEp+wA7qaDVlA7HVlCzh}8eh!Ps zVW=G0Toyw&OQNLQd$uGl?nnyZ@LsvKRRU}$Nn3`gPPJ_6M)UjKO1EyXEgcc|WmK>5N;7eq8BV(;=J67Dyv#T3b2+E5fV;0Y-KL>CeV^Qoc}R`i0S?|1wzA1pe`cW91K(t%ZbY` z#l(RE4l&pUGv9-g6Oxm?9GZd#qE^4$wp{p-ufDHijt{3*57=;&9FyPaM8>TNBv1(N zQ1=l4cimg??AVyeOkg#I&(a{{ssv+rdU+e{lMvLczO927s!pdE;p`+$gsH{t5C z(3N4<)UYq+d*=m_h|v3bh#2@t%Ka+}M9}@77RYNr!}kXDLoyTeeNTn1yUEt5KUOl< zaH{W<{t9zPW9f!=G2!T^lkwWkyMm7&sS+7f+&h*{`5 zNJ}b!iFy5o+g|IlNVcb!hsYr#9Z<18{pkcdltX^kEyaRT|KY`rJHR>b7@11DK-Cyx zrVE6F>eq8uv$>7phJvRr2MB$9?gJ(gR7mW8HDXl#B2hq)Z$)Rh={`xC+8lk+bMiW) zrRmLB)&YwG*sWFy7Vu%$Jzf?{fU*7&+c`X)${zh+FK~H8491*sAOSL)I*IfU+Sh%N z-dV}uLG`4`Z=hxS_E~@9i*vgD!-HTuO>%j8$<*g9Yj5TC$2VXotn_heIVERF?{X^b z#4-Ct)uarBh1#`7yy;q!{%e1QRT4IYn{upC9i z@l6~8CX>*?q%@k8mWU*Vrk)c6Fjb+lw3&-+X)#y&REwANjO}dacswHLT#>_<+}D>l z`0!)dEdh|b3RpHYVN`kj(smA=P;q`^V1Pj=Q_ij-r*9E+b`0txH zh9ml;!81Iwoe;!+(L#`gk!mJ;e181%_fjR5Q)ONAb$i1ZJs^Vf%d1>){H#^h#&fgd zpZIUi&@nO>rEZWt#g0ga*Xs6Tvq9S-rZcq4)PHLU*Ue zHnDU`mhaoK12$xZ29)))63h1!RF+Hy7BF?%3ikF_u!i|nFPVH^*u6iBkJgzN(zlBv z22!(soY2pk6lpUv;UX2o7{xRdnv`8Yrlo`41~0Ovs}w3a7#HSHpDL$?0C`)3G&^h5 zeSMZK>waPNn#jl5TwHSgj^Td)*AX@lS3u_;vS-fadtLc?(xj!@z zwau`a9G5{AYihW9lKvCR)vvrB!E;|~8&+GfxGK>3Jtn*V`Yf)?@fb`Y)=EmgVqp;b z#aBX6Ez3{l#03_}OI-jAN(7Qhus|e%Gbqvfl=*xq!RPkU_t5}vi3s`h9#KmLOP;@J z%B}hytD*c#2ewO0sL$GMRR^{yGBp%)uYD4iIe{p|ZwHoI&h3fr>zBsrGFtpjNkvJ`D8fDQXNCY8ZYn{W)qCaZp=nB5Tf! z-CX@Rg|`!#h(<_qjWCWxqS#~C*TZS3=wdA$WdC`w>YsDLWmUyt{4cB+Km_Y*aRp0Z zMjRsgjuAmQl$@&4+3YM0{!87+2!*LNx~8l8BWgCdsJFm-$T0EyDlE^%($6QtPnu2akCF9cGZG(k9SOxgu&j8*2_|DK zp<$2l$diA$OF9h)Z9F(aP=bToJcjk}7j;hMl03Lw)1n{&>F%;PS7GB@WR=i)T~h*8p^_8sW$V%AXM0qe+8<+$iWKxGBl7H!gDe~!dEEY7GJGJ1 zMeJ2Syn9vD!ajJ#7IN|;%TJ&JOcABtvVyA$u17iaLyg1N)_Yu^f7w4Il8wb}cZ)bP zim}AyF`T)b*sCbBC2Xb1daWQD!962Fi){Ciw}YG7pUPCEbe`5CAB)|jG59 z{2Dvs^FrK(l3ctM;VoU1~d{8SbsB9uW>t<$IzkaJ#_jbr7$23ybs=$Mkp&-r)6&Bg ze)+u_Z)Ea&Fo9|2n+hrE92|wV_uyzO=CUDTg2LPW`CCXGcO=5VH4VM=I@$z#I8&-n zhbrqYH_F=IPeROsF<~i1hr8D_zTENgQZARXI7aqbelnHNUT>B36C-VcGUu54@}ZQ& z+n+Gr_qcrtDpT^8AmQ_wYI`6P5uKetUz)G^91#!$5Xi`vz%G{eU?RGNk&Ff59JP~%Q;nR*PIzpdFy_0QUM&Iu}gJpL)%oF@$R<|-I3RQwx zTfl8zy$UY&V7o1osiNvuD~0f&ASckw5nl!*lvKU%9ZI=aY2Ffvc3j&88Jth}7EVe0 z&dNJF$?d1#&DOgr`1KD0^VJBrZh&P!y9WdS+6|l~y}3Ghb)OnDuh9+0UY*OkUB9wO zNg&j9I{gn*P*(IQ9qvzsE{;#_xyanaBnffYLLW~wzBWpUUF&_CuLE{mz5@;L<0wd3 zc0S0|T*2D4O_ed)^Ykmr?zz{>Dp|aZ3~#hUQK8MZnu}N^eNrV8rcBafX)Q<@}8@)o%wRG z-P3tWCuTXDaBFV%e36nDVOi-y(43crV?4e;^c1{ZW;2>5?mA&YL26Rl^)n)=Kc5*I zR)T4uxNN_p^bQWCjoK{9Mr-mE7L2PYzHBqj$YSQaqyu}>OBpKIE7?Ys_x+FDX7K6Y zBUJj%^6Gn7dJ#BMtfqd3C1c@9OQ!&N>3gnZ$LWpikB85n_ngnI3>VOWS`4z_UXKbf zdoWr%C0heM?Tv%oKS9lBK)L-P28~rhEzNU1OKTycEERJ;U$D^GB;>f!4mrC-R~?D2xoF4+OoYs6fzI#%>MXbF4@dq%}}9Kb1%|4INS$VlbR(ZhAW-`umq&w&gaaT1&DUm@swY;xPT5NUUs8^>IQkFg@?= zEL^^tc_pBw!0LS_7t5 z=fFPm&H7p_dn};ifZJK>-I_j^xsu(occ8~xrX_77%s4;{hQNOv9}85xcBG4?eAJ_6ManVAX~*xJ>balw^WuX z`RUT}!(5I-?E6@E?GDPks*K{>mVnAbtx=wb${at9l9ZnqsmM!7_i#rqyZMd-CEwC5 zvZzZ5>m0h};K4Ny^Bp=~Q*FEsvepu++o)%0_4Bexg(7jTJ)M53)5x|G#H~_R7VFGq z=NK0Jyj{$AoF6b=?MPfHhBIHI18cha%hD~l5_Iin8Fhdfwo$!)%F&&KWA znv@}iwikttK0Klc|NYmM>ZkqL-SWf7)jc1~?`ja2NNACDJ0RcxoB7ZFH}l`VD3t%= zAcjl2jU;V*SU~LV`S26nvh*Tn<8d-Y@5J|HZ|>reBK}BUPK#4?$Nu?AOIilI_5}Lk z_}cbHj)Cp{l?j#sb-GLq*+S=8mytJ&Mwrs+$-MK?9X_gOUL44?!?;EMIt5cq9rLUM zx)}sy(|#mFtAY8oPGq2aect;P8DNJ?HC9tgZ%00l1yB@jv^N3?e{Hf5AJUuYKIi5$ zWsV&hx&eCAcR=Bc!)Gu$Wup!YW47H<$Cr6Zg2y4pIDHqarkj<9iFU9H^>CUlMxXN1 zA|SJ=r3&$nB7wg|c3QhH4x_MPzO=nU^`p~nU~VMq99 z4u&tOGTu}jO}*Y#)DsN(GDb@|KHD|C?xVS-*O`*@zD$F*)h)tn5BE9iPn8)2Iwr-_ zZJe^P$GJXeFkME@tIvw{^wRJc&qGjC)UnJID}1ETyW8 zQ)`VP-DNt$qPab#Xk6KnPsFzx>;%6aeGf-?4f+W%*Tso5CN1olETn?tD{pk&28x;azU%{5cZaN!sXw7zPjjFJ9VA!F3xk&{5;)6G}t z*!M8b>9z-6pcg-zGK=6zn{Ws|j1&sVzND1aFN%&a<^GKuWi+w06bYttG%CKe;`}_# zx@lI-b~?G0O|nw51CL!yea#kT8ZAhmZHq#^6cd|4`X3vM$K$W$zYJe{I-e+PG%5L? zq+n54lgLIP;cf060-Y66TAC0X51baG-#}pPPEJf2wMfR(9?g5$Ol9v!F8nuhyj9=c zk8nO4l?pz21zqduU_;`H3X!-g$@;5lG{_S5M9lfQ*R7h7uWgyL+O%k}EpJRqiy27E z-a2d*7ZrG-L(n`#K|?uIGqlyT_-vG=ok;CQdv^WF^H#T#cRnRoorJUmjR^!~6MGuG zIkdacYKD0~CCZ{P+8;X_9bG3pRY$Il&N!L2H&VN|WTo=x0E%*%(6H&_J0bPE92Vgo z*TU=4)=8Ld`zEfPb#cq)jvZB5oLP$q)p8mw%%$NkxUm2O_7;q`nH0iXTYCvL;qD9} zEXrF_c(b?T;?kQQ7)S|@6;jZ(1#NjcWcYv&uoo28yxgJ?_(=sm!ju%umnS95KZOnC z-~GGW*h~npA3uJw>Y~C^S%m++#QS%ENQ_H1j9p*XdHhUY9*QLc?*9$ieemrrD&l-HMg>6!J`2>r6ynF|q=-Y=|d#M~4-ozBz zZP8@@l?BH&kh-r*t?y)89yZ9`uPHXWEcvDp5rw-fU?ZCZIQ-MxLZUJ0nb?X5P83fz zL<2fAsU~l(J*Gx3c6P<54QN@?T?G0vh_X7*U>(E(g&7|(yk1<;uUphIFYm+VhzeiV z)N|P|jX709n}2Ne9BAHkcROEJeS3XE2i3O18&!QJXp*JY%)IaLAQk+R)YmW0{5?ir z$`=y2@&K3Qv;8mtwZ&yO>jhjIIR_Og-`BJZ;ee6%uwSPL%STn9`db- zK6EwB=O{ot5Zv9f|2dT0y|Mk5J)6G<4gVRdl&&rmIBM^|j9$CQRbK@VjE33A@jI?d z?8tegWBm1%qy3$8wZKDR#OJmVgq zvVOPs_vQf4#0tM>dy*l!%}=2az-4GkVTK>RZvOex0nSuDhK~<&#Lj-GkXzjqgf7|Z z@U0L*h#M;f`qwzv{MWB=#6!KVDZt!Ye%$1JFjiNX^u}o#<^g47dUobhzb-n&< z#E3t0>gQb5{3kcRFDZ3*z6pR6GseUSlej*R8#j`tl$`+i)$uGKehooFZc6{Xm0p-7eyhwBF-1W6OkHf zhe!Eo_JCCCSJ&o2gur*lfkku3AZrn}%pok~cgK!xFpXP*eVWHsvQT_-8CwwkN{KVlZ;kk^mbE z0xn=Px#F9kQ>ACfxb!lUKgUqO&0vE~s zln2cFT=6OQR~49`VDPJMV1Qp+z|5kUQ(>!ccz1cE9MO*tHozl;hgb|u82}d>Ecc8f z@7p&_2v9HKj02<~$kmhxd;aQ6nHo2|nEaXw$7K;A^}pAb?o?CzRQP|B6D^G&hELXz z@s$#(z#w9-1m-j;=*HE6bp`Y1GDqpQ^#0-dba)8GNlH z8L2}ULyC4-FhS-rH@u)NneyVNJYaJpr=5uN{3DK(WZxy|? zfm|E@jBT|jO4rIkZS1>m>*U76d9YXmhYWsZ<|;5mphrg^0$IpO;EpEDLV?rKa0L_S z$+1mW1Ck;lh-@o72*zc@9pg+ zGDOJ5wPE!P<`05o{|4IiAFf6lcLOB@WEef^47LOOv~zJ_P`e2$`lhy*k-Aj?{&5fi@-9_XxnYb(N^@JNd{-5ktZYms7DxTp+> z+Y19I>YV1kbp8AJu19S~Bfq>)=LTgbqI$W!+K4{Dk7vpTHK-b6&c59p!X0au)KsD>|+tIj6 zIV0IzFzf})I@ns@dN0TVeN}OZG@7*#ZTRipOll)qEsF}+9WUU=*Gdc6@`&zud-?3^ zdobzK1nZ>dxXgbbmEbytJ#KoPp#D0K?dYGBx2W_V!q6VMRjfkEm;n`yNfyCitRSTh z^@kCc38kiq=)Zd6>kIw$MO<5;Km#g1@SI&P3bUAUBtT_zS}nLfL1*r%BxLA%aO!1V zzvS#-60dbfWg)h*z9^4Y&qnFl%vITWsNdv1@(WfBKg*X)YL57Ge8e*|bzJs~3-B_b zs_K=C3x)Wz=K;IBG7zAu$AJN5I}&VUIh6RGS51J`;8Gkuoy!ne0Or3Xl>S_^PXwgA znnS2IX^V@_9_PQufYo6sI5ANV5Y>leO$h|HkMd7Ax+H%pm?$(=<+irQ?Ff6ZZ&6?` zmBs4b_3HVk(v>;xXt00@K!oGkXE;CtTob*keg0UaMXyF(g?sat5mMx%9{Xk;)=Gc2 zt=6J0kzlfqel2L~ZQAm&x|O{(^VoN_PLJc0%I0nc@5YSP@UaKgQG;6XzVwfGS1(y# zgbi!YF%TwRTZzcZ4}M*CcC5KnTBDCHEAyInn}glDP%;6>NW^XvDowcR1btC~4`8$(WcRCk|rpI~O5? z%Rcx5APbFlH|9A$z__*tFvD2V=YPdmiQtnJ5Q^an(EC2{*3>x)Q{-_Q=2JcXSA0R> zcRECj&+rxBT-g``kKubHEBsP8pI-X}NVl(dz)yt;grgE=@Ryl?OO=o;nretF@tXU> z>M2n5S#i((#|(JC3SNsiQ!9rsL8(oGV4+RIQM|r7%E6IgKB}Jy%WpS#R=oG}T}|ET z)zsDFz>7f=3!Mv)4EKu!3f0@=bwZF_w!1gQ#GLg2T~q>kn3i zXnquMWrI(Eb&T&gAYy)gXqzM7$V;`-4kAUcI)m1FK{!n8R@M#c<_}Mxof^SW*-+D3 zTK<=jaf7o`7gsB!n3t7iu5^)o$**&LuErPo(f!4auri6&-Wk6O-x|%i*1{mf5yUj8` za{McVTAvw-PIM3HGaZ=2C}P9D^%C0RiEHW!dsHK<@!6Kx9Uq0A6%X#ca$>#Hj4<)z z$6i4D1yeC~4UOoH;Rp1=^@A!GFd^pK-Gi~1@w}Li(lNT~@YruyLbB4h0`6}HxGpOB zlMPTIQE+kri2t3fo(E9JZ;;RA5S`TjC`}gl0T39(D2mb&07+$+G}t8$Dy+bTR6C2ogpAFMz=Ga32qFK93z9 z4?jfqgl7YLw+$EQZvkKET*+C z2YGt5BDC7iIHn9Yo4zhd8A9-_Ksc`dT3d@??>X!aq5(qzGZCwa^BOUJHA)acYs;@5 zzvECyeGAdQ2#hMeEdnkdI+w_cmalGuqJvdpr%nhpC{0t0Xh`NR%#&oaH8od||FkMVh4cI~ ztc34=NZ@_nXxHTmrB^P}{H5Uft`xn;>D?anr`8BK`<beE?`nA#zIx$Na&VqZ{ah)5%z*06pYU%4{J6V4rW2GqI}Z z9S)q#u(sY@Elg{VQ8LE?zJdsYqE%uJ%Etk?m+=Fbi`g}=5dh!DX_;=Ry244Y-l~zQ zD03up3hF0EMH0)M>&x7v)!bu+DbO`5j1wZyOp3XW4k2GSeA+e8yjetRpE|MJN(^%Q zRV#li$)Opm(7NHiF?cM$6{(_M{k%bUBa)q8KQ$CE37~d* zAT$vE4CqE+IiUu6?&@Z`D8PA692TD7MOw>*3$AMs3h#dICKL(zf;SfXL$&qkMr@hT z>QDV$GRjKJO(E2&R3WrDM_+>&Kj@#mZvk3mYwj8VdkH~P3}fu;?u}F==nJ+JEGhkz zb`q19hYob%wc9Hg*nHD%GDow8*zx(FfDb-UVfY6Mfpp|obffWV?aCMk+&{9+XXNub zrsT4lW*a_E!NRrzBYrp~pWRL_t}nc@nl8AKto%OY%Fw!|i-95fw*CXfo84m97FE=h zCm=yc$-OdvY6q*q%WGgyB8bpkj<`s9f2%mLb@8d;^5d zrU_;^53`G*~(ds#J>unIK7P+DK9Gg)lZFa~XQ_JFf-pjnv`-*=j}9+462} z%VD=Ln66@Z_`0eGX4-Ls*BcHFZKn_OaX`%{vb$*x8y2pX|UPEn>z zWslQCfE;`lZawZSr*vU>rtq-~Psizy>V9rahgN}9 zgZg@kOHY0N!Q@a&h*kI;zH++C_cjyDlX@GpzlRPUK$=cVTWGWRshSM&nBztcNm0ast z6J*k}5MzN3Qht_t;K-6Zab(SyMJ?CiafC|2Km`FeA`mu{McfQwaqKIBtSmx50M7te zT_$iYAomaU40Al-X%;VTr?G@O$l81>$=>pWziAL+LPAWS=77Z2hZb$+7kMzQOr1Pi zp6VeMO4pEU89*1EAMUZ@n!YOfm{tk!^F;#dIE)MvxbB}$TyAzarfvnpVzv*Qm@aL) zpz!h@>vCLepA;8vm<~lV!DB<}0(DQY>G9JdWqsfiplXD6{NaU^NdPiTOepKk8Fj9w z!xv%zty3^$olH+Unj!_33}7@@P;&NCB!8f`A;z0Mt+A?ccN#&-yUnuv01K8;|lmKIZr zJ>??0x}pb6_P5t9r~Z!<%w76|XNx#P-b!x5Zp7oT@}@R-gqVlb?&58Jgb_MUN=QIi z(&9*;F9wZ{uF--~+N+=MhEuD-c;K0GY~Rd+g0-s zV9aAw&&#-NY>3|i3K;=a6zjL`hGsJuiQ0q$qW6V91*ai`BD9Q{NbNg-SFSaClJqCX#8R7zS+ZlsQSo?pd12{XHTe z66g^kBmJr-oOnc@Vth-i5WWyNnG4(tW1Lc`j#&KbZ}{;4H8``& zWuxt=-M4AH({w`8p|SYIc9oTLK&pOLp{h#$suB;z5|R4Fbo_uoc8bWoYesYC z%e(p&E0DHUhD+0J)k5rgq*TcE+1ljx(i4!K;!n9o{TcRHj3GRL>2oQa!b*24Kg+#c z5is&DxoxNDQVsGj(07Z8!WhOReA|KZ3vL1&T+J#Gdk2& z-a0jHbM3Fr2!C01us(I8m!XidIEsE!=Fk3csg$Wcn_pRYKJ1$>c4P@ET9^|pLz+m z9Dw4hyYXZKIN$)q^au!MV2cl03Czbyvf~`_;d5dj`08p1g^$q1m~lNAg*8M_EsPo) z6Y4%vS7viYj-{lWZEy2OfuH*$9Lk=X8x9(d>W_9<`#HAEN#JZ&HCWCsA)0sg<1dpY zu)9Oj8>zP69Apt)GEnTd6l!a`GwXeO1uU6iiHS$>Fu*z>!REAh@lL5c4WP8auZ;`+z4#YJ^S*(-w0eBz%Wi~kRPvOX_*lrQdLoLA(|a&8|?vm z979Dzy>~}{NvOFw=kV^;I!&POS5y+MSS1}o>9>^p_d-c$TPo`NsAQ;{Y%yjcL>|A@ z)j{YTk!GzNg41OQ5nYIk^mY8jz2}|FtdSb~h>fu5f#?@E-DMN1jXF^K!VzRkg>YTa zoB3b1uATHwuo6{72$byP?fZ<-mZJlIdXyLN*m!Q*lPs&= zdSKY$F5)1ODmkrsrF%AL>PxbpS_-j=wAQpe-b=BRuTB%bfI^n^e<;jQ2nTgL>+8ef zuCMF>ux4xWK?A{)MBm)~sjAWg*Lnf>A-Fl(Mjo3~{W|6~k(#kThPBNW+zHcqy<3d{ z0d**F?6;LvRK$^>NCuB7{{~Y*@C74+9~C&A$QNVOynR>(I$lR_T2 z4sId*=Q&9riGFUO2fi`Bt>D;Ft7F{us+1x#I^eY`lONgg{_<(ABD6hSx5{x*;?&FlfwDCOzEgD`_ zJ~wYgh8eokW_ka`O-@r4*U@1Cd7rXcLuK08-5|=NI}lM+R!W zpeo_cyE^j)WUkRVzlWDVP9O$bl-a=;8577#U|nRW8fkE_@BK&Dln9h6jMMYR{Gu?G zug^b;|8o0)=GgeNAJl*bTVL!LNJOtc79L5yOT7-yE||UlI&^L3nG^AZGM^&_X}Z5V zxSdr~rZ*uKLK%XmD#LR4XjZF{;^w$u&U~X3UCvd6I;SW9sJKoU$f^ci?b|#Mg)W<_HUWe$~Xl!xz3*l^-d{9IrUT&Lv5mjgDMXWy6;f zUKE&x#Drpq1{7!eK?)1;)eVKofu9wef^0-*~Q_Nm=-R3#^Z{*#8FcdN4rBJ!T;4dzhIK8u3XIJRGZyiDH z45I$*FXlo6U|zkLzxP8!Q$U}CZ)*_$T=RH^i!3zC$GE}#U$yv^_rEdAaTTX~&_MN6 zxjMB+%P*s%5op#tWS%Cdn;(-@ z!Qi85$Npq+O7ufOBiT&%iDf=BSbRfG7W52_yGmm2LwBO;)` zc7n{8C}fPq>K}D*Ox0?K4hR55jZhGTrqFuWy##0`DszeIbri0oJUzM4^m>owcdx=G zF!+Zi5vp!Akd2tcyxLPj_o|T%m}H!d!b&h@wbZPlJz1^3iP(+tePb}uLydDW3MPvbW z*2i%5)uRlZT7OgM3X7nW=%IkO5hz>Hls-*FISR0BE&w`I3W(0Nzqrt#**6XDT5O0%9EyzGueoB&E&nkrfSGR=|zqDVNIx zFt*ZSk(ke)o5g+MfIHlET~y>AtYQ%gmL@tv!BdyrbpsinK2_;``?U5unC^9g@CP&u z;(M!c3kk7>C@^ortaAME`HWZSYTY*xqczp`gYyP4)lDidrB>G`mbz(6RPK(-iB~5B z%{~2ZJt)g<5N4$HUQ?Iwjd0uvV8xJXv>cuuwl3RgW$(D(HHRh^C?AyUuh}&bknMT} z1!S3_$tHpoi0OGQpqa!4?~ZJz%_vJ=ekzCO(-f?{zXoWZ$`3q0*VhJ~XXVJ(_uLV= znkh0qSvP(yU&d??Y3P`)?Q>_56;-j`A{RWmX&f^E@M$85na z$X{YikA)g-ue@*s@F7vrHeYOi_k+nUx>JSHEAq-p!7uhp_)$F*EiD`1Q^Q8Ycv=-P zih1pu>v?^1GzUjv4j-Pw)gkJDK3*P!*U3A#B2_QWno=HCU%Kb?^Tk8@EEqJcnH7r? z(AYCsk8j#qZJT$}+NmP2LVMP&0$!lJ%7m$>)7h0CQlGf8_a5?|ctwvmTJ4zn2kSl( zs7Y!^a5{+^TGx5@b?t@Va;cs@gNJ{Cdq6ei>9@oD>i8DjXT!<*_IG%CdRd+KccS9* zgB~DSdCkuhW5GB81qh%5nU9*{L6U4_MK#W|!jv!+z$>If0cP^4QwOB?9xbECWH6Mi zi8Fab)N+67%0W8%M6o1~z)Go_)A67YZ~rDI-I{RT8Kv93A)kVGcMXL#o5E)I+wNse z{T6pe&vz!K{>zWr)l^3Ukqxv;>7oqJeP(u88w^K1X)eoL)$dS3V6i2xO4FMm%%7sLD+EHjb5LMmYT2jK=C*iJuoTNCdyRNthqneGh6Ru_6xZF24zgfmjg1BIBGEmvDzeqGP^q4CfkVC%lkb)g`0#rg zPe6{w<4y_ISIe@}8kydkVOrm%D^>riYS8~RKp+=tnOshP7=x|)QkB7DcV}q4UR%#v zpx%Y%(AB*O7<3ttUK`i!sgafbo(t%_L#+|kiC z@q+~*%%|hcWP#cCA0I?;%#-A?u4b+gyctT?{#Cni0LMfNG&14CEU?jJb4q6`_(Y8dDhzVh0oz;yE8=Bm`R@$1iVg6tG$Sa+{tF9H^bSIOFa*K7J{b z=qjIC?NpT|(17TDanHCe=XCx@G{DQ14Op{?hR&`}4$2b4vr2s;k6k(OW+$9es}m%v z>(o2&le2CvOiKLJhCi$lrjVP}IMUN}@0Str!{Mlqy&?d;qVe#+P_7getkpC$5FwWK zqF~eDAb;q|C*JQ z5O}k~I3D_jX+R2t|E;FvPuvM|Jyd1~l4Tud&+P_u~bir#Q4S>evR28CzpRM;( zOx~|i6S^OvT>PLa!hQ8*dq>@@3?}8`k1|p3oW``Ijy%q}zl732~ zsbZBZyH#z501#U<@KZ(tJ}KUzq1`X$Ba(0j(h8Zr37PZOVvKxJDoE;rsjI68Haj24 z2pRRNn9j5>`|^69Q-KKPhws4si1a4EbSKl#g_vVG(hQ-Y;bfWL6MT~;fTDtR)J^Xu z3(N~uOjhEXxG1{B+sXUl4jaN&EW=e*uJ|{i1VsL7w@Xz*K`-J$54BHQ6fJvGW`rNX zoaE7$B3jE%n-3jfnR~2~@$19g%>&4-^i_&BI$EU8ZWobakvnyboTW_7ACw4Sx#*t2 z&fCl)9RGPmS6SQbhZm+td)D09Uu`7%x!+p!q}(mzy+>s%bJ7X(?d=e)&_my65cc&D z18g}U@My#50^md7lMDGS<9KHdz{LCtTRebE(Vl#JPXu?7f@q@9*49;A*pXAk$baq_ z%KuGNbSeB^geJ%|s0mLE-!x6u^M7`Ge{eLR&c<5b*d8LE^j-|~%w^@|2oNyD0PD|9 z2bAkR$Q_x>HjoHN1Sq73kZ}x9ohxw+QP^ok+hFVZuMTcwQ(d*aSCmKmnl~_mU{a1O^!dLQ`mKKj(D+>n-U@LpCX2NLWT| zoJLn|nX%Uz^%;BJpUzA83pIsE*&bepU(u)_nn;yXbzK4VhbU{B8;etQHkrCn^d%OZ zn}{V%k>OR40D=ZSR-XYr7M5bT1rn?yb*Ba%(ATV@UndEX=iIG$s(bna z1C%LN`>9)k#hHV5GlOduQA%8so#m|8;(UG2)rRxJ1Q|yX0i~C22Zx|ynQ=V#Zke>M z!Ut2^U9%P(EakrEFsSwI5%;<#G3Y|~%#(S?XRvwlP^nnfm#0G==wu}rMPoJ}6_-s% zP}c2!&8zLU67x{{VEeUHw5gs-PxZ4`uut19<*`ub6De02IV@Y}CgBSo zC}wq@Kg#-z!SBvJ=y%KStU(7l&uc?jWtsa6;;^j(@uD}dugqCf4`i!w&`A^gE%$9% zU!lUFAHF1`NnV^FbY3LA{U16D&sll2-U8bb9btMgtZejJY{g{4X#y4Fos?w3gpAkj zv@3{>R68E|bP|5gz}|^#$Q^?Xi%T0j(NE={(V|6>du|#s>G@0KF4^dsHhzrG&d4H@ zx47pj52k+{j*$VQBnVx1QvDra(UK$W>4c`zd@X*=-`dqgU4fl<^LkR_rVC>+#kcyr zh82Hcre9#+;N2_2b9#S%yO%m8J}EM4d$@7BR_GkV4XjaKU2{FOY2)(~%4=t>smC9V z%WXo)G}Lnk?)QA^UyxZ(lP+S{w&(Aobbdb>6O95xez0*95wt%EgHPT}6>*q!hx7q2 zp`Z7~p9} zx&I*Z$n};KK7xl^c58so9+h)42Cbudcu9Ln$Cv1dwBWVwbml&YbqF-m1%V3i?6^k| zPzj^XjmF`K{e6;#s}S!vRsK-nDe<^Y`^aEA5vMTdhm zJHg+-H_;A#qHRk*QAb*zLHf(e)uN(qbv*s8`hWtUNq5^E$)X0tDQ@-t0SU>K=j0b- z60XBDF!gNbnCe4|d++DhdxrVQI#G#u<=_(8J^=sMNPD{*yod)#Q>TVA$FEKPYl=n!;Xlkb%g;VH=ZOt$y{)rANmra*kN$Q>wvkbP z<*)65kv%>5Z41CuVW4jV+!=^=m6+FUM4WXY>ucVzh6ttgf9X>LzA+3Up1&}Mr=TE>6rv#f3nwTe1Za>ndAr>YQku1M;`LPWmW zUwPw6ToON!kmQQ;p)6;E@hac4{9X6kF}ljbk2AyT^*w8{stmJ|4dHRVd4w}r+j6Wx zlAYC1O)CEF79#((Wpv%>xd*g|;lj)1^YA{3fMc;^vI+yhgoAkAc+Ar3ra8rwC)CT1 zivrUJkUbxtY_?H-Qo>6mM10w*y(jBpIl8m_?(Nrehx@^UWSzp18>RhsDWh`zf6@`# zM-}KpqL0?Lx*J0iWt$*QhQy6_??y8&kPbYvqElE7B!wvVUre3|0bk?i^aBt_CtJ{( z_=?s^sNGZOpRhslUzI6Fc&hb$c^l}WNp0^Zq35s1_?pWi9sh#gz;6i=YRKX?bESTh zNLoecliK~Q#j{Ac&c&@bwbJV-PC$K3n}hi|>kH|L%~Pk8QgqY^Mm{BdbO#t^5Uf@> zO~1Hg0BgQi52`bNs(0%9>yIdfhB{QR`6de{uDW%H6FbexJ=^^Kk6J3!>r?X{8}he= z@uG7zKagSF5Pn$+G+$LSY{D4{UyfF{!>x%?oXD+=cDvy(6^jScApKSHDHoRaxewKI z^Z7unG7PX=ogCbzelZNR#n6%B-0vx~Zf#0)L@UH&SqpKXlavNNY7m2t3A)n=r<6e1 z#Btc(ms+(L7w%gDZ~8pF4}L%J7Rsvw3SZ*AKlxpU;;`J=kz4Txp0WT~>_;v4RNnTK z7Bi0X(f(sE-HFUi%-=i?Go(g(Bp(1lLo-1`v(;TpYGrj>T_+YCbzW_)7`2v--r#q8 zE7-W!>*m*Sal$a{%`xbGbmOU50g;f9lRKGhOpcLV_l=!|O zo)4d7E&csBAfNj*>BT2l)XrH@&Swl}68GTdl?1U4A8zL_^>zivnT&j1l2#p~fcUrz zaFit8dRKBXE7gZKn+4!sdZhK}K$u{5W5xb@^LDe?EW4EhM@#HUS z`KB+!eEk6Li`t}Ijru|IlMG>_@L}tg(u#!Vo%T=SD?Tx71qGi*V4`|{i|SGyB>&By z>!fdS#fuCJP&_F}@_PxP5T~)XUe-q|QKnscQ7HA^mLwL%{nO}>)8k1N=QZ@Eo!yF7 znw%#W9xgxUKPHsje-wF!>}xd?`)HMLg?EE4+*-tl=8*b8QIG%9@-L?V`v$dr*_#Ch z(vwT`cjL*C;82SNK!_=W)@Z~69<4t$`YZ4WM`KYt5$kb4m3bW|TQkn%0_Q*ni`6(hmp-sU zT+G=Sv9ZoJ>t6UW)%m)py5J{lD)MqO3yMWQ4LM9J^#?C6!IG$>G?WknJ2Jdu3!)$UHV>@0oeb zWA9^czo*`x?;pQE`o{wgz0T`-KkxgxuKT)VaQ>pb%`yuaq;_fEj#Fk1&HgGZX9_A$ytEIAX@^dPU$e8V|N+1hu@UT*w^L!`tDSg4@FOOm1M7w_RyPoT8r9T zy!Vc~h$m5}@Qn4ORwA*~k)dM;A6rv!CW7KZNL78hYIJ+D+C*x|bMf??2jXi|wNV7g zkqzADNJ^f3m0vc$ntOE%EIATfTqwQz5wYa8jA;~)k5W9i$-VA+B2N2>++}dvKRdao zko(GOXYc#8I47nO9gpdDK=k%{-;pAEbwhcaaSs9~S56XSjA85HJyleF z%pil#EX?CvPQb#xflFWqW2*GU!4>J-aUbzer$ARN*Qc^CheoohVEF>e2YDir`kN4w zF5-!jmOZU7%gc>LNT43lRK%~ zo)n z8L(hhRW6YueOv8d z<*K9?NquR0o~B)4c`)~0{M<0^#DcqN^RR73?bfiZS5|8>%lvPl+cdiSgX;9jq%gY0 z#98C+v^tt6=7o=mt_11v*d-iIyBCx0ml7JPk?6fEAj$aljnLL>-F(-D1t6OgHa0O~ zqI4I=2z*U7+5v5!Oi8ODd1Qn?{C2pSx!XsD)Yt=411d$NN?4q~55ufEo4|`cN;**} zg7MbweS-O#h?%rD&wT|HnsJ7%N?pjgPld$0E>q~yOPT5Cb1q-**qSzkXm)EZ^U1_*$QP1Ai{=;yupu_+$ZczamTiHf#c9cdM%TE=#UdGs|OP zHwFuS&ND@$Rpq9Z&swtBD*=vZJV|t)Yxgp+XliP2*X~ZKDzRAZ&&UN%Rn~y_$w}#R z6!Y`o^j8VZR}%B9pnH5`Ug}Wmv`+cUd6WJ2Gy+cYp)0O$4ph=Tz1Boi&oTH--6Bs> zLZesD+XbCX`rN;rS=c#nOXPc3EGdd6Ii&0$R5PdZLk=Bvo0i`}%rk5Fsv=H$jtieKwUu&pgV zV>!t?P#%6~&-VTT7Nf)fDIwI?9}07x7x~l?JHI;_?xrg#XgksI&`X5*A%2HuO<~5j z4dHyhPoFdibDO#oN9Qtv>I01145W1@SyR-$a!~fl#4NhGF}I^-Mal5`A+{y+`d-cO z&b(e%mbE;3$o?}k1FTnElAE&8;_|(O^1kF}9Xo2O?rf=dTdN}T zKO>q8`p{W+qT-jwGjolf+5oh(o$-_joO7u`Q`yhECj z_@^niofC&;W0bNtPE|zH+N;bHj;>4io!R;4cH+1MSibk2Ki+v|1}n82pl&w(AmsJ~ zk5=4-&pukz)vlC@gBoIk!U&Y6-Fo9=!=Puaq!zDDW4?{Fq6Gn0 zg}}!8{`YP(%9NR=5)69&D(kU=V|uBw-b8pe@Tn_``?({M z!;R}FNZqP7aYI@XmM@PTWR(zpB8_4|~pW3=b&lgrKI)JxL3_!FsI*Sq#=6{8 z98yPlZ0jfR8$T2k%LQ@ID+!P3Chfy<+rZ_7eM9eKDb}L z^BKfsgIJrU$-BRQM!brqRiiI7i@d7*mnJPU^X||hPqt=WW#Tz&3y4%X2*2~$Fh8y1 z2;D_vrOO!3EMobBGy*5PI{x?Dmm}K<#{DRqrBZ(B@P^|csanRFi> zqy7&Wllu-m7Hj!htJE|V>RUOyH;QdR4%;ZGDQ!R)#Ob;aRdoH*fV2^^7g3N-uzjcY zVSS_zNJa`EQ0lsGtDqO^-?O47{g`y&6~@nJN0lrz^$EQ0zS^aQE-56VdV6;P2PPrD z*M0RB`O@vL$XHvv9_nDXH1Y`?v3G3u0{owZJ|45OsZ>*NYF67-4c37fJZQ|yf&TMJ#4!7lf(UkP=3cjN#ysKY~gU=wZ)+es#^j8fB3$(hXV3Rsz zFs(uTD~mFX;ZCP9n|&??)?itvh$_HBBPti7X+L?kO>Zm>s^-@U-0ipDg%mUQ-}`>4 zuRO^6wY(gE+jH44SpQOIfT!aPB1iy*62d66$qkF|ud2P7jdLwyS~wg)=ngdv+z^_Q zG?2ZGY#Qe%Sz9x&R!eN6RLs?f+L^$^O#|?@Q)*7Q_r(qt`Gg{X(iv{2I4l?TWU*OE%0&>4QLBbX znEI(f%!@BioJ7c6-^Xg~k8lXt)&obrc;m%RLqFc2TfaZyATnz_NJRQ@=B!cJbk|)S zb}w&0a1a(Y))*m^hf4s0dW=xo(qw$bE38KVMTLVDy6NR~Atvgn8(7nLG(|BxsBd5Z zpEMlp<4jl2al7#Y^)0zmEzJ|`LJftnD-H%69vbLr&El0>?;|Kb8c%qRxUsaaWTPdG z_g=D9Iau<<*R|RZ#R(QX=UuXKJKc#xn^o`I;1}`_=JmeZL034%5g%X>wh|22ThhO` zBRRGaVg_ByUv{gEH>$oWlN_9B7#=Q%CbuCNUB>ma7>|Ro9?pBN%+ zzM-{z)LYO+G=YUUh;+LdNcvbpnH`;adLu4nfVnvJD>8-Do#HgE{33yYLN!C`+zS+I zbhbj!k@3jSbx^}(XlK)&ZjV>WcYhb z+xQPP{=igR>(d8O?hAh;Qgw5ZoojzOnG2kADxI zQLplB_}#7HR)LZ5-)+KXJ5W|?&f>ARVQ-RaC-eL6ccl<|j_9D{%ujCx)zkZ(wIpu1 z1@#0aM-VK1YW^)~F>Qs4<`oqa!-SSJYfyxG;RJt-Aq!SXuct#uo~9Su&58A+P@lle zIoBNG1y*k)dFZJ8{T64#P*k+?iO(MHNm6;KaA}upgb5R7^{DVD-i+Cvkf$!lIO#zW zjoDO-O^u7dl<1tje0)rjg`hb|b=1c%ubcgacDyd;ikH@Me8k6u@9{P4yPdeBlYO&N z1>W*FMYblzX`}7?FTM)7jV7O|tGb}=BgGf&hg=eUTKi>?4i-A)a1h!-2kd>*f!yT| zJ^e-JwY9xGpVM0GiMS=*y{s&M5pPdkcXu;N5d{4t4xH;HZjU`lzu5MRAg>>vyW^S8 zpr?6GeklwBgL6^x_23h4bruCmb$YOWcl&x@AC~gIH|4K|RnqK^HPqpu6{}6^3Z84~2xpUhCb}9V)=E(W2bf&7)Xj=L3n+6py9e-TQYccAso@GgKmVcEr)^2#+5v zRUN+l1`4l75E{93iZ)cxkDNDIleFU1C#J-3%7vsKygI zSS!wRztb#gqbc?N&8I=cHQHUo%~)2l@L-X+N|g$y-d=`?Pr7E9pgcsT6qzt@ij$8X z%2HLBo3G=Qf5ak6n$bDZTmIbdTr}pI$Zn@nWGsK=_BK#=x|+!;#r6Zgob%d#+l#v^ z#jRq;;mHv;5QbpQ;`vxQYdJ}K&q?8rU%yU@JbUfrfv1^aKN8Zq7#V`$-us*Vx!4?< zmM0jXyI!J3@>8=!iq)eDF=mDMJ**U!^RyX;2Q-?L@%qlRK%V)?_D3Mle!;B^PdHA` zinbl3s>xc$qS{V zcYs9GCl;Z60nkv&(Jb?LSmpWzTf{mHH+55Xk{Id>D_&4SCtb*nL;D1@1ix$bCesd z87;rj-|wj8-yA?9UpXJj2vVG$V@a2bh7)2Ja(OZx7(aWn6LEj@rVDk^?jQ%bJv)AI z>Pnw}^An#QF_?0tm!|IPs<zPr@p4XV6WQLacs^rVvEqthLMI%ZT9quH zlh0UOmYsYONJ5gGVqk4m&sJ$k4`Fl=7mJe>%qkpzir4=BGpqr3sC}fUN^Nu{alL{k z10!_J#|wgWn6gYu>dw=i{$0VJps5MR0tzMXBQC0`F=tUZh|RCng-+YpZZ{o_Ude4K zKd8&WEbZ#{<{r}VAaE@u9j*vmD4v4LPHg5uu1r90Z+=U8rZG;3>XS*~qAvFh-yw8p zt6hOmD7UU8fRvSJ?L<+Z0K7G9^)^T@%}3^C>GgrC9Q${m2qKpQ-J+CQlZiBQ@tkq1 zsGQR=Jfxce6O}zTy3<$G?_+NKefr^%$@ouwCuSiX)aoA6w1cBODm3fF>ejT*M)7>>?~j5ooyBYiiLkSkS<%De5#vsVndtk;P{>U$$(hFw2QC%IdShMsLV&`wPmvq!V$HdQ;he9bbZafII@n93{(gDvp<>kJeK z;MdL9F&*Kq#ph|f=tTh|Z9T59`vZh|cwh8%%i#0mJgc+ev2ksE>24}yO)Ed=H`h(Vdi2L_J1S&T7qA-$caThL!~KZb>cZg^Gd%S=!iaS3}% z(F#LP;DT#UdA>7pibHFBor&5pvrY7n%BjonmLJ{U+pb^i=I7g%-R69XZ#S`-+Oj4Y zX_3_a_VBN%Ct4BZ4zd0c^@`~UTX{w()7r`$kjkd$hvS@abthw6kdK zL4iwFK|xW1`N6ioqvwmccVXA3!IfP48W4Ek%fe7r%p~(+?{#tBoW6V&q4~D=iCx#{ z!l|6*M=aEitiIFQ!vi6UWbp7m2*rUR?pxgC}=o-R$hLtCX8RhRNfpnQ%y5#U8XVq@SnRiXUgaH5tZ@ z9pJ~CjEq4ic8ZuxZPn2KhIubY_x{paHO;EL*p0IPwM^wdxi2&Q9pqBYJTzqHGQ9LL z>WEI4jr-d_Jp5rG-WPGBeTB0(LtsQJ#)0iUe|IS>#Vn%?L%>P1vn7xv5R zOmpT=Zc~MgArC10?=EgFetH3TyW{w0 zmY86as1BEH)2jSr0+jYZ4jrjCN`R$d?jAa)-T{82M(Ty|Ljyq@fg;yj;bO|Q@kjSf!d#0 z4zTr*Qxuz#k?m6Dw{eOZJQFoqzen9zc-d}GiTsk=N}utLwsoN+-e{(t`}2^|L zW6#HyAyxnZlb6O0(4!K!j$u4>3;GFdS_?Sh9ob018tpDwT zh-YNlyc!EMea8iM0gD(B<582yZ6@HE>(c_*-_S=I_gUbdbRvkTZ%35FYQj`iQ_QD_ zJe`QS{hJnJ5hKMBN{_ontNqPv)*9@Oj5!50@c5$wD2QyFfuo*|e6!iBZ@R88$ zG)wKXWs-DH8~XT4s-P;%PnchHV`DZiNF;Tb)zfaJQb|FRHN7Ffwy63^a7Qs5X=WuP zjQD&a#wid7TvG8J^J_x#+hAxi^wg^idDP_76?Jv=$qkBJ@15KA@*vrR;Ct~2*we@( zBn>?qwyLiJK!q+ETIFkkiR!sb6#=UOA#|eo<#?tvgKUW#;X!&4QEY7oP46mbRY!^% z2*I7ftYRH!P__5wDlgRZW|B33KF z`tHYZwC?OYDyvX!PJ#RYeiv)!ih)*61TYlq{e%)J8Y$jg&rN$qNW+WqstwHUOY`q8 z>y}x8O{KiJwe_+*w7SkbQN@!+-p3Zfvy-L_x+Fq{_SAdYwgN(B-D~IysnH>?xH{*b ze?8ba^MwskKj%svtdwC^-JRk15gd0*t!dRLcDl& z$bR%h=zKFAKS#ChhM0>tVH=#Pp*vl@;+H^lNUoSjh1k87!!cTvwz&Fwy(VN-jMv*9a{cC zqP^LrhcJ~&;BgdA!?Kx6A4OdbgV!KZj^Z0E@orB^RBN@DF?M_gUvGOQL)iT-5_1Dxz6y7ZXv;b zQQBz(qe7wv8PU5aHvM=sgQ!t%bt7&}6g~&k@*kAd@9jZuF#sjW0Wdg0%!M248fE}q z)$EwHAARpz!>zfeibfg5{^Xobs3TEVXMFD(C;~aiNNzTDU7Wdm5uvZ27olhH+zA6C z5LRkmuK2_Yd53H86f6@6g6HycP9f;<@R977(ACnky}b1E@OndqT!(*iFNgm%EBF9k zKnsihwpf}j_eS^fA>2U%rA{%oGnYD6vB?XpoOJZu7S*1yaStI4nyrEqLP?!NpNm+$6r#Bd3vGteD*x6Z|0!~{qTDSakhUoK;OezbVx!Q@YUXvWyS<|tF zNgZ9Ke7thNtxI6YU3^7JN~>L>7AU_({J^x8IFd{7(lJHo6Xp{f0DxcmFGG4vnv zoxy_p%Kk#bCN+}O8p%sl%}wG1j@6D|Iuc?=tWb*raeJ9PMFc7;HlO_qa?N=FQUlyU zk>`a@+yZ<80>1QOmp6ex^;K-HWvGq~t4qKylH(LC^)Ifij02kv`>gNuGo>EY4|%Xv zVX9R0{;mOtzy%d7Eg1Y=U^HYDfG2%`>MS4g(E5j zUvb)i*b^#>%}sFPj3e;oL#`A|?>DGUciY%7^E*3OIy$0!HWLEbmc->c_Z&U&?l37n z&ig9|^i5g8nII3*0LT7DLiqXa{UBDKcRiN9x6N;Q#aFJf`En`!Rvd=V)=!rURDMd* zdJ_%%a<9_T$ch$aOJ|}mWHsGKwOl_Ww?8zmx5Y;Wjg!F2ezY1}P^ySIX^)y(**1LF z{@!8*S4X!7H%$RW^2}ZKmVC?&Kw%v$7n^cHP~&v0>Kcee1r zHj*iImi9^6P&CoSo1NQOkDZnt)<{JSG0xvZ7fLN#R+rPQu-#9m)2rK!z#q>U$Q>AX z0_;R@T@y&+=R1aIe5qp_aM66QSP`@;H^OQJ+%;&yCA$-f$?!?U;c$4qh^H&Nf!Dz! zU}(k)-05`<%$q(3SUH)XoVu86Cnngkeps^s6eD=lU=jxl*xn%kk{W`~aO`l+Z~$h*TntB*xV^R9ukw!&-%kEQV#_nTmD_{kH;CI{g1Kq&gk%Af=ayDhTf_GhKZKo>k zEy5=|biaiMb_x`)uc-gy9$)$D33e!88-Ls(5sQy0R++odOZ!9Bs*TBw*`n5CSE~;P ze}%u>9`u>U5H1!FRoXWio7)Vys zuc-%?boF47Q3~WDX)?i$hpn<&YRZhY^2;8Cl7T_@lZ&nTj?6Bc*cYGJ&vGCL9im7FV1>yaYyE#ZMY}e8mY%TEei5~HJ=`e0x~3lqDeG}! zUy=h!6EBcFr~+Fx%Fj3inG*Bmaru{prX3mm)%63yBLlm9Hh*jl)d~5%1qd~>7q;N0 zCx&dH0lDFA>=2rTg!oGRq;um4W)B5+D&)f3cf)-pxb_>g^`{G8Cs{A-Rx|CLO%ze~ z27{IP61s=5TTqX1OGwr3&R!?4@qFy0;S^(*HFEki_ZaDOCZPvwQJV$Z1LSbJ@sLt; zgwaIV;>o5E^?aot$9M1&i==sgam>vYV@c?JivYag(2N*lyh^ zyjQmLX89_=kH6$+Xaw!HMgQuZW0w3YDmp6X0%v~bYv6;xG9EpL9^OwW4ZdF8efu3R#v*1f&#o5e znCT@yS5zogp~dcc!cs*J&7;H48LxZw>(klvdHj;_&a4k%BvYR~GPYsTyae(_NGOUIM%ZaQ4J_N{-1rkwMRU)6 z#ce%#8pXbs@ZL-Ce9#A0vCjAf9hni*isCg96}>e6AUcffCO(34lZZI=dD6&>*HY=- zAFHs@F~vD8^!Uc>xB~@l+GD(PYt`~o;j?ZC()b|_Z%j` zqjIt;uP&uzHAhi_DJ1KoGpWmt?TuB+tv^Z+E5DlmenN8%9#hi()1_g#@*6w z-d=b{%?&VLD=s9Mr8cWEh$!|v;r(9!R2Yfwm|?#r zgWXik$L7iuD}F7+Dwnk$tSS(SSDI3teHLA&&1ZEMMIrgc%bqAzQ?}g#S_S5=?+JXr zS#eaz24yg26wB^xC)nWf@&*K>EEYAmVRr_Vk_ToQa1{q%GY4fKcc12%DoeXD?Gtlw zUD=~b^tO4{?KO+E4xaN3-oo*J*@1mp-oB|~Kw@9jW~18^1R*Eu6> zh3pqm?~$uY28xQrrjFfJ?VrebtROpDw4_j75_Nq@WrzrI(Z)$nSl_T`k!L`0kkoZGD$K0Sj2yJ6H z)8*3HmmIdb4tu_WVwkQGqSjhBVG!4DJXUJX^3ioZ#HW#v_goD0VDC*9!uQTIf-Y}p z<{lAU%#8@#KV}5#sz9Kpo`4uX;ygkpI%&4!0)hchENre=^wM*-avx>KfkPKq4avf*jKAPWPGgyiaPt z6iVYH-Xuc$A#BFP?xgS=OX^~PEn9VSFOIb9M--O_t#E3L%eqF6yTk|zg;SzJ>yqQT zSdnT=y}iFe?^V1!h%#Nx5XSju^{{Qxh7S*qZg}vIFejjK zw#L5LSfxhpy1K3nBX*j}uYBF@mb&K#&Dpefj8&^P9f9Z61;~h}$zXJDP%!`D z#@z84Z72G%1&z3p001Mv%@?Ccc5{esHW(ARu`fBhdkqV;w9Kf*0b2@9kfbGkmM+-&HC%H3-jRex<+lvewU$gh8rUtK z?unR~W6U2UjBcSlV`GE2p_X_khnut40;drKM*m5A+7Kp^Gx=!wv=D&+U3=ZoA%nH4ym&5*gC)N zn6f_-qD0oFXxlc5xhX~2uRh;N6jxP5Mmv=+**_$A(iM)wh57d z`qj{2>jjs6D4PdOynt=(<@@_HF>lIuAJWSiS=TOp9ER4o{Fc z>!oz)sK-My#-PteUsG*;C+bZI@BM}yIsci}UjpgdiZ_*6c0H*qMZ^g0^nZJboJbv(j z^iMjOL*xIEAHVcNwKaqYGttcSLa+4 zfFu$Y`@DwE70OG0T_3J{HM(DOP+n-_*SpJaJ)}Mo$Z&Q@H#e%E4Aq3wAd76c341hp ztS^;SBf-b}0g&0Vv%lF1m$CLb)d(TsMBY+12e9Ln;&lvTAIo9sD5;DLdngMr(8_Rx zUdtT;R5m`(aRWkc;ATpDJ$+!fbJq^)YEgPmt>}iEnwIijfCdT-fOWQQFu#h5X=Z`W zz={ekq8JRW^b>1Kt`0RyUKhGK%qOgKNV+N%IT9ErZo|M4PY`MnouOE_vSlW$R zi|q|h1b%)gG+K`O^E_v~^xfN{11ZYU`Bol|e@7TE;Ep$cdD?jKU7@KWnbuK(!w@SC zHrp~wSOg7yN3&P#X!FE{qm$lzbl-+29*@M9Nbym5z-bZS{x8fEApIQRFFJ5yAd?wX8B0sziIN%KjI3j(R}}VZd4uB zenak+wZ9U2_UIAC*wg-xdU}RZqppGpEmd_Kqpgty*hrl+*_WnUjE?X+s1scdP{si2L;S)KYpb|N+xlsSYq+-3Y zni!;GBPf;GI5!u1+vvUJ#6}+%zR*^sO2hA$HWpJR;=ekXG2`Yt7#9hKjS8$D+5*xE zqxT5*Kii@Nu6pJ#<58IRNAe>W#8V$BxnqlE5d!t4sCVXu_mS5U#?>@myRrRrCvzI;+T*e_jL_ z<88MkK9MiBnbiE{xQY+@xTAR>z5-9}d9P{CuVv$gVt^)h_e_lGJ#p? z@Fqg@g%)y46(DoZl18xjZ=S0CPc|aO{GdADWblrsZ~Xt<21YyTH5`poVcF2xjBGBHQa$p*%4h;|u>-6c}(efyqPtVELcoKrTv zEZmJfHA1DJ>A)`8<(3PZ9mXkCV8Snki;NgJl&Vr8;dxP2xO%99pm}t#L&!&ibr5tAWlq|U8k6< zU@;*gec1P~NMr`@sEubx)CkrYog2P^`5WsHbQOFzBL8Qj!^Ri`fQK|eUs(_LggwQ2UYguA@uE*oDE9u$~=fR#w#&L$9)jd zEY%sKYj*u6P*I75yVRn2cZ#k~CoA^{oxp42C7Y~^yo7)ze~#PsM8b3114wL&E;zt% zzKM1H1Hb{?Z?Ve<`?~1`zf<>`;K*q3xiu)*(h+kOmO?U=TSRdYUB#}oJm^T3EPT}g zS#Yc*-`vzFn0YL3|9-eT!fKZd(Jo#gzy&Hf{od_? zKSAW_in)HN9n(~l0uGXmgxqIDwaU>slY$~s$@I#M`&C|UL%xn?3Uv1TxsRv|V9P7+--!W_s{<22wJuofa>4L)>lN8zEn*{eq7;$)@HVUWE zwqLfPA+<=IFY9&NX4Gq9=-KALB+Zia(-GW3-9(>H(K>@qiz+lZ$qvS}IacG4IxCo- z+Q%{eV)Bu6CQ=t7oeTX#4vMgdmD$-_-~!CbH75Z#$oJ~upQ|-o=84!__X6o2K3wM8 zLTr$WDIcJ~f?gVnKyH0X{Q2EGgJbc6Y*cjOHytMX9cwQ()A6bI&HIw_{FQj?@b;FA z4J;%RMv&VX1YS_Q2Y-h1HScNlr9k`y>08PyHG$3#XJunU-sdXXZy9U_ z`iGF4gSs^^UW`W z|7(1oSEOQLOV_+lJXQ+p?-pE4rawk>mVU_Kw1xH`=%YUB{nkyldm_om5bUhcKd&|N zw@kxO#qU`Nr_YB0W=o~F`pIfZTV=S2bVN5PC35NQ(uscy%Q*WKLu23!Eup7JBlvrb zx2N%UUwxPjl0u>GFxXI7dwmt1(BdA_&O4nDv3L7+@UrU@EPg$JQ8@O@X{2>-=+<89 zy0!N)?8`cGM7x#vQ(I2*4xg6}zJ>zC!h6h7302r@#qxJJ3ue97%#NRaseqzrA(Mp} zm1(fH0_op%;{>wTRj?L_rNj-~;Etx^BYM((u4$#q&)kc!QkdSOPErO>vTONEbMc94C9A=u zQuA{Asp1Pjl88)9`#Hty+R|zsr2TE$6yFW1y78mxi&EcadYKE?vGz+$Ja0g5iAoJ zwb1&#yF8<=gS%xgr79(J+|MgbYYii}Ue+tR9(Q(u2OdNs@fm0n1Mvu^=ruiauva)#BcPRludc%dMs}Jsr>_V zHJoD&K4Q?igVN_nfoD=3ze0&=B|p{I%Mvd+RuY1>Mu2}+g-^MKAP&{5s7cNAFvmg- zhWRaGVr0*kZr?up_&>(GyBYfiL8O?P`&|`z77KMEb@mcF>DaCSJu+c>^V!lLWNHgv z21rb`lb!#F<&3Jne>cLYwS9KhWMd^x7UU(T&)62Z8N2tAw!(LkH$n!vdWipv7%qI% zguo|SaBf6bDyZCADkFuYr)SfNay?KPnO+fY>T#Ng>WH<+KQGBX{9sWR%%%OcadRzl zc-ubdTQ7fV1;coW2~KR^$H|MhO5;zOqb`$SYs2MkD*Mg9+*sRihFDf3cc0UvcD+uz z(`-G_&moQqU z^!42j9UGk2?}T_g(@n=0ItW2TR}t{G$;C7=P9s{vX2L!b+Hw+YfTX-Yu!#@u`m5g{ z_qlC%u_3s=zDwFo!K_#BGmtaLfK~05jd9`G>xUB;x8+9)EULv4glwn}H>xQAuHBhF ztmyk%RfRLi&)Vh@7Xh-L)t+uRf}{*6;HN<6+$*wlWnW*ij4x5YC~mSICsvPrWTE*V znAQJJG=lY>*FYbw$hPA^Dd!#}z;i#1EFDzZ+>t*|{0+pPKugzIFvsCow8&J6=}l63 z-B&)WTSWFo@?rt|Y0xK!gEr2^dIl}UAtY4Ens>_D-2)~^bM&2!q}eZ()bo|4L2p~J z;eUNhmX@4}NYe}bKNT+TVP2JI-(SnIG1g1ecd^N~Z}n-QE92jwd5)XXI4o3R%5X<} z#%XC>j{g9uZ2rjB7o|87SV-4YG1pZ|D0w&es)6t1xnf&R}9{YS#3qZe?9^_TJXDzYT8}#6mLjg5?B+^=nDPC>x4`z zS_dbNZoK*G(zzslcJwJ-gD3hwR+#^v0;T;^>10>SBlcHA)~O0pRz|cUhx6@RU&slC zse6Vi8dP-N3}hxv4Bw1PJxE*c)a4F_{^_xiYnfNu>OKjV5i;A*5;-ou# z8D`gnb3)SbyZ-NU+C8Y0&B<}$YAs)*{ZmKQ<2lmpw*A#EeviYo%#p)Q!y-hBapP+# zZD+Y6J#98Vd@V(Qa=;beC~;C16Q-o#Tk}lh=Ciqa$DtQOPoAf{CylwJ>+9s?92X zf7;deVf{At=KC)Y#{ID`7cqh-tu!-MbMyTq!FhZnUbVZQynf)#!P;t4gq??Hw26wMelRD z;t!TR-ie1aVpZw(ISF8<0)MXf4?p!2R3WU3-gNL~v!-R)Rt6@UTE$&u}`A;y?L0+dug^F6J?aPk&)FQG zNQapu=BcE22$`!uShbggBUN!Fb5ld{jEGWSz$tS@2U1Cq{6ANe#Tp4;;}j1u3RF9O zsMX>kvmWt_om3uDrefcvlL$SuF#55lVqt)}A8)R% z^vOk-)sjsTtf|lTgZiSZQ)8>RH!$xT_vPcBsBti{1%;m3S*NPt)pc_&oZK^cE}?54 z(IhvY<4so72`gm@2=B%8(O+U31dtz7Qk}4A$2<|-i~TkMoCDLc@Ejd!wv^7zU45ZJ zMaJ)cjeuWvk%;q#8&IYA0Q*SsX4n%sxtlu+F;{rf0Y3^)smsa_zUt8b=}o;N$H9>Y zMw(}P>r9K~Nl#62HD*LknM&%(y-MnbiQ$rwRYJ#gZ{}iEVe`mK#Pcha7rrXAu@N88 zt!hG^wexYhTQ78VZ5dW0DU630NCn|16K2kjS0T0MN2=2wUU;JIgSzpB2$f^M=SEqnjU;w=+?^ulsA)8tn!XH1q(Yk1p8sALv{)1Gkq?wPd?e=Ip z8bo-Hi}8CUhUko!?u%-y?N%*t+-lV?T|=lHuAy<|S)L*7eD?YuFP=h$Uh>`CB66=S z=^cC<)y+8{qCX=H2erZO%x$rkK3cOFU${$)HqTw0%ADb0C@u+|D;-F}!`WM&3FRz2 zF4jZX7>r*OFO%dP7EpvF`va@zHXtL%Bi{$Lf&}(vl-LpUzO5-esqyH=xHVMy2JQ$K|haPAFk6@d7Oc@KxO%Nsi*s^snza%7n`G513`U~AJj?P zXv>eq?PhPKh@Y^a?3%V`f8#d*yn62Zr#O}gSPb*kg;wDJz;zjPbyVc(l3R8>uX>H8 z4B{R^V5sG&18vR6$Yqfa@h&!CL< z(j?=1@SLl95Rd;KQ)e9&<@&vS5Gg4E6_HdBkd*G0jxj+b6%dea1{p$1kWOLfR4M6{ zkOs*iWQd`=dl=%q$MgNI_5O91>nvw2=XvJ7_rCV$y0-5P(B=o7G^|+TM4^mYB4l_5 zRPTmyR}0)TcOm!xmAx}A9HKu~{^3z4zKUFPR^L+O#|EVnKZmt0{B;_Yl%aa0-h)UF zwezG`grVufstNn-mo=0(M<}3@K_#$yW49)>GT8;wV{wKcu;|xf2;pqiD$ejHK4~51I2ljBP} zR7lvEthorvvX)!NFJh|Bt0WbDScmYqx9}g&gQ`mU`AMN(p zLQ8OBdi+U#+NM=-Qt_V(vQ@D$&)k8_dZ#hxCvgi=A(Dnu61beQ*$wxcwjbG3=)j}% zwFpG!ZPD%G#+JpqkxVDfq>>bV7@Ahw)|1q$)tZmCV`AuD^6xlU9 zjk5B(<0(P*CBT4-&migK3gw1I082euan2n|_3w(9A^kUGT+E=UJ$8krXr+!xn3Hgz z!)OM~YlIo;szoeU^|)6voz&7xw8AALOi%B)@Y)ibye3hl6Xo%&%)I+(%A7~kB z&%HN0cJY?wXNsL(zYBZ21zgutcQCKSfLsLfjh9Y!zyFl~cIN%h3v33SYo3~42l1L+ zWm3fUs2E{~vRo2gD&_=)#^vf9sB0VXYY@_pr97?y;k;jG+93yKw<3!=WzGx?6HLES38}02ZFz9F&cP)O(jHT= z#Om5y1*G-8%z$KSq+ph!EmZSY3Erg#8MTmS-2#g^{3 zxjNDjZ>Q_(hh}EdRpS1d{y`=cW z@#*i8n!Knu&PyY%w6(Cqmx=Zyj|Z%O6wknZ=($dx#oVEB_hfV!&v0+Gp?8Do`K&J- z5B=`u6fXIEr%yKv|HqDJwe~@PLYG#a%LmANd0C&IRtN^MkH;Kig=i0kmDWZnI+>&U z%05?AQhRNvg~jd*prS~FO`-OW8agtR1ESFLUrIzLvNsPxBFW)AWC^?|m*) z@`y2crwSnd+@{_-L2y^~pi2!YZq(U={P43ecYOj0rSC|+ppxn)5aT9gDHgUh* zE<0XufPP!y62CKijW0ZSAKH;B8K%9;-zD_7POp45Rw!Yv$~DV?{J31;7w0&265el` zSImwRu3pdZE?3Un+fd3-yZv0|i z!ovqr$*ht+!oUa506IMIe-ThwI9}I@`a{!L7wYHty1N-z#h~6FbgRvM`Sy6VIl<#5^Q6TRh;9X`5QEH{9J}Z6Vxu*0 z0UmSDlD+Xxo;_#4(rvC@*3?`y+_N_5+1n~e*ON$3eE-MUN_TCKvomCyeRPz-_17iN z@z>!RTO%u4!SLgTJj`0Uqz$a^Wo^!6yCd&Sy(>O~E+YJTde@$53kmt$FDNkv88DTs zB~y}^a_Tii$0xlCTdD4J4SNdx5Kedjw;7Lj4nOCKm*`w-Y$W{W6(af1D}-B2?b~zo zKz)qRU?Jp=##53KrzvyuUG5(jBwhl8uFwxdonoi7<0nJ;?lC5neM`d*W$wveMPzwm z+$NJ|d9~kG@?Cv(FQ)(YYrOh2hkFa#_Hh*G1n&+ino2SO9CU38ZK8lk||MfdsiN9ADs>JUlTD0Vwb%2Fb>tg-+vZh726grS(ul?T(edP7X(iF|P5O$Fvyl zi}#A7W6yrk8IJI-mSfiFAO_?Ge+IbdL|tF)3~R{5AE_KGExfON3QeM)aWHYESM z&@#Rv08nUonG?i3h&gbtA;>cBNU|)~>?kg89n+g;LN#P!^4Hk&V{HFK9!;DyO?90l zjuyx=vIkEtpStf&8}OC&3HAMSTwqw;Zp_J44gL>SmdUXiz32Qrsua~S+rMzU<6l(1 z-LP1~=Yx3aMUKrO06vMm`v&>owZ&}^a~2HQSoAcI^_Q_o_8Xu_pl#~X3Q0vXV7}4K zTlzM?J#~j|(>uowlRgl~u;TI!XMNJ4AxtN9quD&;F4bEcqBTJx~ahTs6RQFpkUO-mqG#T zWa^Hi@13350dZLLI!@(TSadWlppt;O_>M0T0GvTatK#3(0P(oRHWODOq~po`JO@fH=~cc(Mp9_DUdep)G>_dkEGQH z6jkP4S7{E0wHbj>RX$m0r$_TKznW(>dPBt+%IbU#G1~u_&2H?#mBHa5F z&J3NrF_&Rs4zQM3(sNk63tkny*msTw+cS@)m7+X&acRz?7r+}MHv&e1_4oX2p|yzG z8Y!eBRYyE{z5t5DPev0=vvnIXLDTh3{&REXG67dAEvu`v!1#3y=Y4;}`zh^Up!Q)4 zT;NtpzM2`l8>hYbmxT_EVfLY`wCkw_piG)w&c#h zq0M^aK5RzxNUp<|Zk|rxW0jU?^95lww`h7VGOQ)DOZ+xvaQX-OAms_8gj|q%YY#4bY_=^K%CJ~5dmHtVxiun$Jvx`&N#*&0 zPEU&+qC>0xs+hd421@f9QDjwS{%652{Ivj^%>+VhZ%b1{X0Pb}*=roy7N_&YxJ7ch zVb~9Q5A&1u0WOjKBLkeLPj051v6_ZV7F$$z@4SHVe4KEH75YIRVz!TicO9rk=oOnFQrRtIY~`WT+*CYS>Y5itmwxS`VJv4Ynjs8gHb@8$6~>7k>L z0AKu&z?fv+_6BN&=PjjTdSKX5!@`bf5%@A?*ye;h^e>A)S0^cX6%$|nmB?9 z5PUA#7ZvQynj%hDK5PcqCuI?Ty*ulD4Wl^ z%Mx{vx4j9nt>Bpge;gQV6M;0b5E)e#e%>&;U%M7YR^nY}LBaF{c9(y)GryT`4x2WBdmnuya-F#gRH79lG zmzUGETu;G|Ztj)PfK{xJA4osH5R!`1W(}7dzr|NFwKp64YsB5HBdK&N9VF1Z`1EvC zYq%#oG@6p?*fh-=K|js;FH%1^dO!UAIY&(< z&S2MwjvN}|$J%7PuB)vqMjddme+g@w!qxB*d-FTqUviAquA2+x@mNAWQ^1W1@jua) z85`+|!%X)0&FaCYv?gm6KfV@FF1e$j&)O!IC-mgSUR2(Um5k{iLZ~fzjBB$EXKNmB z)&RU!Fo7FQYn@L9A{<(O2UgDwW_EsQZ{qVyg&(C|PEM?C)gAK|GgZ&D$Jm~&Z|uZC z1m4o}yy-Skq_i5k3(~7pa2^1q!alRM)=*l~WmT)VIg6y zX23ztOYflX%^akaygfTCcv~D3yQ9kJm^9Y(=|&g+uPMsIhfm@g#wZ_DO%YY^jNw!l zPjvG^c-QJDn%xWhr;Py$DzP~@Jg~&e8A;ejArJ$e3d6(9CE}WfvRJt@M~&dLh`jk6 z*pXQY17+iY%}=yB=`++gle^bOsj@R%3spNC6cy>SZ6brL_B=h~12f+j_Wk~^iqWeG zu7l|-jNE}(lX0Z(&BM`!_{bHB;V&tTdOEGx;8Fk--gA-wzYvDXWZ0+xB0t;W{2&xJ z(eA3jY+%k)DsC;n*e9#*;(vL!1iv-unVadZQF55U-2xYCo}W8X6&;9QUz*q6CQq{9 z;i3LzuLa^+0Y`V6$Ie7<5xQ(YaFO<1AY`)ISwiGVZu$nHkkGaZjxUgoLG&64nA+-; zxTR76QwF~T@^6wODw?A9XYuFJ*BpaD{~(^ooM9Pru4!_$=eqX~ct2R_o>i&>%5#GPbhRy7oocH*XMZeK z#p6;izmLqSJX33@Qd%p43%l83hDH91K`vGQT`xSMczD&L9D|*05%NVZV3L`1L}>X0 zfVrfjwg-`_Q5zS-9j1`$sb>jPHzvl*+y!@@iPg5*va?n=I>XDP-AuaLqEbk;dt0CE zJ*`dfZ)+9hi|V(gb*>RU30^x`tJ#zuy1x;>Ft!kIq`DFRryp|hHZ_ms)*Fh4;MmBp zPx}cqM=+2ymeLz^!XL#F8yV7WSS(v6%oU6k>>YKoo2pgEIhr=S6aRWOoW!;iC2rdT zeX~$yH6qa~F6-40W6F*vE>SD1Ni$Sr{>S6IhK`ORhx)KlgXMa}b&#liapFTTJzb8? zLkR?KFm#Fy>V4LVLqc_uvx>^VI}kAehWqc#7P*1B0{n**%!Gi4B`6_58_Qbe=CWKF z#;6eI_V-*S9ar`zgcEk_$4UK<$)Ng2;zWUMmEDLhWBYIhkJ48K;j^nlG!DD7*&gU6 zTL2jJ^)Z0^DHL49tZF16nOlgVV|A4o;L$;VI=J8a92*M-2+7P6drII&`x5wbn$3JE zaSPAp$@@@VD+>yWbcGi$;D{4Ji-=GCBQLzK*M40P(6hTUB@ipdqrJonKy}`k(p17a zS#H9~E)k0~+}hPdsc08#ypwZovEX2c>DA>H7^ZYUn>z%`)=d57jHrn=%E$B$3};@p zC`Yy#2f^#QF%baZt^2gb@fPd>>s zk1}n&Ho2!Ks%O-NdEgqq{(LgYiC&2kCB%YZf%XXu5-=e0Q#FKm*IdI3HkENt&Yt)4 z-CrSFjt8m07^Qvx73~SeUiszH*+yr&9Ey9@JcRn9ui3qv35QJ9qi_p6cjEEZNCHnL zozo0e*yqd%f6!ATuQZAn8a*>y&z4MozYYt5?|r=j?RuV;PncRPuWj?113Sr>Y_r(E$ZeRh1DSvXwxq0S5VC5Ea4XLp-|9Oh5=RF`2yyJXP7X zwO}b@QA-%DZgxE;=AG2R>+()K*vSBcXJM_{=l@dJ|6}rSeE)Ei_{BI+e4(w=p%8PN zzb$ofC<{WgR)$7}sj+9<}H-tjMdW380Yn|Bz=Ss@MK7#jtodkHJ+3wx^QP)0n-ncVO3+AF> ze)Y{b5zA34&-PBe;bjQJL}7 zY$IINOjf`T-b6D(d{K4OIriuWpSh;Fj3IB+=p$6ljqiEyO z^;s3|cHR9iyO)IGpV{4mU4t(J{o22gp@sVvAptoyXf7x-llrTm1z7?w%%`<}&e1^L z{lo@5(+=SBXz%Kx-9$Ap@HN)pLS!!@Yu10H_cPpV(0^oK|F{Cz#TtjHGD_0T6mj2O z+fQ?Dj6~KdZ1>aa?Y#ANrX9^v+EIMJf77$N26YeYKzPs?GnB9x%WhAe=Hj|LKQY{!eyRTSgCgjk_F3u{jD(hfOBnUALde zRJ=eqXG&^gDYf3cs1Q##AG5=VR4M-B^>`_Pw+8{u@%(%05yIQ~ZrVNkT?_gLJz_o| zDwR{oeIoVk_;ugkBeW)P`}_V~TBsv1!AODd->AG+5ZGB+bGDwYOG&j5xV%gb%)8eF z-xoGx3ER0=$x`^z!^M~3g9)R>~B0E@~{*;$Tyb>4+0Ejr!LN2v4i153}yU^=Y16q z`B+~(Sd5fz>~??Dsqmdm(l#4xR$Z8^wwNDEa7&b@p4rP-8kd>VDKMXv*Vb9;X&@&J zT+B4Qv;9MDUlbitNQ8XW(Q%7@&q|UJeKy7#631{@uXPsB^f}HO-@(D}kP}6@%`k6? z(4wy^2|UB|ksZW5MH&?s1{b@>dADEqA>-?Ro5}U>aljPzvry$D@97hteh-_?4BqCv z3z#Z!`9J$k>W7gwX4*PK6M@jWdlB?du;}U+%@-O}m=p9l@79CQS$6?f@=33pSKj9e z7wdOApCxSa7q*rO1r!k8PQxwl3{3(n)yPd#0{<41ud1(STTE{54vgq%%=M2XIBfp4 zlREyJ{&st+xAbkRJ($~cgUu}gjg9VD9u1&q!9XvkDK%+10viriZgxK8|Lo>qk)3@H zbw+O!?Y5Kzz0$0rUv$zYl2L@ndg>R*lyI@Xd@58{VO{xyde9+8cs_c)>`2>V>j$ z5Qy!q_&%#xD@A;;Vhn@~5)kuCVd}8!VK<$S;1;JIZj?(2w)fr5eU6)ukDpI)xabD8gD zfxbhY8^jlU*q_+_;87*}Q%c}|?r}k(c20QimMb|^dew|L3oPMs;Mo48K7f_Br6b%J z@Ty-(rj3TCr*+AYj{1LmXxX(lTe2fBi9zJyHIOHXcEnubfpy^G;Cx24Wh(N`Pgq>h z8F{V+1KlSw(;)47V^A_0L2L~}UE9dA`&~5P<$A?FAkka12q$dsb9IDz`3uG!%<@De zHPSmc!@cJPrAxCVo&>XBOLmJ_h+q)1T^_QB5XhaD_rb47X$%}5F8`^ecV0G#03=#r zL1dC3)_FGx5}j3?Hja*pI)-zrGs~Ut6xYnR)*kWJ%zoh3b0V3q38S++#RGX3H~Le= z{ls{oUK-FTtw(PdeI9Q?vX*j$Bqs_~;I`TJ4pAb-D)4oQt37mt612RcoVuVv1lBmA zt0)lyPv~4@RZg()HkG~y-4_EDL{I)SDJpuHSeCk~6cq_iWS<<*33qKevDqwEZ@+1aEYuLRLm1uN^ z%lL5<;)q^i@|dbLGWc*O`&Xgljl;_0zRW$H(7SH|j}kZKr`Y#Se{IRiWhGI~HIlCOw(%A-= zuKnm)IW)Lqh7tIpdEp8K=@?pc+U zc-G_I*r){R4rBVnQqQBS2&}jMWCk(|bO^8?WyIcv(DnaVfEUtq+-SFgmknPsYYi!$ zE%tXH65b`9$lG;~i9%Q_J*V~19dZtAythKsS|J@NE&AmU7Ij*+!|fKx z1uR<~|%jQiXGl$`aJC0)Hq7qG>u0ARBNS?S(jTTj3L({e})Pzx=w5FqQq zj_gf4!oEc3sm)?=qa^;2sM3-W_|I(x;xm#G;0Qr8r*VavQ4MG=jzoROY1bzA87kEX zGOSprmt*w_1f3{?AdkeSyw#&IHMO|e>G4gDvwqibQ$QYxL+r%Vw66~Pt5`fq@xg4t z>irMmjdd^gR}tFnPyBQX7tOv9paoEY){%?3xQ*G+3G=2;7HMe76p14?oY{C?MjV`% zoUN5BYdfMde?KV(SFuFs1NS2p&QnguYr^}1;BcTJB~Jq|7X=8==2qlWQ;y2JeR#su z3_F2Q#jTH1&=`}p%gXNIuDto#iBKta8MaxCBH7TKb%JRjvti45a%o05otRkft$QNXr4N{-q(O{~KhHe(@3fOyzNa z!QYDxtnR?kNSq0po5Rhq3I(Zy4d?s*fQ}~w&WI53N2#lGS#avd*PQPgcSbOvTAQ2i z8+_c_d1q;fqhIAk2B3E!-ZIp?qrQOfJFs(J7JQVTYRq*Wo~_Rd0;^RwQ<=$xla@l+ z*pm)isgk2KQXTp!(1k{U`EHMH%HducVf&%yZTa9&!v>tj?C0lnizWrz`66^wRYZXH zD)glKkSnR(2;)B>NLcPAeHIIo{o8RC%n>^tq>gmTVyyBniT$~?T;O-hnTtzbZT-oA14!L64gEd@WrCm>X(-nXly6T9i8S$&W)hqbc& zt~A$r^Vfzf!F3f+@V6-|#XXa9egDbl;Czp@FnKgStNcc;z*93bx zl3)*Q;U}N7sDM-c`-^SPl1gioIG3~x@2|r|hxs<2=7Rwr5-?khsj1UuQ#v60jdq}& z(a7-Q!)G~77QgrN;LiJL#tXx1MGeCiF8;VhgI4#x4K0g^H@CC|JpC>9q55TRXA5CB z$(qUfNPEp*MiRDhZT{hsRB@+^HE@;$?<~lxjB#OCID}6d7MAE@?;V zrS1l>V*8LwNF#&640ZBIx5UFp-Vfk#WbMz_k`(yt#%kGHHo>~uw{ShyfccdLvVm&LWy6fDNm3}1)&BSn<1~}1?sFzh#l%3@ zTwDBI$>FsCHw)ECb>z_u^ssu{+D5~hZ{zZ2C(rDY7!s1}3^HG~xAjI-tz14x+ zECP7{B7h8p2V9*CKv)R7|M~>p& z3#qf!^w}5 zLdS!$*yjuDcV;!JxL%$QOI7L&R>|P(aev#N!bftsnBeSjJXChTIywH`NO&|h*`_wV zuvo^{mhi_8o4nM}A8-netxRU}+x9`mj>~>UMOt4CwUBEmVq$m7>rz~~e1aFdSM(9& z7h9L?=|`|gt6eRUH|%V;moZ9yntM{d=eF%lJ_SFN}3%w$5aFsWo}5 zoiFZlIltDukL+9J%%Mj4pk2-VYr@8h{?FsJy7?wW!Dxu6SP9`r!ssn z$M#t1{&j`r))T=Iu%Q6qxYy3qyb$R_D?mvPA(+q8^_*hnDZZ$&Jpri)#D71_6pakw zK!)YQ2}+99(&jZ`obE@a!bI)`UmR^ogdih}w_S_DEdB`E@Q!Rxw&Zvy!EU_KU^M&* z19PZ4NBo-)PHWcN9_F!Ce)UXOf>jjpy(>cYrRz4!?DJZ8xKYn=G*(4B&D9tod5ab0xpFP>z)aHGbfO6+sVc2Lsc|*u zP5*J=$vQf!xa=a6wn-tV%A0Sc>A(xAsj?C3Byo#a0*mx*^=NuusiFhy z#)_i6$U(W+GEvSR#i|Hmvcj-6_SP>VAo6^dw6q~0p($<$KcKrHZA%Q`A-EOqj2CrC zkY$DTu^ICG7UKEcTt$a39;oT@#^UdzU9~In*afT@kakbfSf9Dl$yyv+AfM%>RCV>9 zQwl*Br0zzuv$HoX;?`8#o~c;!>T_R-)>@Rk`f$p8q?UUWtTiIn}PqjL6C^21#yq zr^W6wZmq6|k!REQoh91R7;=1qtT1kfSXh5Z^LaF^;@orm87oq?&0eqAwf*A0vF;{y zOjSkcCTh;zhz03OB5|w!*Zd9`f#(k)5W2B1nncl-zK;>HEVr-fIZCL%`{jKPD@*8R?K*qasfj%4M4AzQ$4S!LFWL1$OyRg-Sma! zbsV2W*RNsAm`?DyAJcm@w_Lw}KHK&YeT~qYcYUm!;2h+hCWpHpMArXvC-T|_F=gAR zr8g|8GR5j3;ZpUyuZ2*{pH0zeezP~5ys=Ip$eZE;rClh(-dFdr@=LiW(p!is#&2L&Znhq2|uwqzA7YG;vg zk60t)h(~8bbNXbILT)TMAK9kl@@%!@R)0H|olJ85J_)+yEk*M(;~2Yx8QJ@W&m2N7 z$0WLsF&!0^C{{J|Th+Q#AwZ8%eNk5@+FzS$jYTwh5Zp6-j?& zl)u%!y77^QxAF91-7Pc=_zW$;{pu6oyrK;dr20D}^UU1q>pj?lz@B1L%jPi-&I3{k ziuu`={LYH@!^5>|K!`D!Zj6-(6G@1H$gqy>>63XsLYcr{ADNh3>%m>z1~^A+T?vU( zE}!rgu8M~JKU`Bz!qJ46`#x#R-SOI5!EQJiRdrnMip?LDXLQqVq@8<8=*vJjs9x!6 z&wh>kDP@r6QIUCitjncXF>T=#SjD&%RqQqM&~|>h+T0X*4xJx8IpRb!BfXQ1$EzJb zi)`dJ8q1@ztNh18j`dIp&5kkWEi{?{b{Dd z>u{)_r66nM@dUT+GT)@`0g9n91xX%5Q$(^wT~>@@^19r|_$LHg1-rb0q&@X9X*{sm zoNO5l$-1j1@CjfOq@Ew?P)4N|1KA*`s;Twt$Q=v|cw@}2t3BV_jN)kIioXwxD}2Bi z2dj0V1JO4`BDQse`qkb=c7uNA8o&cC0tzt;VEmMQZ)Sf7+z*fKXM}-Gu>MJ{dis?J zwvTRWy+%QR=PMQgWH{O1VYFP}$MNx{5IKVJy`mfQ;2)la$gjtgI%xaHoRx8J+#OtMR>d$0{mRo*lE_P7kXBDkkQ17T2i4mtRmIiFL@sscJ zLWgKKTGbe`leN#)@*w&f>e}Bf0(=^!9!9#w%_%0XT@UI*LTY#S_v^xXfx^yjQFqj2kNh#_!b9WkzM*!(A3I zx(xx@zb{Jcmp3?@WN-SEm9!@vf3C85fxQ1jk~NZPb#H0Jt@qdz6?t&c=xw|(DGDM= zrstZ#`pZY=BNbrAc%4G_Tu(DephRwPP7pL+xn|L4d2BUT?SC6DB*6ktqyh415Y@y4 z7xke9ucFG46o6J}_7-D(LV$tZ+YQt}z;fUZzEH{wEpLi9K;C|Qm+jsikH>d$0DIn~ zlB_d0UR}3a4leC>i1$8C_C4;v-5xHA`J?eKO!XXzli+{f_;_5iaOd(yB%?zCBspUn z@xlA%O8>qQCP}c)1p-%T-?fiMEKgyoMl}>x%Vx!|~U!S4I?%$K*7|3R_ z6GBCnc`a4LbHD4NYwf-U-(=`%pOtNkg*5d&g{s=6JzklxY@q`%$1$ zlJjPU8wGU|g-9B^ho7lcHPpK6{kj~H;#0EWvg+y_u4t6aT_f6Vx{CcOQHf3kse(TD zfV$%B-XDn6h0X|~+6 z*fV$M7FPfN_HKRTAy8FFWKVvRpcoI-BO_PRuY#WqrSLDj1JNn8LcR(917l;`vw~og z$R}{Dw*b2`?$at~sE&kuOqcVScdZnH?3r#FJEY)=D?A$wmJg!pevF)IP{~m9RS&LoD;|B< znuo8QiHt2BxwM<#ww%yoTp^5ci?MpK9Z-&|;tG`O%ye<0b_K;{juj&tAg!Cx@xVMBnpSlr58P#P7UtJl=c;#JeXdorhB*`#()PuiBCXJ8#J9Qh;sQ zyWb*gz|Jpz?UcVHzGqZT)@0bOX%E{qJ#7!8?Y$uj0$;4f984ymE9Rg}t#`Zlx$FGv zS1&gBl?5zLd1XNp07~yq16UI`KrVyA>6(0jA=wje>gB}~$|BB#>phS$ovWR}NX^EC zlO#wabg-M?=s=13C~zryoOAt5Q<8z&R^gV?>V|$em=d)E_iZ!R&oVM*ZoZ+6CRS9% z!IOQOrF{jF_Y-B*b2fTunv0VBK%!AM2NzKo2WJ7#U zS-BU~OZFIZ4?o=Na&e1VPjYc+tp3aSfXjoPWlK>oLaDb9+7F1)cn{OrpY@&>Q;s2B zXd#px9of2l%LQof{U5Z0DIq=+Cy`w2lWbxRvsQ=4cdjn!Kv@pn(MRV7ra9PCBQQN# z!2G^?t_~>~ncU8yT1zznR#|+Tg;k(3LxCbd(`Z<5D~mcBA58(&f#q4zK04oYLa`#cl#21>A`F3YAC) z$#xn~MTyLi!`Emlv*34K`v-1oNPBysOKRm?@lmX|L2}UaSDB07Af9dYICO~q4IkdXYRy`EzW0>#^@se&Y< z5X5!+Uq@47j(9IQR~$}vBYqFKf~_z>?~_xj1W7=*b-nIRR$rM;uW+1#nvDi~NL#6g z?5U5MdGZn``D@;J6kCt(zT|-38;VX^nnpc=Q`ah0))l7=1g#*BsgIO|krE-PAc?f1 zRw|CUrZ=H%P2_cTcTMcm$G6bSAX~N$r2@Q78hNO}6$X zaWwcrP+P&1?zz698bb+4DeRsjoR`$ad7l!cnjSQ2ywcl1+vw=Z*jkvX`P&%WsSA}t z>KUqGy37JJC4&xIJY{+-#Gi5O6~*Q}Pyc~psz?uTd3CLXQNt(J?S1-Sw>sU>F|f#N zWmq}*3>?d^m3vbSQ!QdRBdg-rCz(RK7AD20mKqt}J$XiJm285@z^G8r2 z)EmZh`*Bf}oUU%^jw}vH?n(MRaH|DwFQb2&R9p-;9lk3W=3%-oge~5l{Qmc>?93~n zfG+WL)mS-wE94j4-%l&%yi8=do1O=9loOdlLHK;5?ny%dsSH-f!D$QVZX%O|*wO3h zb=0$+>XAQw`kCktG~D*o74MFb>Ve;M`Q7%{dH}x{%y~f_Nq4nwd_f2rDmt8( zc(492O}y4lQ#g)3%uRX29?`)P>Gf=DRxRcRu()YB#2TkDGfGr2w6^+JdRpB8h)V8y zwcg|knr-~Z-iHXI|G6rqZGD3>DZ+TXJKL(9ugpl;cFi8`{m?!!I@bPIkwLGT7dUsS zlky7+rAldVcCC7e?Z?QU>kgA|vL{znl{V(K?ZAgEF7)NhZay^AK#|ige&5l33q5xa zZlvVkmIUfOU^?<2L-+9m-6X)c?nk`y(}z@E8*m#Tre|AXsvkd=o|A+61MI5xQy93_ zKG#}*Llyzl--`=E>#Gg8^1pU7`kx+_cqM1NF@D!#Anh)@of%zwtXq6*9^ zjEVI2eaVrt;i6S0y$NUI@y~X_PN|PT{%rj4U8D@D3&@dkV9pW*kk<@+1udyhOldBKYgKFA2HWElt7 zk|TJ#U+G@BDySr}%dtg}q;4cEfgGT=@_|d(D{|4hve11p0Dz&!oQQt%nE%$&e((rA zc6{ji;DP|FXE+)ZqxEjJgVn|2IEh`+$cAGiN=aWo@f+ck$3o{1YIg0B6*lWP)!g-( z$W@Hrv0l<_^rmuSVeIV>wYkM+vdq7t?aN@Cui)meG05YuE4s)Na{&d}asLsGHwR2= z{?6Wu8$F3C>u=6#P~-cX%&zg{K3EYFx!|XnG3f!#->C1`(^I4#F739G|I0FjTk+h=F@eh-q~w zUPij?W;UhU)o0)qxzC7=8BKC(`xn|eO| zsstjL!=={|`>@klU!Nv{&&QajJF9nwVmIJHZ}9ma`$+#=a`e@2dv2xn=72oRx>x$z z#Y<1V_!B~_hTNiJnLOEAz%ygZ;`lu{Xq6&49?22v0Ir3iZtXhY!X(Bq!5sVi#)^C_ zEdHyjt9`2m2iZ%c7*IO$lcC=0O$MJSrU3-Rx1xT)w9%NK?~meZCId*7UQ^>T3-Msj z@a|G~x#BO8123Jkc;0cC>N+b|HtEepw9RW>n}tmbJ2&KShhF20niMt`aI`{hsk7F4 zUl@W48xOh=I+ndWaFJ@(Gre_(7YD3)$B;|8^U7KbqJPht?Ct#hZ`SqT^>s1$-(o^6 zmSBmkHgPftv->h8*NkSB0~0SVZ~Odr88iE!EVv#)okzHzE|v1Z>N z6Zs~IF<|5DTTzz<;il()fw}cLi&X#{-Y&sLa%YDZOS5~jqJD3-)hYFB(eE}ufQyKr z1eqMpc7^-}Zbq z3pYTB$L=mO81wgfobSmN7}6B5`@6g{4>j+d@#iWz=KwWCP5gzr@`@)Yi~mqa1fC*1 z`^Hp!-Q3326GX06JPUTy$@5CLWsOWBV&6sgBGh1pYET>$IrKu(xCH8jsi4eg@$A{C z@7vo#kZ<(lPc}&1r^|ki;&74=X1ZAISC9`TquW++cQ-zoj)L)ZdyjXCW~&m|o}cKz zo;*8E$Th4n(}80qQ|6y;vaSg&2Mcq1#2f^UMF4gUDvkmHXq#|^ zM$OS!J&5Yu&?01-vZb*f>n9dG%(*YLJ0^ANZ>)Pq<`dlyx^KBZ%m~NlB+80?ip0(Ppp9EZI5{9y18W-F=>BA0`^1%|1ehzcZd4>Ye#iU%;mt6 z?JX9hB*p5iZm<#)!Z;i=?U`sCh`Jw5#+f^6PjlPyP&h5U#i*wSoFh(JZ*GYxN*e3- zVQi$E=7~M8C$JY5NJ*LXh_1>Pu>II4I!ebVliI6D1kjO}{Rw+=7Au>-2T~+O zpOS^DrrtsgVS$*S1B!p72GBD&UpD1iXm8au?fu@IC;*4=*`YpI*@{mg);n0ORul3P zXz^gcURmMGi|D0?IOhD(UtYno=42K&Zkj8@&cf2h zD_lD~>%!%Y(X{eL6P-&>;EU0I*Y#w3x{`jue62Jta~Qr&<@Y&#FznXExZF-JpnGNI zL~t3!AcS(X6&_oId%V4T&7OM?Ms8yX^$@tLyO9uI;;4KfrYbqfwwJXbd5=5NPO#Vg zb=u>tvxYb+87wA|Jo4&qM`=D+Lb+agyl1rSy1+I?v9zHP#El2iMZO7-SaB*U#?XEV zKRE9x*_n(%QFXszKZ1=(mVK)=% zT_&uGbzP#dXGslcst&wN_v3ntz;MWDH7V<>G-mks04Zrh;P$q7REr7il)yg~ujOAM zm=9^vJ56eA99?J*Q3PNDi1{#BO%l=q`>XG!rf#zZaP-WS$*uUrwk=`35)d%@T=u5` zTey`#U0D5wQ*f}iyxqIj$5}4sd#^>+g+BA*oo#O0)cEv`Ap1(+Q{!~Mv5HKYUbbt8 z_SfWiZ6#!jm%6jb9!BP{*gqUpn|Faj-7=~amL_a2phB-N2YK)&^m)o$*Y^^4Wc4to z-maIH8tL&OthIUAJlis~FAD z{@0X;P?yknzu%iDTd10LSsT{hAlFn}-I4VL?JyYk&r$6=HsbUb_nWH;7)+y|o>zSV z2+!{#)^6@~0d!iUQ3T=K%Sbz$!HMN09WYHfm(5@gkU+z=i#uaq$)YoiQHd%LxyqD@ z?l(V9-`5K9y=7!Z##Cf@5V6OMR4k6dot|?ZC11b^*9D%=A9Pa-dQ`w*EpV}okf&W8 zXiy(?ax?hE^vBM5A(Ml0_*~a9@4>j&4Mjt3iLs=^w2+}7`{z9;bla%!C#{#+TVy2> z5dC%G0!)V@wuF)j>tsgnEbEH9_nWaf)L&NbmjJF3DOf&91u3s z0lX(vKxyZ2Fkj9eoSqI=Hy6uRyfA`%*vIS`-B9Q{dpT~{o@_Fd1qYVtGz0H_pemEd z|KsJ6H&xh|KiOT!!Ic2Oq3t^_5zXtzLcnaWa{XFnW+Rv}KLe77FhX)_T-*|vXj;z@ zKnhJXSmjZ3Ua*SpFfUkxIV*Zt{w8m#XOC1C(8e5}0eo0-;Njr78p{pHq)aqa@`znq z4Exw(y;h!grxp*u8S1nBHt=7Sb4Ei4(o(LmOdIrOFcL!B3CiRUg^F-frlk zwY`#te={Hb=A95)STpftZKqcaL;9B=!()$TOX-vq^PC(gd0i>EOC_bXkF9faZ=nG?yqZB_q+^^S%pEdfFn49RpWU<Lry#^KV{0R>R1G)}zba{o5lM)aoBW;^Rs zi%;nX1kr*Mf7R~D3RW&!qF z5qdm!s~;~E^8{p3L=Ipu7P}LGq6HXrqg89r583?9hGk=<~>22&W9dOCk1Ejj7 z2MzwgISxH|MUb?!2AXeZd!2V-d#7HwS?Q4y$j+2Hn6L9bydJcx1qA;&-%2i?(wsc@ z%9n|8#E*Vrb^W;>aImP8<#s*@ROE=Msi$u!)K)w!qCu{*()(G9pTDoe$!x#a^*HXG zrqVUKK6v&1^O^5efYTTK{S}kocYxr<0Xfv{?#4KaT6!DM1e`ZB!2??G(V;Q$MuE6| z{rJEfwbem|YB`v(FP_QLMCHm+hiSlwYpVzWywBpQT-Ja~S;pP1JK|MOKr8uZ<~xrL z`2Zbk3?F`W+L(m_P|}6$sB;w@Kfp^ECvfiz{<<`92M>+S zH^5yhApo~p#^i1f1w#07umkm|_oMsMS<#sM$O@GL;p}fgzCe7;Er4g0S-)U9Cskj( z9xw#x55R6Ie(v>2B(E7(4?aC=9vVJcdaOXBj@y={`;a*)%2gUA@Ie&~=C+6FzyRA;GRSH$_&AvE>K6S0*Y3gB2g3=kB zi|>!`)Wd-JGVSgU&1;WAwE``i06@wBvFp0O2hT$9*Jq|a;1B_6Wq>oUpbHnuP<_Fh z+SiJnxK=$`4gstj>>Lg-t2JeUj1yWM^sM2WS5NG)yf>c9;)%$u+mreKhN z|3?~(8IUIh0o{tw{`=5xap3LG#(g!g(%nk}hj4x`_v2JvZ0{Cf!P{hP`I$3(pNAEi zUwgQH%-Y?03_N%hk&WBOtv^0Ublg@lL>l_Z6%B}>RY=y#4n1F66o@1}<~K-1JB;Y?2T|V?C|{ndR?vuuKC09K z^iM1Ww=G_J)xm!JP7(nr2!3|pPy<$<#62|q#e?0BW2HF07f*kX{(#88E9T*h zVJ~}%4vE04;y4amKJKLl#lM;Qy<`ABJ$$S6@i_qFpT27f2C7`bdh;+x6h`!zY4?|G z7UzOjiOzU`-O4mDK2QP);XI%qp8^h^{drbyHIwXMsoy!RXVUzN9$Nt;g2>cQw(2kT ze`Dqavh5SzX9-4NlfSJwW)?E7yGssHgnk=po8sCoMOC9WW&yUz1>9r{|AFl2`}o}E zscZml?44OBOy8)}UqQy;Z&WroKndRh(+uD) ze)xuYp-c^YWCjqfMgy93$zRUrLXk4X0XDYJC-wVE17lrT~8*e%bo5hT6K<^F40lI zoDItMjcOk$J_!u8=$>P@l|ZctRq7Vza%ZP%52-&C5P(>>dKOog(mx9Ly>a%>9YW+! zsTsB8^RM{gOA?r!dI62Qa4p4dd!^@6TB9Hk#!?vskMZ5?*`+Rw@K%zW2jSt}Ugtgu z^#+YsbgZ{~%8lh0Cqz8^ZDFIwuS7g`6oBPpo9fozD&LZ5`NRvoYepjWu~lvKPwN=| zna|O5QqI0b$hJ_7dZm!sqt3EXOrdvVxI>Jfr0l_i?xpAKX<9CKmzPouT>871b%O(Z zDlTVLmts{&L6(1?f{SUUKXtIKb1=#A_Lu)s&oUTyk&s#@aXG-BbApg>0g*44ka93R zHKwH>>XbG{7lwo;;@a&h=tpH{#p7W@f%++D&mSoonraq=5X*|@+jf?ZYEZ;9i^ z)EMEDDD2wiM>Xt)M%Fr~)^7I+eF#F3AsNI9x5a?E zIU!DxwgT2F^l)uv>cue)Sg$l();^G_XmI)a4u+)WRM7w+H0}68Xe8rFKLG1K!jFae zOaEp_H?RJ=s)`4cQ}>OLd$t{?l!923#B5~;CB?zD9GE(l0$i#ME?QH>x}a?FF@trG zM)6D-$|E?6_|tJF*cg2Ja)}}8cgk3;a;K50_0gxb`vgUfy9aj&VncireEu+NpH0koG;+JyTYzWKVtEFg66qFw|DnL6eR z4lW(UU~UXPr}Ln115+RtfXB8QDox(y)BGFvUU$fFdCB?e%lqMT&H-AVyyQ;RNBk)7 zF^7BJu%2v3-B_|nGr*1(extD_oO^YD8!*3j-<9hLWA31=Kd=_*+MrY@_3+K>%I|&d zb<>-wU}z|Y-l#b%44RMEBnO%jiHyzbI=5w>;p<%=bF)h?xQUyGr29S+)~1&J*Su$Q zOG5t_qxNS^xtTJ?DT-oVy+Z|id6gO>d#_O%rlc?@Gh?TFs7dF*{2abphn7QZjgT}F zdv61To6IDg)XcQAn(ZQ7g^byK{xCVwC!aLk1o_!6e6dpEI!ds`F7G<3TvJy&5D)V)T)$bT|^P9CUg%v(;H{;Sk9@_%g9Tb_Oh;8aO7NB04TNWSdNU<`m2Rty^P9E!)Y5dIv!T?_B2ps+m znx9kPpj--H2SKNvDwKI!D$%)Sa$B_1`y1*Z;r?|yvsE!(?@~+A&kwsSLnwYB7HY0L zHN!b7dAzwTSzkXo$T5mLX^h0rh*>A0@`Fqf$&D7)o;O6FZs7+|Fq^1_m24*mm{#>5 z+6CKXMo;Dkx?Zo7=3RyP!cIoR3FDU$R|Ns*gcsH2~7q{0(RmP zluz##e{%UcMQM6vjhWSlK=WAYtRg!DYLbDP20e1|C|_W5n{rsMbv zzY5%NEXPNsjvAb;Xx3+QgfXN{Vkt}rvbb~azKrLnSj=`LRr2(jh}>7D3KyR|n!cPO z^&;N4nEe+ZtC=`9=pAyT!X=k0b(Lq}qXdwrPL-7QqWI zl_Bz}?p3Zz$V}>BwvE{PB!^_{;F?~`RQ%v2ga*BZv&JRQo}@O0*e|6)8Rg#z!aodb zJd z5bnl04W~?Rf(+j3>Q&x=ekdCs<`tC(jzn72uGZtien8I#GQF`6nopFWwk}iWLZ}Va z=?FZPWFu+0jb64bKCkXA*M3=laQGV2)`E3pCZs8zN(VGH7Eo3W>_{$eZcg%F_~1U< z#&yiR7C2u1q%CG=+~4Qm?#i8`JKBeu$+YsHr&XBA%U|oAA{Y&iE|=3KZ-tAWc`7fs z9YFgl+Xew!pj8}BNm3}_$^De{R_nsi7ZA0Cz(b7rrBfRe>>}GyR~)peA{?$Ef}B63 zn55rvnnlJU;Lw$tuBBZXEkoB8`bg|b5tj2JABs?Kj zZ>)l^$O?lnFHgE1u!XwPnL29r6Zeb!745#Tk?FuxTq=p{{T-=tbn9?*gFu&@rpS=h za>TdHKCxNGcV`YucyowGAhXqa=0sg;8tU8j!sa-@ZTQ<8t|=fQvT5DwKV}Eeh#kP4 zV06-U9bmx%u30+ZEC>KMfTq_5(v*NRt)&F>hkci0*7u0e_UY+p&$&@*I~e;IkQZp* zTfy(QI6lft;-+H;O*{~Z^+wJ84OYFl5h92lU?~8E3ACYH;8u>c{(5x5Sd|R>Pasgd z9e`mjrr)?}TiH&(z9JIcZJn#2Uy$-zuIL_I4TM8)(Y#MObOO9D^(ZeVj;0Ao%7ox+ z-VAS;A>?Znf;?;QYGZy|%os6}XUI{#KuR!9hqd7!^5^E^uV`a&Pr!cu{pqsF_JY&C zIsImMO(HVw>x2-o(yk_KgM%BEFqBfoG+0BQGm$jxBTthm`Xd~Breodv6Zj5}5~_k{ zlL<>A{pI2`PkwyxW&mji(SV{N^Rww#?|l#7;?feNoHh#3P9KjW1)hLFg}gjFWw!>< z`rWv}P2nVl!%nPB?q3JyDOpPV)a87KH)Re`qh5(sqw-#MUpYM^_dXS1S+RhF#tqo` zs?RY#>;=ZQAn*g(l5rz7&k7-5KQ??;CmtQ%_(BPIl@tP2KRt0Qm>X~{Z(2GA$o9~? zs7?kSV%#mDKNI0;PfFD1$S zazUpLa~eh*HcNIT{ndR)>uCoGcdSr>bK$lFwI&#BxCi?xuhMOf3@5wWNxNuTBJVGB z+4MVD+z&YXgm8Q&Rt?v#^i8PuI_f_ZDl3(hp)nt=LC#}q10asr$RI`X%IQ^h`_#Sq zi~JP#0wGFDy>m4*+|hxQfD;gWE{$WFp($J`*IE(8d4l)R1PQ|IO(u@|-8XN|8?)`S z0UBH5?yaC}O)AHY^KQ6*R05=@_NR`cK*{B&b^^XDyV9UdW(1HBWEm?nB;P#KBJ*Tz zDhmV+77k8KyoC{0OBl(MhCH5D+q)+zX4}?_^VdUPo%w@Juu+M7&K~p}jeB!P3tJ5; z{#TN_f%H5K^wkc44J+(_L~;K^^#(Ny5VGC^4E-XQ*Wc3VFeURo_TObS$_hCN0?A(i z_+hp)G1UWfdsJQZTFA~MkRF~%Vz3#V)#KXga5%~nZ(4QdZs1rmMT)TnEu>fT^ms43 z8GMmxD!5|aGe1E%?ON<2_!^;0QB1&FpqIqrG~^#}nQZ5RTh;(>?|J>$sH4;VrpK2i zT@>F~a`_rqqp=guEE*l~#dqJFMof*w z8EAGes6GMx|Ana?boq2^^!Pg9{e4GTL)Fd4B?0c$0VL@_j~)pMKB!kOwPOHCH3PWV z1GrEcow$|ngLz-C%6YM!S=%GY7r~^Hv$2r3h?-;c0PrGAGecOzzpW9pbmm96n^ z#$a&}ib0*d8iJ`_tv-}t@}zN?nra=(vElR0*U4YEGHbTJsF-{V!rbk{SzyRMW?Am4 z6ga9f%tv*`^+4&k!YGEQKV%;;uQ{Bh9v4yFpOtZT_lw?uHTkYJhV05}%%8;---4Of zsJ7^5i*<_EGACmtjVyaKO1mcHNanFY_rFg_KA!^>&SC#~)+vhkcz(23itpUfC)mZ~ z{>@B>$RG?n0wF@cB7D+84>lp|st0wkBdu9rFy)EP;T!`U{(tBuXR_VZ!)6M(Yj8)Y*`#Kg^7~zt z-A6Hnr3Cl6_Yxl8Iy)^`PkAN?#epQp$L~SPp({xpm~j>HY%WV3uQ}3tc?gL2yPX`9 zzl9Ifa#=x9B&#I*^Q2~sFK;>$CUPdqb}-rp@5Y!13z{k|xAJIWJ?ciHZ5U3~Tu2f7 zp|sQ*`bH^F;uA-R%~tC8v->zSDSfa8cgc9_7G7)K32nGEmsh1RHGbLE+ZcX;9^)mo zA1IV2Z9UFXvEBa2K2V^>SrCl&5e=A$cA8&Gp!B`)8_DYp8iw53D#ZT|<0ezKVR&LX zjFTl-e!PjeQ?-X5*c>6s%7+ow)BjQ#b zQiU#%f^p8inP*=T`6$7^cr8@^uE(*GM!J(MPCZQ-;uydiTV}i-E}XGqT=StoQ-jz# zIOb5l1=C6@EK@-OkwDkm0zUmmk+*N`>myWzFcif;mr4ZkX9tC)>DheZ+KIg=WOFj= zLz4>T^kWpPkMkoAF&vpL~r9+)wir*?f%8oz$lAc!Cd@GHHV7v7uun6avd6bg+Z@%)VD3&vyiAXc(0BG;f+tLl2r<%!iC-b`%IZ7FmY(nxMK6 z^O74E6Xu|aiyZ;JUvYgi;*rIw?FSJbIaaQT1`?Lg1^a$Bq3bw?kkIL)5^o0iToN`Xz&g<# z9-EYAbb0z_aBPsGCdAl$L|`*G1ubCz@>;RcZl1V|1;)*5>Un6wc0byoE^7e78+CCf zP7q^XbH}#yc(rpld6nIS|D+nVX@t;IkI|B-+*-vev!su1ceKNJZoJItVqjnA<4gS} zyXp9~e%0MSOg@0Y_IjZjxZtkJ+YqaI6Vo z8Z-ov%i<>eor-w?xRDNE_{Xu(=7RBaTK@)F*P&$TLbtQj-PY1dHB zEb|&#MAV+5=#<5!+?K=3W-vUGmZkoNjXo{r^AHzS{?xi8tlZt^k@av4bWpoDv??SY z8O6xQHAuPv=%8@E*@r{cV>#)y-qYPX%uYNPvW1kN+fn$ss^8y~PO&ClF|fqGN_w$? zy}4)hK2c{)K8aTPKiK8LAQ*q%i#91{$T#WHtn{3^EA3}$zR*2NE5@K*#Aw@`V%_$a zBaLyG-4wTk7@x|_oL&LX!P3{cfWKSG!dKT*eC*Tf-OkqCROo7 z+Oo^S<;7caL#+mk>c3bJY;+#h(DTA#PvmlV)gfj<;oSk>Khj_QVmKznUSyE3__&;9 zww5#B#DaB7xHj{olftxmVAP%BTF#;C(pS<4Ntr7iu@i28E;2C#+e z5{yO4?0YVg6%2(9Ecs7%&bbLwlIM zb*SiWqkOk+UqiLve`kJ#rIYUU4oW9u)&XR9Czu^SjJuM?mXMPCQk3r012oOz})IoAJN5?CaBS zr>A}G(}gUnqJ<>JyxKQWz7EqK%dzZ+*Za57yo;F zORjL-`tQl=%lY+xul>10!v62$qP#K+|9di?PTu_Ar+3xpnEp3Lzpakf{|zn|`&Isb zAKpy<|6}ORNXQK^<+hBK+AsT~7$syCT*xEUOvg4Hx8E;|xaScErluGlFQ$KqhOI~^ z7h^yw$Q*I@Fs`L*j;e|gZjHWl@nShy^?2<*W|20pu*e=U{5N}#ajjsE8MEoO)Fcsc8`n?*x^ zYMqQ6y$#vIq)A5iszN`G?#PtT0ZW}&FG<$=Eoajv(k5=?RbQ98Q0Y-GEO$a4c63?CC7i2Ki!u6({!zB zD9cirLtmz>y*8M9<_ z{3WrrAv!S+o4##}YsJ|3w%Pa6@(YMlD0vUQC*SkHEv5ZXBkqOhrV-JdNTn(4gARw$3|i#i;-Qp0ubWzkNZK8AHG^g$PS|68M- zw|WV61#fPLgqGNYn;-T~wsftwa2**eZ9Z-weT$QByQP#EGUl+}LF zGp+7XD|G!u72NE_`*q{08nQY#Z@Q$Zf5V^#7~{rG3c`_n>B?m_@X=s;8msr7pDNWn zUi15#prti^zO4kz3HDa@rj%^7BbQCrHnT>Rt~&;A@p#2_iQ9{`iaq=#M8e=mJNUk% z@5Qz7=rCdKXI8nIdvq#QaPq>MJjPfExyJf%oZw_lb?QXw?YE3^j`l1t7|hP36;-Dd z^6fZeJUJ-sds}8UARqUc?04VErCw61VaYvNr-zk6WTcYAHC?s1`f;+z`5yPG*mxf8 zq9N~l+IiiFG{~thB@)BW?8|&AyI)1P3St$a9u?^!ovH`T?9lPO+l(jyQNkPU(TUTs z%X2pj|Kwd%LfR(GB&=@kJWr8l5Oqmx2&B4oDexWzg=Jw4w>*Yn`?8V|?K=9vo~4_i zD?@+fvHf3{Sez>K4U(pfR!H5Oc7`{tZS_#XFHuX>JHDLq-=_L=`h!=+D3sP{ohyld z-*QK_MpK9GSGO-eMcq4iTGIKCA=FDgR!#hgf4LR)9fI$_cV@bfsn~`ozr-t074UvJ zPlS5quPCN9X?VWTu|E6(%g6m2&q^plSARV~cmkj9H8Gv>rK204iduX8-u;$BQp3E%M%n$`Q4$N^OU!V}6ygN-IF3MX$P3=O zoc$Ay9pA%@3iPtCf<#S!;#Hu~55PcyyOK+2L6?d&-CWX4 zgI!R^=hwcJDFfnm$ClFdnbY|umYFc|&jxA!>h8#;x@c${Z(E98{nKsN53#BCAOGIl zy4biSiZ=Einv3Jn{-ojOKgGT#*7?k~<{NvZ02QJcykgZw+Y~gt{*AODz}}0sb($3W;2E2Es$+QcpUtkj3OV#8@9hulL?k)PrOm9EDbn&Z*^k4j^SdSU ziKRRB$>3(|B}4a5R>57tg0?)8CLdMGI!47C7$Fi^=;%loUY?q-l7vF#cMQY3se$ub z#lFC*s3z7ZR>2*Y^66#fP?kx(IiF50W3j!@eWcG#;sH4t_{nW;bX#V$3N_4a(@}%= za@M&#H-71QScSdflR?qgmByZxShc0tucyYQuoQDi`0r1(lxoN74jbgJ&eRJ%Db><* z7;=^;x{~C!a|POlunrA_%!wf#Pw&*U1~8u9=#iLkaN0Q8xYK3X_C7p(UUDIk^j>4%Jrr{$;qE8d_49uZBd%V&sIB+k%DmID z-Bal5hd-ll*~eVHquH{$cq_3`pD1;D{_0h}IDW&d)2Xxn?9|jg zyT}Eiuvw@vb#D^rl3(g`KKZ!zVlAk#n_{%A@duCIWe6*#BM_jxuE746X~! zTi^#-L^aoNW&<=?&o@j(!ONEYQUhoc43R@mgtarzZbkeR$91)6|3Ug=kNoV?a@G zqtdO-WQJjs-hzw8{ekO}b$R4Nkr@!(;OKFLNpqB2<;2T{7QDhcooTh9%x0a)e~pt41N^?ONAzsC6NOBjUYIP}ASh`_FN zY!mY^LYD0(s zG!SwuPXXYga2mMGX05S%8`%(P5Q)!GRLwAVDwu3bvdg9cpVyinTw#q##S~@9l6e3sAvC9kwxTUDN1R@K$h*^ra8Z$YpqbqGz+$_;mN|b23M3v zLL|CMFS}=aMqY_!ZtrmP@3>Wt@NCMAg6(dK(9(DFl2> zH*Vajlrvf^Q7AlLPk!2~lXY64{9Eb%7Z|_KflsMVOiZDURct6kIv}T?!zIy|GGLo* zx#RJHOHHE3)uu?7NhN+S#0mQCqK1dZ$IGk!Hp!FE@{QqFy}o1sZ`UgP`go&zt9ZIz zv2cJ2F1NCf;MeXquULE!EmZYH>2=GboxQ5SEo*iB{=1`cjnTz74yo_%ZJe9f(8T+o z1<{pl8|fm0n-J;46*)UR#y{b%M_`}VSYdBvM)24?@p-c1VSb~2VJEtT-{mX5>k4g> zlLyw(MC12p@hFlV)%#o=rsGDXJ80_0l^M755Q<@jgaYx=lj%EpLKbV`j);us6+ZIf z&xj~uql0OTF<8l-7d!__CC!V|D+t z%LJCJ$03U5RZTwA>LM*?9gRT@fOSRs-SsW8m6_GyEbkOSr=1CD#*g0TiV_(%Lu@i~ ziV+@xSmUlnby*a+Z1Y?~$xC{32n=36OwoKfc1hj+y8)T4xN^ADywP02$*WZJ&&N_0 z%cKp{smA^M%V{$glv&|ffS~gBVn&r__)^z&j#f+Gi}YTM#y0tAdIRK;a|?Tjz^vSp ztm$GfD-HaSu_vlS4emA-_ly)cy?bNR*S)ZgXU<@2sx@aH)J&k6eGAFe2IzpfA~}oE zTb71+>5H(ZbAea+Agk9OuCKKrUzXjJAlrSs*0Ws|-J3DP!XYwoK7Ff3DqV0^5R9zQ z;*kS*11AUXAn2%ch8m9dY~L{zuDa+u4Y=*m3Jl573fwmrjL zu7X^`0}}0;A}!B8d;PMya||*9LXGveJaj;G^Dx4T;DTmcvGMx;KA_fEp^tDq z#~^J|sZdg{lDkWwdPH@Rp?sWmhOb);klp+T?aiya9?K)=(-uw9q$>ClNuphJ*vKlU zpM)`jix=qI7F{z4_bu1Wldyd1o0 zowZmuYe=hEP^`~2eYwjd3WPNG<7~HoY~=52Os?VFzeaW(&lYD&{C9Bpr6uol^5U3} zJcH!Go_`TGdzCqq&H6)~xjjl9URqU|KmUD_H^%sR^dlPY@xftF=7u7@N=2c!D)CM= z1K&}`7_YX=MTDBR7|5ENlY1d<%q}(xFckZkzfl>lUGchUP5v%ait5ix-T#P{%-qvG zsWKF5cibj-CgIr-zoVm6&JA8`8$FMXO||}X5YM~8_7F2%gmBy!3bf6p2_C8?#S~X`w~o(oAI&(9ulX4AX)jJ6 z4se<|jxx(ae>_fPar(p^mHz9qt<0)dK5`Y2|A2UaICagpk(ToDj^f_P!(CG%kpS}> ze<(%7?T<;C&CwloO^_#U4jVfrT@08cL;a&XR*W&=Y$dZ%k}Wh0COr(=xyJZR=__LP zRZoLqgcLDe?V`uI%0iANcPuH7OB_yJfa;u^!I(E2Rb9!$^h%1 z_@_W8*BEo%{ypcOVPf5wegiywV~;X<{Fug!J4cx~O*h_sB1fuZkXa+TXiBCZ}}W zI*^v_g#z&qH}>2@7qZ~x`KOr?Ym%vx%h$Xz?ch||Nhc~BWN6@@y!gC%#j3{Nufq_s zwwcyR8K{kO?UI;XuIX_v`E4e-7X>4z+%MipS7L*QPeR?%0QHHn98~$JR6DE1^865s zL$N=)tc8_7O47)CF2A?5NUP9+?I^XRdMxGusky5|%%2!!hrJ8QlO7S}m{>4vUbf1n zX?{Pl8o^=scU%L@S-NI@K6MGwyA@sHD2c0HTDqEG{IbEBd-d0)P5`J2Ub!le<+Jqz zgSpw2%FSG>&JnKciv=aF%t<)iS`&<2K-%Oblz^dA!Oq_*)WN@%+(4-qR-lEF7y>Z5 z#E9^4FIlRy^;f|qzseo9Nn(8+LR8K`4nFX0d!UV@g!?~gxP5LGzdM z?RQ+CUa=~+XWXix#lrH{Q9(hp`yI|2t}#eJsvFmJ*M7g~^D@Q1$dl5m{q0!tYdzwK zBQdZViyQ7somrXP3uVP{r(_vT8@MVctksS8QRbM@X|l9*(#4oMvM`7cDxO)Q_9*6~ z)g%3q$2gA}zT%NA+U}l!Y2h7D^nIN##{9l+oO@E=6vvlTCWcEWdwwL&{fdpb{rGe? zr=3Ue;;Ou`i~$Q+h9o#=YdY4h^B8hJrl2P$!GcO9&nQU^~H~q z^$iNNCeJZB5_>ICLd8|x zQ2~@>3}ui5+ZJJdz|k^H!ZfayB^hF1qcHLn+T9u<4MyIUw#y=uqx!oMnA=GZ8r#;-q% z<)w$?S)-dWHyG{C_iX4MH8F>TaU*xpv_v1cfAfR~KA=~vFZo<4?swbOhJK+@kQuLi z1l*hcdYH6Il(F)ICMAX-V`yeJ=-1r?}F^ zoBb-y=KWivK@J8U*$>pkKTwxIT8ECNS9i2ow!aJKDbjA^)2C@m`i}8WX{IMxa-_zl zuAB(l_H6)HcBMlrGJO7{^j7q*S%g#Q#5aXKBl`u39YTS7kZ7EHLz5ikOp5rH@i5S1 z```77P$&uBqh$XtO#b?5HvzRSam|%VUq6|l9Tb~7vlCz+Z5siB0t=`D=j-PZm3djC z4Xt0E@e}guKTjUpDZ|Mumy{42UG+;}z7HQ6bQt)-8{=_6fwp;B>=3zArs%067*K6h zj{jfNtBgoWc^L>2!i>M666o$ z|7ZFT757C#fqu3D{so^NGt@vqL7TE-1GHF>?3WmQZo45*FFq>vD&*+Z>t=!S?*|Be zn=vYxep#TXu=%V|M8C*qtXFkbdaV53mhhP~TcKWG(qKbDjF4mpkz3o+k=?GjYi{J~ zQKiNaOOr@u!#_5G%8`H<+yp=b0J$mOPZ76$E1%`hA_Jkgd}(XXzq4U`V)7g}*7h5- zMaJ|>@A`J{663nQMfp?tQqyAr`qr*FuvU^&bs=K~>9<-Z<`k*Q7~xD@icdgVB`mD2 z4lV_B80!BlrD8NVN0sp=> zGYfXcvE}qO8L3MP55NA0js(&l(jCdX7I}UltsPrO$y10wLhXB~I}UJ$Ug5f}E}X zJ!@1NwLdN-K02f?P&3HT>sBtzUDxV_mBv1fXM{X|+dus5#fk*!-LVhMJ04q@S$%v# ze%J(FmiYrzAIi@tu{y@jgp%7qbz)w`O8pfIZh^&rY`gB5+T~{&2Eh!*dDqRVaaMlVv;VI%%m@IUk4c7eLY$H66((f`~13`GwMEN)Y222(!r# zz6tTBD_fy?$K^ddRfC={Kl+^k^#dGcrc)dt%re`MSEQAzSE$Y^P+lfvsni1R2E6hq zw}nI_k#uj(FVeYKcX{r$IUL)lSM(3Ye=L?CEZvD3L^e?e>~>Y>R^45R)y~`cg`_Kk z#nrdK904L@Pp)}4GCBfkzc|DOx9CHTPFItw_?{O9tGR3SH8VeYvsnSC)%+PMwQA%W zZBt`l!l@>Ugh>w&O88Yu<~a$le?y88U3evD_9;7|WXCkjy$xq3T>I_49hJ$+JFW-u znwyV1fn`T1)L?MxYq`c&j&c=@*CTFTKBO0MF!OiL_Fp@KL$FdztworJZQVD^^AQlR z_*>iekISIG$V*rGh6?lxS>t$JtEez>%QmuM8;0Hb%Dh3RuwGo=c`;fytK~9>SbHUm z;hsk^b++c8T>0VihUgS^=g-=0#=40XwkD#@14F@jc$?_RTt993da-eF8h5s(kdD{K)AkcmYqD==T$$_Pm^=$j@%DX z#3me$UaejexCZ_5f{t!BX$B!F)%KLbxzztXkW?#Wb#s1#^KbpHprOsD@14kG0*^8d z-tUbs8uAKbGKORL^g%H}`N8<@z3k`ZAsn7ZY}L?Kv5g*3QaP<#|MK30ZsCLN&*oVz zP0W-%ma%SR4ptd2F12vB}~to%NAmpSZ`{ONV_i(Eeq2|{KR9&VSC-2YSw z`F_b@|9Lj1hWH@%y~sUq=HVl7Yrs3gN3ubpVvGhwhsPo3@$FuF#`ej!GB%&=L$3p{ z+)7PO-%ip^!%;jm-Q|D=dpY%FThfnL?b{0n^+m%sjs_u2}Ug`3oSA$eS_ z-sZWZLMZeM&yK>B5)4x2ygmN}+o<#D*$rkHRi1*(o(SV#p2FPQmWvbsWd+|Yj_R4S zcb~!IQT`L0W-Hi|SJBv=f}Sk{HdxKawgSDG`|Yfbrqm@6Sbttn=F`qH4KXpB^B~&y z7n>nzDL|NKwXbR)GhD6#Yfy~MWCkk<NcbeEUs zc#QsC5d6PhfV*`)?oj`DXKogU0-LC4_lh0~p!4JXMa_W4rt4ex{8fT!_MjtGQd7eSz4m4oWO+0vi?6sk4IPi+hJg+3Fgv>Lk!A@o(zbj) zb&3Um(~ITGygYVgy>6atAnVMtCr(o2W>0!vx3z!+Jxv5nVxN81=k0=9VU!$rGyd{z z|KbqJ0Nu|GFCNIzR^&X2t?T!h`0dH)S{xL#M}eh@Q=$uX98>)v)oGKQ@=>4^Dm1-bPSBvbhv30z4z>0Dk$uP`gr{+u*d`EPmth=lAJp@z*+^7)H!eNbfUv=gG z6FY&@vJcPXaBcK(1O%)|0SXXrkkhk(5=H@8X`$W<2Ntlz1Y^5ETC!d6U74XU&#j1{ zpnO@_r$P{$^LkF}(u@9PAoJ=11;j#OEE{9LBJpwF2HCy5JX0}lyo9DKre1*n7@#6; z_^rLHK%JuEcC&tdJuoh)v#Sc6W)pvnF);dGOV^qJwqdsi9auNUAa+R0#Xf z)*H4%vy{4IMwZEM;pcbUZzABlY)idjC4EtZbj z_`P_!vUir3`CqBA!@i||zZWT@5-i)P>p0eDB8t>ea6czx2J_l`t5nOJeyIQWNyf@? zX@=pQ`Q6fdCA6eeNqb1x*05bwheKah^Q{fpwL(ODab?>-u4zIuv{j+vBi!)T?Z7dw0l8tTQosRck9=&0DVxn zn?)WX68lOGrkx-d^80v>d6hVxChWdH_t4U*y_7}EwGFGIJjK`z(=4@Gr0HO=0n~Jo z4QS($g0Bj@4u&;#{D8T`&Gn6!Pf(%4-<`&HTum_L3)iZ|P#FitD02YnKccXg3{T+q z<5C2O29&{t^$Wr$qQskC()xUZv_@ALdgU|0)rm(O{0qg_hDCfXpJa7&VL+Ow@&Cdz z?ctr&j|%t+2Q3GhJ_YTuzN;Ewjrw_JCd3H7tDOXvAj4V6=y>N1>ipBd4X?jmjC%EU zdWemLaV$SW8IFlbhY!qiVC@D*rgPb+ub%J0j09P>k8t&Dr8cuK`K=jzFByKmQ;Sx1 zOkaJxQ)h%S0JZ2`=31g4ZfsmzrOHF@6Qk2$wxN%McVm~Lui&{md*teOc3ur zD!~UvFsES_tWFidkV%h5*Y~kv_5*gY&5&$`cfpXE48MjxAUr563m&h{Sj>nD;lmj8 z!}0fPQ3!WO(7RHf8CkCxCb1%2TvY|%gP}lWz)TxHxw-fycRML#96Jjx6z+eYl6yx`AhHlU!ShLtvMnb3wKswx-YE+Ne&l zOK#_{&fAr_Dm~&|rj_-hg$B=t=UAwrn;Rr=mM4s@LXz`LmUBxie03$~!~ZzZddJ!b z4nO-F+6W`C)GG=VLi+70!^Z5ZZO4`j_yzS#ToNnq-EV(lRg7)RULA!U$@H-~eX=%} z806}VOJ2ND))*Ym{MYemvPiphD;Jq31#G{iL^UQX{G?qrgRw>Bz+-$SYcW5F+zq`s3BKsLA4BVP+9uVDLDEW*=;!>|7Jn>jB z6w}`MhdVv!?W6wE)VWLG#NhiQyuA_~RlT{7GnV@?o_e_|%~37z*p?=?l{BR@vw|pors`L_SY0(@QAO@&J`tTCQ zC;28;c71}k0)C=oVQV58pu;7OPka0?^R!6DeL~Y=snDO#eMvJNy&dcp3r5a~NYlk^ zP>4%roHPF)xvGYYjxc;46E*y#_oX1lx(=71SfL0SAQwn*8Ce5#1B26>nelFt}JlN zXG@!>KXaz>_o=dPkswb1d0rZlbaI6RI;$Kp2Q-bVSbA_pe5u`4G@tqOxk*f=GHPkd z4(>G9qzJ1{oL2PWI>{nJCeLGO!X6mMD)prJjJ3*msAntf)Qn2QeTI71H6+{KnyX+u zlNC16S|3Qc`Jqv-oro1(PXaK}|HC3?He8G%x7w zc~SVp)hagoD&?_hwQDSn^|&#;yu8e)1xA`vwr)!2u(_Q~j&Vx>tdv5PzBU63WJTgqoW-qfA1HG_N zB(ht`qd361D)#+-7Clq+VROfo2yU~V&xo@bh9FC2u1|{wm`x*?Up#tm%|r_kh2%|q_mP5~{R+-sXTpz2y-#PFZ3><4Mbj zp`3m`em6U8r_1^7K|9@YtCek)SJ`k-Z}#=S6vwBZJsiOJ`);EU;tRzzTRq`fK|l9W zae2dr?qD;kuqHTWyG_HW0 z3-=$)RXrFJX7qS_O=jlh+(_J4`4~dc#-k(oWRaL!O$@6zjd!CaoH#jy&x!Q5uphKZ zBLF(Cx%-I~Gd|_Fcipj4-B=n|Ra!RG?l*pRuZ9!@w6|7<2HHaLZ=>V0dUNM)SaV6eh{A9YdZoRF)K@lb!VS$t5wRP6$*obCjJyM_aI!;veSx9YuU_B zm2CUBdq>`3Hgb66EWh?b`ifqGPBkTPTvd!TB9sh(k;-PSzXs*>3i~`9`9`p~{iea1 zi~L-$Nz1=OcCJJsym)zc*LlaueVJ=@B~i^6$&I8eGY(P?K;|plME3t2_!uOtE#^X0 zoGDO=x*3X+9J0Un3b{ltSqCJ`U4_C=y)T3?vatNexjJ|7aeP1Y=0K3lW%kZz!Znow zd$YYPbGbU}Gt6mfwwwg+knk6fnF*hW_sacqzx#k zJ`T3_D(*7-l3wGED!;%eED$XnU)1zmKAu-}fX8CvYB2pauf^&bwGLm-c5FHr!Lq7c z!#P@7K6UaIvKY8>xSV$8fYK5MzNQT=mAP%Wty2WbyFq7WrHRlgn0x+1WBXCI^uerF z+x>VI{b6H*=2O5aEIp4Mt!hN|aNdxH4Hj)1$0zy>G;AquzKder|B3~*Q{@%*H8(4@ z`CL8U7#cWb)n{7GCEYW5Ns0+~o?eG2emYM>mX1$vH?&dw310KB&c#^eK&ck(6!D#! zG*)r*&H5grT;5xjrJ!4*<%AgN0q1Wcq@znkC81!e3<@eZ<=KiY953Uo`Sd5_32r67 z@rb=SyXMXV z^0Pgk7THx-lNd6{sVP)d^^_L6DP43BR67b|b~P=CS%u&Tv(Bo-7wF(A9g_TdJfj^Q z;)cc~@xboq@_I!Tq==FOAjF1-)ujOqyj+(UeyE?<-RD2(1NR;371j{0aMhVsLYl6G z$@Xr0a1MQV5e$$y_ZTEyu7VVf=PobLgC>>)pAPoQ2#DaVU87`|wHI zv!b!RN=uGana3O8lfLWhK=>Wn&LHlWZ`f$$6gAhqc`Z#zP`>H_F%wk&wlt%XnQ=bJ4m)|XFbR=BS?Yje}h9MWlB^)cbw1nj4vjs zrOve6b|_t^gu4Q3rhMxPwxeaI%+i(^gekH-4=5q59zS9?PeBkRMr5-YtxX%<7-EASbVa)a4OYR#2f5OJ^E~Lob#J8Bl9M>I6bgHc4y^Ot z=3muqsJq{nrk9j%MN&ide=P&rj#3$4P_rr4Z?zFSP|htWPu$a7J`9b0S-`*Dl4W#z8f&xskqcK>YI1JWjV?OFZ!>(y`$pA1$)aD2U1o zl@if9)J_*z3mb=_ZJDwAK^))i-~nQ7*9Y{DuL_CV>wRDKJsh2BC@^LKRRrWgTf5&Q z468m&X5pr1U8V`rggIX&ez!ib>dSd0mNg|}l0!-%X%k{A5odHl^T&5 zxrHucap-Vi$>vHxS53E$s=&zVt9R}OzN0~h=dLQc7RSok*FpE2gf+C$B%sLs)CeB( z{+>oh2bA9?W&iQZWFtoGxK@{-2ZpmqAFQ>5@!xlR;><}X{YV<X;A!-7qVMb5#PU z{T2VME_sCoDP}ha3(5U#hJ@~$qpGuB)_uW=lg1qX={i;4;cDaI`<>IV9wy!}bM)s^ zpv1sDSXwXNPAz7l1Pe8R$43ocgSL4%4m=OJgL7L|V#5VUaC$xj$^alRPBmTr{W}Mj zlcr99dTehB#14D1ls<&ykc}IgKZ)hnpUReV3Z+e(Vh7!qO)t5kMl(IQZdvvni+=PE zkd9*Q#RxJ`2a&ot3dPu7S;Y1LGfTrylnDgeqH5ziJn<(K$RdC5$%(Ck@*b<@TbCK; zWT#k$ya%7*^#`|vNI6a5djL5`D4X*=OT_o5n(zo-kGGJDP8U$ANbD6f%&f1}GIUC! zf%j}V5X-{){siKpWho&gI~yz2Mp+8@7td4uakHOzc%IE#BOUaL^ia&|DFoJ+IsLN@ zv(yxF*$mK<5r#Mr%I&%YwQb9EW~dBw>}K@uIAsQj7H5shCZ81&PL2{0E@@!(!Jbic z!78>9(-jeDQ^VP%dH@L zzgIs`8q_7dJ4}@y^f&HSFs>XV2=6LqM`^f3gHm6mt{)*WZSK;UGlD4$BG=!GKCSG5 z4)MQ06JQL#jRGA%NH=bCAsv2eXAIiK(gBLFVnCdZ_f}5-{Ul@D7E}%Wz`a?f0dBIc zvEyr)ym)~CQ}e`v(uOm)cV{-^;n65=?TSi9pB5BzG(ymUKp3Z{`AJVH?RCR z);Bu#>UVq}64^c_&H1eE)v=>-<|^?I+Hq@`O`;{Wjh7k+)TS{ z)hq3#dXvsOyYHQRy799~FN)V~kS{`cquo+-Uf$-A&LrX;@EDk$?_gJvzW28YccN;V zw5IQc;_7b7;n0+~)2}qM=!2oaRgdLqhI8Q;9-j@Xej@Ys)dk-c%J`i0{n6X8>H~@& z2UC^hg-d13a#eM+6omY*F6McJO?lm9UrgWnCfB%~fq)ZgaXi1Bk1|oWk}K4I+o5LV zvoqFuBy!~%o1;p$SgcjzNz146d56tP{4fwbNv^8KJF}8L_4>)4apKYjXOSA#wzm*(RX)4v+->89Sj?uF_S&k*k(h4KyeRC2gyH1Zg7%dX151>4Jnv|6y< zWIw$)w18@~lm+ILMm;L=OBT74%RfXRlx*Y#@?yoeNOV^ZmuWb6^fi6Uv|rR;c-%gp z856Vn2^OEe{Q;!2-eafN3<^e0t&Ysq&LuBMTYS7{Uq^JnD86}|vFWbQM_FT(-CCF9 zlx%yzR;-KBjfwzs-+WtrODy@1n;&ZV*lX3JJK``IS?2_|93{n!^eUvTc6$2Ob69LN7Pg_1Aj>_Z~RZoMj38l9SHQ^f5}n(}4|xVr zt3KFy{L61{$#B!D1MnXz*c#p24VMndb5QBCzXFIxh<5{!h^L`c)3Wp2TEFo1WJwFn zpqfPgL-Nap%V`VmL;vx(=A9vuEArB-^VFqF_nhM|AzyZG=IlLc_=j`HI#(s9%dL_V z+VfWls)07{65Q5m-j3aUxlfgZ{g9_eXmc!UeF;oWhJ=rdOI-CP)fmTh8^~?{d3*FnCTQk&9Tav3|F)%;??GuLJJO zOytY#ArUf*97YvsOCoH=v2mO4!@h+1Ysb6%rK2o(R`YDVu+Xzd`I#Mb2q7tR;~XwO zNiTyFWmjNCh;EJ&>q06?lPouitH+kib#jo|X`dg5 zIE*e53bj6_aNYb^BsCDXvs(~AgV~*sQrAJVO6~@rX3rfvBKjKjg4v&AVc;B6jg9O7 z1;43lP*l3dY|U4ECRY8Qnd+KhTRon{4rwK|;? zF+BUM(gZehQg#`glLIR1t54$jnpD$=$S*{4$*@S6zQ{{bmL9$ipVIh@X|6_r^OV|F zme1B~Qi*xm+qdN!VuNhUuO=J%eZYa{%6)$3$uC$r4zvHX$l9BMxmnQZNzyE`+zrCO{)AEy1-U@;E%9gU_@o}db79C8mF46yt5*Rh# zDo!@vaki*#weha2>k)$3eBLn29J0Z{JWM`_93v?@$KCD3&9~99ae4n{uI_?Hm?FJz zgZj~?Pwfk#Lkk0JpDvTD&2Ha**|DDFD>JWwDcbRhrcVa-pEp?9DMY2ZJl>7PjI=*dv?MH+C4fxqtR%HR{6MU{yR?QZzv1KaHF)6#I;)9I4Y zxyNf3;oKKK646UqJY zq@84kFNyHj!xZSF)Cw7fs}~!4B=RoZ4@+rMsv%~`&O6od#PRn%b{(7ZU@I0LH)?~D z=}T+-GPVd7q21ApP^x*;o)vqYoxEUR8T*t&KL^>Q^iBVHZmz^L+ema_8~nWbGqWWGO;!-B{59lk#ESsYe z_$Nd;T#y#5u(-ug055NBs6M0j@0m`bnUm~hMK5d%idN#)wXEX}Wf9a( za9i+Mv?{w;r1zjbmrsABLSDxbPXL~3ox-vE44yj~{mCxe>TN%_H~Y^{(QY1j$U;m& zXxkRMhG-M}AiiB$cKIGkfSex~TV^}c>EQUsDeCGEUZ(k?C!7cP*)yXq@129>cDr;x z=e_$m*_A$KHL&(?#n>f+dVLHPb=^{_;qt7?=df`bAG2}}^PQ}F5#6GLlSYm~-JmJ138g>V&Pbk&Tk2z$N1nmbReQK`w8D1vP zCb_rAs9KNGH?q-|mwn?ZJ982x;F=dHJGII^F{l$cwn{A7xH{8$Wr5oy`kKs(_s%pd zus5T7n_Hx!Zlw81%^Ift;Uh8DN~`t0H=%`ki0Fl0&ya_>M`_Yd-aU6pBt~zui1hqQ z*)Uyw$`oXumor;KaboRhbRDp+m>WXv#L?cieqvb}MR(N%_K^#ckFp%Zljhx-B>f;q zGeR&zk->};0(=o!pKokVXdqXTFZyrL-7jp=p@^DRO`VLj8o}&t8`tjp?exl0jNU8bk3l*5bfvU!qKF8W`CWD$XX`zn_+!$>d&g z>W1H%^t{2VpQZOyIn4RVV$nD4w&W>q&fijO#rnjuPman9B3Bk?nF8v_YqdE!&KmG1 ze#5?9LkAc90gpMjdAN9f@pIA8;btwanGS5r{*Y^_m%%9GHM$+K<-LrBEhW!q8NY;iq{;0@q4v@<(_s@Wf7ql@0^U*LaX3?`n&<-%@waI@axT{bB{&+>n&yz`mOZ;dI7j@0{Mmo-^?5(yRcHG6tl-NHt*cDv^X=mtgAm)xB=cQk2lMQo@;aeSp(w+#q`gOH?b`)Fi%8EAv^*&<6QosbS)7{WGSJx|M-w=X9*@wUL=lhWkU8IiAQq z{F-ED+56EdVh-tN0hA*Q7XM<95kp^1cw5twhR{P9WFi3Z3alA5Fd@m%%)?)AnA$vI z8!KORDsY*}6EEH$iTwnT_9@2D2}lqvT{8)aT=E;M9w>J20c&H#N4gmf&kPad&3Kt2 z{UwdYiIud43)^i9M`BI!)jUA(1mruOf5H*;7>Ahtm79GSH!^SVkYYtlk;+3_rwAlR zyX#~Vpnx4PB0hS7Bm=qR*E1EmN~^wLTjsVPu~wyS#X8kQL^@W`VWd+V5!oY=yxz zj|lEM!&b(J53kkeym>5+c3?xsm8j&>j*XJ;le?9cC{uS!HuO2Sy*+CQVSY+F1DyL# zMccQ9mp8hfameviB6D=Yt&_`gxCb9F-wgSw^AiL0~7 z5XZgs(qB$4KgCHB{c&RFyz6S(IZfCDO{7TYdS7Lts|)l%5!$&BzWH6X+H|Sd1^e)C zu@fLV>Gu%pmuEg!ou&OKM*}h(Ls{ZtZ76g=Pe@{yBK$>*U(nIrnE(P9;w4<(0h7Ml zNmR^p(C1_Q#B{WDxnb(zehkB~1k&xb%o&hW#L8wE3tt7vn`?NB{Mnn!*^Ph1AGCGw zu_lyyY8T|oMO|W0?})d3@BF07i|uiVO#1`x3`+z$JN0>%Z;x7LU} zyEq3a^X)3$bkAHSXn%70)|0RnwyzBZ29A|c+?Yo}t~tUuH-$~6p79;N24 zQ;3M5Ri<2t+{Fj=KMRM}-@eVs5wmAlJM#8t&x<)sce2BbUh=Mc@U_2=522rWrtaG( zN|Ds#v+BO3F}(V>)KlCR+NA9hg+{1@(Rk>IynEB{TpufbfH4 z?S}e*4U!deX8DP29gi^s$Ll&iw;FAjo{44ppxOLcE19JiighMLg}JESTe=BiPaRBV z@#8SXx@7wzzIbpXjXPZao1V#_tRfjjry*hcanxs?OBsQhD%Q2NfnujrRXpp?legFf z3A&u4F4EHPU!CP0pa{2_IOe{6%Pxg;YqxizY$b3Bfwcg+A)Ft1K`VEFo6Xp-y%rxO zR!xzHy!Edf;1WM0|39kU zIak;kPFiyj5Yxe&3o? zH=%a*-`qK{H6MTWb&|!Gbd?#M$kX3E#m_~Ie_|O+s+_NxF^}|2%T`1|oG|koxZie? zTn-IYan)Jn%Y6(<#3eZ%RRB#;q*XQMjv2kS!&hb9oGE_0ZUz6gerjsPi2i5=VP1vI zhQff!x?p^t6(my{;EY1nB9aAW=^=mlND{_ToWx9o3E{WWpOd%;-QISaG2RmWHU+6e z{GlNoQ@J72C|#e)pdT)QFEGlcR{44Gz+GVo4gHa-(QAuOcTH~wXNV7OY3#VL3E@>$ zO7;`ROg4fLt6;DQ(FeLA5I8!l#MXdPAb<&3^S9qItQ%H|dJBrP+Qs|pA!iPb7+DA2 z-fmjghgM?daRFJLh3sxDKQF$lCR837f!3+%C4+P+r;;fPwO)R!J&2rKsbB7Gt2f!U z-o4j$cptsYcB!-}*1gNs`@#yU#jPI@zF4MZ6@=Mv_bs+M{?yMS`*h{oZB)CoYO=Or zC5Umfq7veeW{qyfy!J~R!>Z;^CAwuzLHB6M3Yq4AFS9b@Jub^92FoxH=f!F$5-sNyQV828mEUqu(WHl79LxpKsHic~{7`vfc= zDKc3#RONSn;v0+hzeu3km05AI5%Y2#p~MYFxi<_q{4(s2>O5Z+;f1}pFplEIh~Bx| zTYsEte5~ftib^9>r@9Q-$t$!z9xG^E`?mkoy_wGn$J-u{CM8BvmuW~VyTVTkoG-W_(zfcbwpdgYt=X_{7ectmElB ztvzC`$0w-FXE8Aah)%g3zmc0jv1b|3Np7<*4^Rx*B>lRmqqbdl6OYu%A9Br|;}Rsm zg&Q~S+ZhrxbFG__k$lg{5w5-L8O`8*ZlS}b1A`Xu8b`=NYVyiIpE=agQmG(y*{$g0 zPbid<=o&@p{*rw# z2tAfprPk^V1wy+rvkVjmkhcVqwSRPXNlpuWI^9+zmluHDnWa?s0lRMy{j?V24|2ll`e zCac#Be~0R&=GBS0gypnW;I@-*xdi{qjObQ$h1xe)rx0)yEO98!nX!&JaFG zw7~x2ROtCV(9b^9;RT$_+YA573abq&1y-rOgnCF`vFU#F4nN+{hLM%51I^XLqb^)=b2 zC2Ky7d+I}aHUYn!dZU2wc)cLBf)d*>%i@hPL|>?eI00Um@~Vyt1wn?^-GI7OT{fL6-is zo28i1vdkS;9~jFzW|(R6?%h#jTBW}EP}4fRchq3ndJ$Fr0Do+gVc6&P`LU_`_PEQU zkwxg~Ua)64iCcXW`%U@5*b;U<~|}3Z3sG&_1BS+29_J_Ha z`_VkQ&JnM-J@ER0vM$fwi2{+5&?I|KSEOnY9?0;lb%N%}(#>(tXC%p)y>}hbyiMh& z^h@0OtP;Kd3iwWHhkqI{-5jaWYM1&7njGsH=q=O|KTi(1-g$__s4qwkCbu)e!WKcm z?5?JtJ#|nzV)_#1OQdijy-w=(|K1zm9E9P^2rTB?*i*W6NuiEsNIikK4WSZi8|yJ@ z46S|8^g}ve_6WM&bjBnP08MZIaGPVYthrR`9D8uMB47&oVd-f>2u&L3(7p`P07}Gl zk?TE=X5ZM$Fzz*TaU7Hj+_HS{Tx<5brZeNhr5-VLxt6wRST5XIEPd${tyOFjI+(pM`~~vmy~~>YTPKP^jB@$!J#p?0`Xr&i0Ql(?$EG!MjtSAc{7=e7p9~cmS+V+FqMY~p2?MQ6V_R+ z{$EJFQ7=`bCNCW_4$Rf?O1jPClq0gCjX#vxb{#+-y!1ST8^D(=gLxq62P6xNWe8Hs z>doDN9|?N>kh1CU4CkPtN%PQ1m*3{~{u_|~f+wdl>DX|QLhazx<8k0LTs0*m{ZL7T zI>y#|;vAQ#P76jj{AonmFLC&kWPhBS<}?MG@5OhWAAmQ+h*$2Zi7TW-+xV3v6+K|M4m#O#1clPJCb_=Gc6{+*2`8V4G;jIbP-^Ieg!y^E{`- zut-_8J=dRX4xNHL5wAo46@w@f_g=xV2*nQWIJPTZsQp3@y#vL|*Id&F@3ca2U&9jB z3=@o}kbx)L9)t2QRX%t^xXcfY=sIJ$7N6<$^bb+o%F4-=b6teuxo)>`lf_~o>!byU zF%)!N0%V(uR5Huv*ZzDIKyj{Al6iLNEIa&7lHVic5}%{!M4E{53~9o)1CJtAFjVKR z=9eKn_>A6G9Jl7C&juJNnsKhG}<=@wVENWZFF)Xwx8*7-mUT112M`7%yj z0w?FkBLm92D`w!DkA{F2$i|TK@ON{1Y=N*mKXoRV@R=qARIwEkkb%b=Hy*}u&Tuf( zb72jYQF49-YC(~o%Au0mtsCcc8rOJl0@#n>d{%fN)%?-l45?zO`GEEM-T){NUXE3r z=xEP(LN-T!(;^NlBSx=ApY&gye3|kd-30<3bjIp&X;nV`3=d@x)qFj?XM6(+%X?yO zWrK2c0?c{kXIPs zfAQRETMhjOQ6a~z2q*3S2l>X9Z)XnKIzK1|WRtL*uTRW*V(37|-=@@|_!IeFS6ZI& z0twH0;UypOd!D$4Tz~kQvbjR`Fd5XEjPMJH?qv?(>$~h-|9vjAdU}#J>MZpNgslpA zDsMmzNWYDRMe!b`oOE5(Cw|kH{`Vh1tIZOe7rhfZGpg_mwQkSkQj}R$8(m^Tqu|a; z!7OKwl^YKN*S|8}52o?0F%GDnw}52el1oekZY_Nbi^k)6(pPC zCOBr(Z7}oGB8+gYqIxqFa~=G)L&2gYI1>yDI-# zq=JW($66>R|Bwlo^?mi}D(LWaeovVCaoPzX}|v+#LxwhJ4R#Qz!|SyW4R3>pOD;tr<~x3)~ur#BR4ATzQaFU zF2ShCwP3X!zvckWRQrls+b0pt*DC1)2z+~3EZd>pj zpCRz@E(_sNTDeA{H2m&pH{*SYrf~Mw+sWL0<4h!UM)nRE(&@|)o=$dTT6rn57}8Xt zS4NuVr`b`fO zaL;tfFS1lZmR=1Fk0uuclk_qLGpO2}l2c=?Va2=8>BOo}geQ?C%OzO?M zP~ZcJS22#q9Ga{iSK-~Oqu;AdXn0vv-3upu;wm=HF#uQ&GB%dN=7r9ZPd1x%i?z{R zh~nd}KvC&aQd2>b1zsu2B#>n6LuE2M3~nYAfC;gUW!fOo(QZAbvWCTn7F5IRCHCLbO1mO{XJ+yW$pW-dz+W4G{qtERK zH~J-H*)oUfi<gfANcPWXY`+PhU|g37?~T2upCSUl5kW4s z7@I3kRQRA~N}uxUz_x>R_5K0Xp1kh*DkB}^tN)TVq5VF*E05nd?qx}PK=QsRIvYn; z31D=mKjx85?iEjR-L?8Dp4RKPqj3%F^r;(ahgy`1#E{|?({ca4$^7+`<(DYkgRFie zB>x3nH=j`3{W2f36cLQ0`lC*XLPT3tWD_f83X>>@PxZv>AW%-Z#6JLf3zyEnjt6s^ zqi?SU2jTbfE}Y^#*vX%l%}2I7wkE5?LpDW@1_^2RW`Z`V+q-C^O6H^7QO$oaMtBXl z*pl5ROPM_7t}zH*IRDmVmU@CRl-`2tIs z+b((6n0rVGRuSUEtCYKrA@YL^slC6Mun)otlG%+lD3$1G|Lu^PNHxQ&ns4qrQsvuy ze-uB_&^=yC1&UD=x7IE^v1!8X8{~cGF^11D0o{75>F@4hPb;EGvqrPHwc(sJ!vAKGfb!%Ho z6gQ-%S72`0GU*PU9St0rv@#8FFeJl zFr#Dn#=3M>8u7 zo*@#NdCE24jvz>}7W?Ks8B_XYWHcyw^ja5}2!2)0AeH0Ph&EA3v$Z$h<=r>j!hc4o zV0=v-vx995Gx`%|EjRVYdamsSP^8)^*0(e5m5J)rjNoI0rd9We7bs@|c8( z4qU5&kdUOz2(;IAlVwOh)6XJPJ;f1}R({Kc4OgVojb#_ie0`5-Z?THAYeD#2mv}#6 zRIG&e%mOW519`YTxzNZ+s&ba^Le?sm*ylAF3x`1CHI`ZwUfx8pgfvGrItuth zibXoVnYL@V=HLCWiW)y(MbGUSEsLCVz_)_%`S#{^fxd^9eXv&pUen6DzEI}hm>40O z+E!R9A5-nuI*maa4zjAKCnrIrknrWEbscnu-8&vgwQF0eQ25@TsfSj46?ZTp4f)`* zDbWPup(XEps{Q&_$8P2rmQdo$fliP*DV|i*Nrk5RB!oZ6OP-IkCEVK+c1;ZL8E67& zbw}NmoN<|xhw=qgCjZv0&U!Xu2NARB4@?hTocKlZo9}`~ATG0ig2Unom4u1|s=q`& z;6ySNPpyv{dqIDpDSMDx8<_OZMpzq7H_r$P}4N}`7 zIoJ{3`DxI0CuAUF=svVuqZZAuR(>sON>7GFiYe6Y;7H9&3^;;ku;^Eei21Gc@npo` z>sMTbAT@JsacvLW=TKTUF&!R|wI{eu?F$@L*(@*&_oYk6EH1;ep`q&x$*W^cPH8$U znlW%YA^`ng9(};;87!y!X9N~$@$WxVR_^y1(Q5aZY^^u-5NQtP`F!$g474p8^6O=} z;)3mNCf|E`GrTwcZnyJr112PJ#t36ZntC>#BSGe8U$U)z?_W8g*zfbN_WJI0#emyp zmRY2u_+gWjhvKa&)$YboZXBN7y#gIPm$6qGazPct&0He-U64^o7v)-`6=L!$+bGiP zP_k9w1Cv7?m!9E@3frUZ)XMq1ra~pA;&65WAICDP_NdnlN`G5jl_a|)0==rVAE2ZB zlNDU{Q$M(H)guP4BbMz#FUAa^aVRb0U+Zz{cIrSK3&j9 zGX&7m(5O>9)##C?lQyXN511UAUbPB?!$cOWPUOGR%0}DOkKF3m6w+r4F|>oAyw_LF zgmSX!oyq1gb0pgzu!iaH z4fQAUZ@=T@#f?i89xBOqgmcq#$FIW5BS-VibWkD~k6gXTgXw9jj<{-;jlewR=c;35 zeH|MHtffV!+Fr?QIxTXNhPbPnJNZ-|3gJ*Hb+ZBE-Hv!@Wsh$?eZtyMmw>TGYVQn_ zDcqxBnA$5(&5$9rPy?L@Z`@UFOXpH*IzIrZ;HNTOFaot$=Ne7Ip6HaPlEht$PuW8@ zF9`Bp?=BFjHftupyc6kN=#b6B38cH69f_3OJyp)aDDopTNL28bsIPkHCS^u3)V^@vJn{%oE z_crL2vrW^PTGvagF%l>83C-k&Je3~d>w3JvTFmQ&e+;7MJFP}6FC!gOo$W`c-0f1K zm0wdp@w{!JzE7W7N-s}2(ITZY(rkybd0^(1$IAWtk5?b9q9<)OXh!R}s9MN2HRL_n z{Zhswcg~IB5E&VV$uK?U=he;k=c-?^`5H;{FHhS8%=C>RR@V<)pbb_>O+}`u2a}~6 z%6$9}oa~27x{>fR_oG*kWfdB((FEXVw@Xa(WH{jV-4E{(|8FnAfEj=q9Hb>Z;qWn$ z4U*!|D%a0&Yc8CcS*uxJ_aM{q3fZ+vS4k0Jb8RGz`VdlAQXWp~XT3HJxRG ztauvp{rI9^XofbrbcwjTw&W^>UN^P&98|%b9Nx!zCXW0${g6 z#Z__-em!o|zM|GPd3tW#l9)cO*>B<1v=-6@{meNNbmEdAP9xT0Q@W)(GhMh}DNs3I z1hWWvk4QOYS_fJBLniy`*NV5+`E+_8$+KO64?>#G+o+I4HM1>2%g^^%4lel*vuP_2 zUW%o-2VrQ1Uu1yf3%at})Di|$?GgS;-!47urdm?1Ru>UPTReR5A=9_xJg(LP*Ux#s zp<7hQW^?g7_JKmo5Hmh(+^|56v<5jEIQx>CvoFzx5lpw&RS#0#N@8`9m80C3nYafw zI~hVGN0saS`Hit?72*=*HvPi^%-w`K-Q#!159AB9^G|;1_eM2#aZaM2S|P8M`6^ei zqI3(e4Az~MBkYVq6?|Hi$1T25jG#?N`4TLZg4XN(`C!ninD}_!EO74$8?F_XAbOvXA!%JhoJBiWN`6ttF}7ohFqd?64B7_* zWEF0Z&BGo8Fu`&>`0iNBFmj}hhaAz_9@KTkZ%RgE5T&aAUqnm2LS~F@KhLH)nwHT1 zm#rhwwH4(aGuE)grz0&zE|+DSlJ&p<(28^4_Zeqmc>158R(A<;N)rAFVzG#r=pFgA zWsrR94Mz_p-Kw`}# zh)Ubc$l!0Syi_nE7S)?DT*{}|`j%7u!(-6v>k{oX6(TuwtAp7h zV)Mu{cY{Y7Q5MbU)G*IbKe)f|-M8uofgMlYKe?ccb!H>k2qf9pan-X7Lq6~SDM~H? zQ8RYjIi<5*y8HNK#h~!4d>~gyf9BQj;M)98EF@N+_^KDH??VGd?QtD$qCIi^AbUM4H<)8|WEK$sI3lL$KcKrHfA#itE{{fZ^= zA)r_jpKU&zb+i@sp$_W#TRCT^&qwsKm5}7fQQxi$(CsH=M!29w&Wob8k#eVEAkxNT8l;-lxyi7~Q#DP;XU zW|-qO+X}xI`g7F8{85u4h6&G?!D(R}^Rt6;DHvh5!!;vVmOb0`Q&Hu`PFAzz*JaQc zZ0dp?MG>1k^D3y|5d62zIwm|a)9w#uvonrpZ9GWkuPU-~{FxQYHC*V|Dp#eg9G$J` zQTMGCAk>SEISjQx+o)di|0TSVeOwc9+XnCl8u zJ7(}omhNN3!nj53Vw70c0W3OpRAv8&=X)#+9WQ2p>low5+!4i&6fmThg-ko*yGFYW zIK2mBRhXm*WcM#!olGdobZ$t%va}NWB z>iYD19?93iLl`68EB&=%%LUHn6sh$=4 zxcJyM;x$9kJ$0cNav5F<1FYc{T9r+bRuJ|6$NC!&N7P>mAS^Q%x;`yiZhA$j?k|%< zKe${0WdO0Nd<&R!d7tJzn{GVh=ac5<^36K#ZDn))0^I^|_oqnV*N0gvliQ4j9FOI><4#NQ*tA(BC01 z!n&UTqNO`StdDDZ4oC1gV^VTf4bYyQ2p{W)9peO!K64&-Ki}`tFMqky_=}Og3$m&3 zvpF5T8`ZV|VlG}uMP^*TJ5)b+vO8l7E3VxUC0Kyp`H-Oc!eB~MXO?|ablQ;BHH4ZG zS;uHsrD<{>Ek;dS^xh=v@rqfK1&e@=!|4 zv%>AuDzBNJJ`lU6au`GvV4t@ZSP6=?!9%Xyx&P_C$k2<_PZ`N`e##4Ks5fSa-nbS&oFR zio|hI7D{xB?2D9L6q>$o#qx`57!NbQRbe6!n`U1SvR|_WrZJw;g0(Vy{GL8pt@K;~ z)s7ca-sZ$&>6b{vk`(pD0!_-M1^o1TpYUIqOkpx^m@_Q@?pIv0R89s0rQ(YOplqJl z@wJeqrs0D(vTMQ)X%JS}-il4W(rto0`cVpOeAvg6@w#Othj;#}3qVB+5pUt*3IrA9wYIet3I0~Ing{|_a% z&IXmNI~FJ10-C-}^Sk_85{c`IbeL>q+;^VCl`?~h4-8FDLYQ1I@hb7v2()GX0-{uX zf7B4MY*QlNE3Q;)rYQ9#MGrgRhenW8;!@T`#4&)9*e>JZ_!|0F{x(t$?_&2;%{|j$g8upQ9j16@mEDt?s?XY%uD}e;*tZ zYk|RM6^O5omUf2(h9pF{q=@dP2W`xsa9iWh+Q$7fB(9%^JJm#nu-`<{O?2cNG2X$ zNZyN3?GA}fY8}2R@5C~hugiyw;{~SB1Vig&h4mO20JmDKI(s*V(qSO0?A()P%FA(( zWoT_1t!uf3BG$;b`k_^oydrph$Ya*&WG zM=V6bEUVC@gz@B0r@VZ|^JV;Q2Z+@YfF|!H)m+SYIn}v*M9yQy=yqU~;R>GF@_khU zzRZ7ysW@$pmyHy>(00rB9hm1`Wh<#N3g(uN(9LZ(b+ONo9Ewqz()pAwL1vk7z%qzW z2+|4hCSf-vTTzPWBSrv~{T;gT3p8S1?(Fnzd@N$IjHS^t0ALXHXj5o@Wc|dWUjn7X zpEb(4J_~DJRkAtI1;n&T|4k{yddGn*lUi>75{ywiH%(dc*5LKO-3ti+VNiF;WE0d`;qvNB@~s-oy6Gg8p5yQ{PYV&b0!MU>y54;{<9pOQgB-qp zMS04^?W@~3FplW*?{ z@4Aa?aJb)X0z*0g;Qu&&{os;#4kZeb`{FYsVd7Qe2?fAclvn?4EIXC$S*w5@|IY=U zuSjy)QtFU|1gq@V<+r_FNV-^0Y;upK5i=^pHThQmo{b9YS^agH)VurX(3H1YeEL4d zF^+4eWsf8jc2#JJ`VP)cvBFa>DxT4~D=gT$aqY zbiThvU=~1!xFJzkBsLBQdHR-F);-(J?aG@Czw*T*AxNA&%luAL9br=2hWq#3%(1)* z{G_A&V;hR3buRIG&>b`xw~h&;k7YTBhIY#MbR>Xd5bl19Y>o3!KE+kgPQ_)OI} zF%4hJzczv-BCl!{Ou4B(jpd-Lvl7^pstZ3sfmeT-3dXAHOC&o8@!Z2jU;n{JxrfQt zo51|hRdun~$r#gK@qiwbOSxr03HH}ZLwl~a8&vpX4wjh0G)r`4FZ`Vfe9P@`vgUrW zhD-3y?;UdXYN6f-%5R^%13=6}db2vt?z9k>X z^(Au((9n5r-emuNlMcwNQqzdJal z$egk&PMDf{1upol!B*9YIPGq(?dP*aSQoygV49JdMI6{k0VX-U)Bpg|ax z-&NlBT7y&*0(u9LFv;l~*VAjd+gq86b(x((28U^tL7zu!LBGka5p#k&9R^m*l)x@< z1e+PnG7HtFSrOLZ0B^Ks0H|o%qr(c#ppqTB6_S#b`F3UGFrAHF!W2%giW5h%!s|h| zShv;5+*lUwi8OkDE9RzO*9=nMuF->a|5LBnp_4fT=~YZ9c(SCC_$SQRG*>w`c!5iM zj^)XkmX7oqt5>0JZ50>+rdVuJ;=fhc_zr zax8k@O;IE^njL($eC{Y2r~|4cTtHFuiHmDKhx)4mrJk(_NCL>b*!2J$EfyF1($FR6 zz~4Iy(Wh(M=Q+;soi{juOLHCtsT$6`o(ip=XkP$h-yUZuw?G+t#*$?mU|tzo#Wx*- z?5||6=3~Z^>vfRU8fXHZ;EpK}oI+0PO8QF^OG)*{bAva|;*S;g^qg~-;=K!Gm?tXb(0`5}=^Eb|heusm@?yuJ(gR(g)L3MiU$G%KPG z)p)%~CaN?kGPVY{aO(v#vBCi@M8swP7yc*wfE26`0ikGrzcW;1F4T@!STMFgcF6pa zC|t@P@;^Dh;g+icSsAJ6#az^2xmvNvBx>-g)X?^ZluGpLrf`uW0XW!~_R%vDkdyhg zbHo0hq)4&qWseX^$3piGX=uo7?(MOK$B@H60)aCNWB-HrhbMp&odg~WUaz)|jf&-> z-_Q($R11GRkA4hHz!&{2NDA}6v%fmXzuk8yhbBb?2^ntCQONdaQy})ay>+h=U3zka zVe|f4B1gs-1=f|UCZE?!bx1p7@bG?KgRNV~jfC4=(hgs6}?U+SB2!pLUeZgsJ&)dfmWfxArNLsgA$e-GnFsypPjq0# z`VH3c^P>jYdg>j)z}aEt0z3s?0PHRO1Xi`ZdB7ks?eIfuKd5v1pMu(EpN{a4_JI#n zdUnh4dRPOUf}Yey-@+Zpp?9oT%HqWbDl9JVZpw^(ehr}VDgO_3FKLJmyyD??!IWyl z?nONE_mu}?F}C^FK6)i%e@nun)3)aJUS6*BKo3 z4(h{Rha!z4+Efc_arinwhk>^A>)h;F1-m3#v@_pGI@P&9rBkP-BdJ)Ai&l7z_%&% z&gFP)i{ey=;hX}$&3JzuPB6?fsK6a%Ic)5xFeCv{6$2t*3HDCawU_NJGr7yaN*BTP z`v-GRB7xCADvM2Der{JY3KMk%+r`AbyL#1E)Go|6m3+ruj4{^E8^0U1%NJOzWwmp_ zC+0J%Hjwr8vMo|)*kb=Wpp6btVcSg@N2us>b4q!hw* zi*!+H>5~gP@re2_M|^@WLoH*|0N|5HPcI-&G}&0)bblYZkkZ&n7XWTn?^Y%I=S}~g zoIreDClin92B-9h-gq#vU-sdk-j^+7VjZcw*TEnof6UPEaulvS>rJlbaXP1G1 zv#?#5RUi7Xo@fu{>Hh^*VSc%!ft$Jpb1gkVk+he=riU-&A8!I| zKB6!n1UY=VsaafbFpJUi{pN4$i+U3Z)SxuTfcw~rv&mYd+*6y%wvD;Y;*vu_LYo!q zCf5^-p2>$;1JO9vKl6u}ic2a`1CQAE3^89dwiIF8eR`{5H;@p5GLjvUz}=X>(ffhv zBJHDTCLXV%+M)d5k7tzrpH|U7fYEdB7h(Cq|2Dc6JKbI$UsxbAb<3<*oT1I&azQzk&ENDzG}ZdDVM5)YY|91}gXfyjR(yBQlA;y0w^ z0IvN%v$t(A@p{ICjzs0YrM&0hV#1kk2}-PEtN!?Xdag+**b(RTr;2iFx^xzpd<&Cx zA!Gcyr+%2-<7s6;5_YUIIP4HEy|w1Q$1baV`)0;?54jvbWol9EnikQPSz1CF@I(~Z zgumUhe%5?QTw6SYZo4|jO8&!l?NZ~N)Bk~X@8AWGC2vY*I)sXMhru0nTV_$ICthb zSma0kg{MkM4k>mr=M<#}Ud%dIPtUSCZh7$V33sc-rjHhE0U&}EF%0(BqGpGbg6xII zHiu7$fH-hqGK^r*@J!gqD-1oiu7@RA%WjZA(z0}iIq^@7Ra%ij1)o@LyMXE1&Wh1Q zYNK-Oq>PEd8V0rN84)|;RQ}Cx>|nj=TlAT(94Ch-rfQ97eiGk2_tSKy3%Xw8-T)a_ zr91xN-g^fYF%9rk&|t7a?~X37#@FpM5TGX`fWO$;$xn6Th9HNOVmSn-yzvy^%6k#O z$qk}$ujqFe0@&_Gjus(gjkvz~uN}ZPb{rXSG!3l;EW)0nL)P|fX=`e+joChJzfVxm zl`k@5bgt!0#%c5C#p_xGbJbpFIQ`9OCGV2m+n;GdCz{oxyFI%AX(!IUc;j_Ar#fzu zO0%@(Rck|sxyMqCvGaD!A{489O6yk*005;F!5*+kZD^8qJ_rKPD``LA#?BPs4bGAA z7d4oGrcNabeU^SIt+Vm0MHLN;m>!$I`WA;VPQb+H!w!0~cjqg3oG8nJy?n$#k#gv@ zXx{;3A3%hDg_$3{Az=j!Q6TwUet{I(h1(+*W6j->8*WxRTM+(ra_Tn6iVySMs90v< z8DO)5+Ly_0O44S@ZUQOzmDTVYU|n5yaL1#t8vuy@g_aWeOjuE8?vn=uv5L+VvO(=S zRFoQoJ~_l39A3Y@dA(`K&+l`zZ_v&jw-Y!R8WNUUujE-H|J5+M|Jw_&`G*oHORw5N zhd$_*sD~qIsGDng+_Lv+5I|C5J2H=d33|Xd%!g6wOeW#kd|P5)N}9wN!FheK?=KRzm5=jxcrhwm>&2w zGkz8L)iP|0xc|gIpfOibx)6L!1~|~vX zy&H8wJ=syd9KEHLk6QlC1kOdx5VUckez2E62up208e zne9vF4jGrkzgl-lwr&1>5rl~6=BpTB-$|bZ<}T|0__C}&$mynUJPR9I$LDB;zLf)~ z=;ME>&H$~s&AQNVj(*d%;sL{9l0Z#+S%iBM*h3L1_E6j8$2aiJM4+|@FFalepkd+_ z3yfX?U1ZOdDbL*GA8xV~?vI_K?7oWs4U`@M-Z;|5=?QCA-OhQS?{MUxhOYb-ogLUT z{gN4cKKXaV?LH4EME>Qpf?Hp$7in3NQ##X0A6f528$EwN*zQvwj>cTO!J`E&Vmm}w z1pP1WIlG~O^IIE+WEWKAD36w9%=OD>DKD^1{LPs>&#G@xz(D_8qi)@d`C|v8kjSy3 zubF=lA?^T$vbCMOGzi#GC9>)pNS^-HaRTB2#OkchNvS+DNR1{f{d?oBW!nngr$?Se z%$DqKK3`)aGsC+udN6D%ecP)6svZ(RA<^exizvTNR!~ublW#TLqcD0nBj90!OgwkD zG#6M0wibPMU4{@?!;lJQ0^v*E7)Rc%Fs?obs%JjHI`kro6nFw0OoQKg^{LhtXXI6s zyDKbsW}`L9Zqzd!Vu4l=ldV%?{(~c=jy1dTKskSfC(*xEeq-;GwDkY`&&k|$ z@wjV0()}ZoD0bW$)qF-6zU@kd1>Z?(uev7C2KWCxCKXk5b@hRF%ypUNL0aarTVT2G z=-0!Q&1>dDgke@!r86@_W~>l02fU3<$7e@|_$>Ts3fw4PJBZC~s1Ckg+8%nU8&LHlLlWz zpkqUH75QCYs`_t>m$anTb9i1*wB$zdEhF8$?+mFdj_>ZV%o@I2 z`+e|;c0~WwlSP=FYLh)N>F2qZNee7b=FroNj?E9@CC31CYU{m{r}Tw<gGnu!O7GVR)N`}-)kDiYY zBZRGAal5=X4o_+>n|M05Km(rxm24RA95WDFD5-_8DEO-%?l+a)n-| z=#@7B)lg*vn3eTa!m`<;F^1IrrDpve5}cAxueOg#b|1Qm-U`Tkoo6Lwo%ZozNpgYY z1Y?xYgcYk>cDR3^tmM?7i-&sl?D`nDF2D#c7W?)y3RGAdb%CDBj&eg)#dG16%!8;s zP*p4zmf2R|B8{Frxty`}&W?&DccQpAWUSv09Nq~^-L=7xl?O1{IOW(OhCaa? zASqVO5Ug{zIO_UfJB#&SxOJ0e8Tae)x@}?f4g+9!-Qi3VDB=_=MowgaL9n(k)AdTZ z4xlhuc|pz~M}Ez50ZiCUtuU$b^1>TF+EeNf7X(U4v^PQ8Z1W%`nUx=2QocTjgPy`h zva%2UZXSQ@@Y4!b5hNaGhz_?s(f^bNtXAOnh>(v3OD`LiYklZx$2ZF%wUt zvj%11lK?jC1n{C}pKfkzdDTq|+j_dKkZ&Ee4K_R8)GJ6d z<0h^-LQvGgsDaNQ?=@~2n|V+`pYSIXW@H;`hH|bP^b^4Rsk31)wn%o1Pfr41!|Zic zWVKcvLY%!5;xsg8t8eUpl=1+qbW|aZAZRR7Fs|s@-Mpi|7T$E|8|%ernJ4a(n5}3( zQq;~MitZ^!={UvGFp0t~C&{nUCln}_a~bSi0e#9gyx!j$4QK9Bt!OF%hfX>TIG2xq zem?&otkEMlQ>xFQ^mVfQ?dAReP*Wy9uV_*e_!dGlZ)tHu+ByvYRxy$ZjR95lZO@xL zS~+C_8=h6c3-nS55dOU)dzrytv+i{@08YH<9kPEArvgbAJJ~7#210sjFiS2!++@gs zF~^D7@%>iRYgCp0mqL)vnS51o(Zwx(QD&VZa3IU9B1f(x%wJ^0HJ9Z-TH$AyY*5#D zL|5By-Xln_ySyF{xK+aGB^k>FoCz5la$GG&JdP3^yaB5mkrS_(O3*Mda;qGEyl^gv zTC~r?)_1Z(<55eJM)ws{f+7F!Ptr0gPcrxR5lGF{^s9Bj0tX+^DfL$@0 zCoWXu;rJgdgGQ1^hF4IBjD5aMl%Q5H_XX-Mru)S2nSYI7{$Sq@$TpLFMsg@0YFGO& zsBG;;gPCK;?8wn#!ild*-gv!#50BY)dHv-iaiH8O$sU1)yUZqIbn^nprmClBi`&Gz+gWN+((zda)6{PgG@ zWLM}S0pNtrJvJGp5oU{OBTR~jkrm!7n&mXtpu#G>!rF^``FW@@}z=d+2`*SwdGQav1o3^Z}G+}xD*6dUN zUEz@z-FzEyw+B6ViEXK|iM?D;W5)IGMS4Wx>W69cj6j+LG!T}RLh{Bb{U-nIV6;k` zIqIbC4Y{i*(XQ;`l|Gx6qZj$?gJf3)L-MAe(ot0N4ASB%biX8-f;kLY6$AeC3C_r_ zm)67mF`>cW^&+kcz+eZGlZ7|;o@G8F9#7BCjv9c3ljn-=60<4tIZ`qMn&G2W*w_01 z5cSppO|S3!_)(965{d#!ih?xK9SYJVHA+Haba#uCNJt|wkd6_;7&QTD=^Ql}BHfY` z5codhyg$F6|C|GCue_i8x#PO8YrBSDbNy)p5_eJuuqWz)W@Xy6*z?UmK-H_LZ+G

WmOAAm>LC2n32PGjc>H%qnu;0CtK(ZHtn>fN{{Y6A3&$c`+W^NLO-z+0W$8_1ON&oQ7bZSo^nznO9XZS zd9x@_<;Z5RN&j-X|7}s2Q4vHa;4oBwv5LJji;9jo`oJZnfSoQ5&JVty(%&qSCS(+K zCYO>_0dD8XzqgcptzI{e65=cP{`f{V#kia#s3yFXJz+B*`!bAADNdy1F!|!M>xh^B zSo6)?D>sD4SyXY$Gy@bYBT5$gs@G3TbmJCarW-~B)sHMP7|C@lyitqZWuV3Mb4hj9 zv;s&h%Fs08X?@{`u-v%%ERocjQq zKz+(e1vc$bKaeRlKAJbI<_DMrFMg$Ybc1i) zGn^Q>z}Lbq{{b~wJmlu#+bGR%!^i*x*jJ-ml53%9K2(2^6~zLtMjZq)*&x3d2kU1( zft&*8^rh_q8(OK}Qas3hL!D4?n)J>C7MDD;u_B~)na(#YkgtidYUD{-L`GUvZ4CzI z10`bp+2g`D^*l=;J+Q#V+Ak!r)OLqKJRcR0h@#$u^d==4ymXSGIegrx9)rm}F_jV6 zYSAa$l^i!%k=03cRE4`IWSD12i?V3rhUARt4j%D}t-c7KGEX>O_DUxK!me3=3TnMR zaTD{*MaDQ+Ii8-X&UG;6@LQsWSO)f#<`6%60bT%Gj9q(5o({OShkqf@JDVSx7j2wj z`Ec7+(4shrg`Ff;*Jxv(kz7=%==YZrn~pO}J|uOyWwoH1JIrz!eq`dR9Z%w)$oT$E zJMw7~HT47?M$D+gKGPCw+Mwh%eUeXb(+#hIQE2M6d>4y4NWtA$0HH|HsezrycQ;ML zpFm5~9zJmrX=}K+z#%|0S>wKo$OPFZKoD@v0g0(k^zU5dkN@Lx#lei2&Voo)yU9R_ajpDzzo-JwXg8WkohtNvj}V z0^nPh*w5Z3KvO{}fwvOzSHQ@``$YZPH@6~jNMMF(e8-M++j&~ET~~!hfMmm+G#+34;)q=9QHZ@ zo*YpG+SG0sZg(wzM%$<)N!*~EaWFe#m^g+Fo~DtJQ<>ITiaC^N19;NbnIDBpIp%M- zeZ}$g#an1HK07{;2m8_k-9Yq~Pva~Pnjvj!W%D1WDjc}UXz&0KJjQ39S z@CB|X4e|Sp6Deom3os}`1tiY5!Lh+h2Cvy36bA3@QszeQ8(x@KJI4riSluk~>L-uI z|MlLm0KV<_%RP^wP}o{!MAbUaAs|rL}zku?-YLR6VQNfIssvJKKXW4d2>+M!)zYZ15jt6 zz^?}&bBl|QPz72i=RbkO4w(UJnD~xu*`C?l(3k8X^%s?-wx#TDQ6515b>imd=OZ0t3F!n%xa=VOvC zG$NFPj|(5c{@NM9n@Z)!bpSL0)wkN9<@^MQ3@B|HaIv|k{BKKXfmz}Q` zy*703G+5$9&!IS_-x|4XkDa@$>F0=E7WL~#2JMhYbQ&q6fZiOim+^@p;(b**ti8SM zpghGis3f|^xQ_!Fw2)zDd}rl-Lkk%tzxBwZ&FnVR0KBL6b9|4Kw&1noAISyoXiEJz zTW3h}v^j!^qX9H0?-W%c^Qcm2u|w^62?!yXi^L6A@&W`^2Eh}Vl*Ct{gA?Ig*x^h* z|M2}nUqn(e=*BtK)TZyqJg=#mH840391`^h%l82rU_HOVYWbTE zhYg_Do!SA5EpqBf5_A-9c%C-7#Yu2)RtDf}I5xHRB(nIX0YnefKr6A+%Y!OzIlQnP zsE)U_AjTb#yslvhq24pRwAVU5CbIVCa)LEzfnTDqU!cV~dZ?HrJP|ruz6;P-w`sDK zn02<{0VePU|0YN(Pb~_2&A~R@{2t6Quaa0W+gl}F;^YxD5&ot@dUm%!chQ2ZkZ&K~RBmi7f9o{L-$=7oQ1cDvp57+)-W4BL{ zOb3sA3lEImI)P(zX&%u!e_|xx-gA``fjIhO_#7m!O>4~o%L;qA?dGWN2oImlm#++_ z$p-jLu@c?NN@Dcf<3ZmN!%hzE?N^onv@{A^xj3OrqyG8W01f0i()daQv?Ag?jwkk* zqf8mW{*F0!$f|J9CYk!3CTKD%ouFYcu)po!H1hDKN89Nq9U8}vm^W~R1X2rB#@5&G zZ!CS&<2r?A{*@-usfv`Vw6Swew>=abdNd$7CQpL$4Nt2iKKxh@wa;35onN~E8~=OU zVZ_}t>49nnRYVLDIE1~?z;+LvKgCtzlgpK|Dv?=0Ek++{Pl;2Vs4Z^dw=ENx)k?P; z`(l*vjL&C4v%f1kO>Dv0y=+F#V0MMPP?SV$(LsfhjHPOljgmiz%FsIG8efrT?p!Tq zl4fnk&mcE!%kwL)=U^|<+Tkc%pt(koZU@H#Sb6NXUIZI1tq5T11i9}(6P=0O^Yr;a zm0w{rV^LWp?EpQuK&t@<{J(8Arx_0Gv}bA6wUqqer4e74Hr8fxk0NLt!?kF3yfgu~ zzS#3>eHHj(R`b6bFM&UQyoUI=0lXARG%pckCJLV<6o&vU4QM4rkz282v8@^J+M%jTr%`ha z-sx{!^LiNge$G7<{MsKK4v=iG63}*m`=jxu9hh{zEzq^C8WOWDbIutRw@&LW_`L2B zOymjrADz$br&PECtLol>aMBqad;x~BU@@XW%qGeWo6r{7JaXXkGmt}8op zHtakV4Hun$8#BFClh&bl6xrE!(KpS?Gx?f`5=f+WoE#guB*06n{0axls`^-azm^T_ z!l5Y$Ca~8d>8h2^f!7+qZ%nW5fFg`7+F>qsX8@Y6RW!XpXkaJcPlC0|`W5YqerWX- zjerX5ycmgZ2}6DN#rU1gPMHVG&03bBGk!e`zehn(r14CMJY$vJ z-9~H8J`{{<_R_3lS2Wja0xyJG834waqa2%^Dm>l-Mv;leK|D9EXO`TRRx26M*b;Uc zJ80diOwu4}n+H6cU@U&S4JdyZ+%KTlm@Y@rb2u^QTBy`v=khGHCF1(=A{dN#OzcCw zK$~y-oiC_CTLpsH27n;^4|U3=a&Ht08{98wXdJ2(k)iXa*#vp5OGE9S#w;KOM#$aT z=m5#DRS^)G87B9s_ht$zFHuM^KFL(_>%Q1`R7|q^s-0l}3GOCHahjo+#Cqrvz9GJ& zAoI}=D`{ZYWP3IZ;>>TFWNeW9EV@4{QeE4x$yf=wHFl3epOCnHzEa{@a$4yqG;Qwa zS!u*tT4__5#-xH6yK33+-6^+Up!ddxM+qF+G5m~b>jk`YZ1A5Y->;nN;Q8v{pYJOQ zt{&YpZPAvs%-yqj*AFf>4)??b zpe8Jwsa+8e?k;`1Og;Fm>?4cPr^pu&uJ~{gk76{Hz7>#>|1cTOh+@Ko&wrZQoW8qWmKkM)kK+R$L2r+16Kj6*uYzPSNc*>?F+@VM77Jh65 z#8t~GNBo{|^Eo*B1B5IX^GTAJb+`%C;U)v!n}xGL=H`yl*+7@hcu}GL9yLqdItIz?}mIMtvl;X z>}Gr&s~Y&`=x9~=k|XUDXj5>zJ2#tfnZ5&}Pp8KHS2A#`S5!S92|dU5W<~P@uAx^$ zGjVyof7d>E$=j)U)^}WUz{xegE|ya4fjKxA8hra%tc+?#bS_cP%u*Q# z%0So?OQi6)P~(Ai!a1U@MSrEPRL__x?Uzkfto+5QN5P#pOV{jA8ZO6%NqNB_-u&a= zAFY9EtAL@`wz?-=J@?ZUdx1_q&|TAott zla&`T*I*PZQYh3V<%+S3N=%$G66kVc5;iQ30aRR?Foc@FR>@cyQF72C%U5>_nS<6A zz=xdos@U&(vO&CX^8hPJ!!>1G20w&V%gQ1_ARL}2wo=z_#q>BIg-zbc3Fwlg@*fB+ z)?zUZ`nSjPB(p->EN7HvOP7U6WYsS9djH9KQGyxland>e4|S2%7vy6X|Az$_lX%Vr zW=gHh@Y9_kvp*7cwD7|tB>{jI1g_$1H);@A0SJc?jlFWS3y^C>_M`36ai%NvQu((@ zP@0t*{y1k@87V1^%+qNTr60V8PV(D(PI*%%TbzT1d0NGN)N}*{;j*LR8}1dXkgMzH zsj5iHg+P}Dqf`B#{F1*r8f$>oPU_Ob%|8t@KuYDVJGhEi(f=;y^KvDGi3Z>($O|XD zcT^P>gKsFY|Neq8+_p)_)U7T&;?yd79l@h%Xcsg=V^&(?pFwF{G5%hF`M@hj6TQQ? zB^Dwr6S{Xze}n`4KE`_h8CZhxYD2?wfVFA%Km?7y2F7Q>AY6W!SQQ;i5 zKScd?i+LD(fKp6_FH**=3^Bd?jP3PjRf(CkMFFGc#tvDf#(8&M!$sEn8K$Q%Uz0W0 z2AuadW1x|yi~;NE1J0>t(b{m;{M$g4I%}Usn-zG#>Tt1@xHsXzXn}#~KgQd~kQ5Q+ z-wsDDW}NjL&#bG+dTFp~YR?6n9rxK6RBiM)9h`r?jV5zAjO-KejqKYG6SmiX!=skT z`^KbPXLdtC=lC5D4aaPU&)zlbuk%LS{b%Pk@3Q0Lm(yWW9={Py2@w}J=6E_Io{93} zPX|Va!$05$Y^CnFkG5H0^9!3&Sq}{C2UuCb2_LY!mAE#V>PMbRbPBE1&kXv@l~Jys zq$mu<*RcYT7kZyQFFS93t~q0BPGlN_bu?M+yrk@%(V8GJPq zyMq8UQ^^!A6GOS^_}8H*=ZJmys3Sk7Uy?D-)x~#;QhwF z!D|LV3(3L?RFr|cPb%-HHr}=|Q?VQ6K9Y;jpmA%D`ByopRe9uX!Aj$*_3PYDK#=S^ zTT=0|o=_NnbM%{dDoJp6=wd|o4HDF7p4(Zd1`Q$qll^}#?ip*tJ736AIk-iha*hsz zIW)oPVcAEv@v05g)_?s80by$2E|}zYb4!n@lqC0_{a4ekPsi{V4Jm2)k+c7SV23xS zCx4Op)a-SiZRGP=p@O zEkIQ?AtQK=P`%)R#Giyc9waj$#1`|mAHO))H?u%&{p+~50NpCbW!+@W z!{1QIP#B&};ugo48>aiCTTb@NMww;HfgQ)}P9G$#!UT^Mz}tv}l9NE{`<(lu?0 zG_=S_cu~po=@^xHvZd2^`PS*HU*V^g=N|)lK{;6CpyknY&8uRYBvfP5q@Um)55)e8 zs3L`Ul=Cmz)14fYU+C=z+emvQw_%l__YmCuON;$avLYlHaNPQhGGW}Kq6Gjo;e5=j z87fb#mqV*y)Cg1a3ePfR?JjwF*7={8%nm}WfL!;v7th4o%f}VcrnPB$@_MC2DT7XR*3hxitDXb^vz5MJZUOMFNv~nCNg%6x)927iX z2J%jOoe{~lDk}BukqTiL5H?5vYEGSOY zbU%Du+^YOs&m<=OO@%BH^S6g^FqoBWa+g+Y8&qhf?KYjP*t82?(=#i97PVDTQu3el z^l$!!JM)+C-)Z$jJ~=(LNkYc_&UsO~k*sJ7t5US!)T=`88OiT2YlN3IqMc zLx0-m)JC8!B;|-0cmNwkP|1o8FO?_9uE-273bkHd#MfqEgsoR<`pNhEdPH#Xmax_8 zzFj@egOHol7*(ZvfK<;fR57W31i73>AWLcgaldblr78KL{d*m;LS?3<8sX9F4tr(^ z8E;DyfD)YeLCv(w#BqE`2v950aI8M;y%YYWP<&NJP3g-s@%zi5SyxBO!h$Ywp)wH_ z#xUBTmqen-;W{giNWlN#uZY?de?c#yALdUeSG6oR$_qIgFm}@EF~ct{(@Oy<|Dg($ z1rS=z@1zyZ117{3C$ z+vw;TXKZ3@?7?SWyd9V`LpJX>K`RBpmf%98dbvWZf`H9Cy%S%#2b@P~yWR8Pfd*ZN zN^ra8jV_q6qTL+Jyu2gZ3^DJphd`-C^4Mb8FV1wMY)?*dFar=og}j1$5)1Xn&mI6+ zvv|$Mm)y58(e2WcOdTH^CkpLrhV|K8|L;`U;8a65RGn*zIj5GYe~Uj_3MpO4maS0` z^N!!%lhg64;7_hy&3wWrvH`SgPsJVT?Z*uk2yk1KhIH2s1kIbf2;?$5^V&@JJ?j~s zh8%JAG0Q0S9g(gUq(qM|3zdL3H$Q~uTbq6ne51mE&+)JJvI{khX5RzZJIr*y4e1cV_#NBQEAx?>d{;j$ziBZq2>lFf0QvpG;hG zx`gMMmtVs_>7#-ff#xIW`!I*EZ|jK*`^V^{46JFbfiR!_x2rP1!pj>r3gpV$ZiCMf zD{wD>|FqI)b07aEnEQ}=HG8)Is46MBNc$<{lH<9Waj**w1RT{#9`7%JbfRp%y~A%J z?xnM#_*kx~sha7Gjn_v-@|7uKFk!5@^fHb>q45wg68|QI9B__e3AO9&l>zSpk`^kvY)2I)2wFAHCIY4i{BKe8}QfpBh|&AtakeTcCS10 z{9UdAhOUR{8(gjTSk*EYRQBR6U1=2YkK#wf7T+b!)KSih>|xbD_lK8{kOhk0vBB2O zpq43d!#w5=8O!U~wh#aRwa|xnIDJH@?1$VS;@tB972`HZR~m>_Hduw$JZ_b*)43kauW}^M^Y#5P8)~+~ATD`ek3+f3;#QFY@$nhebK0hzr<_ zxO~s3f{uaxw0-)O8<_H$XH>){<~Os-1Pts$^YTdZg(KcZMswd`1eS6SM6%gL?EJ>h z{audQCI+A#9>MgBZ;&>C;@fR#>P4{l@;0?x5)}afqoRsigP6CCHeDi0`T;9n3k$95 zjzc*GYKFhZephsY?&m5o=i&NU`vj)6S*l}w%;q)8-lCFXiS{Ve3_QXgF#PhpZI)pb zvnHGVm_9qw0az_-Qay3MNt-L++Lo(MpN%~}^DsD@@kAHWmBjFo^>Ebc3W~drYd`lV z>1n^_0Yr`{wZRgh-^Fb>LyZi86Mf*4CuYv!;xW zJOjCY441obkOD12;ewUr4san>y!d+t^jScF77#aj1_C;zXwQ!-0!7xrYSw!U{k(9Z zC*oWp8_R@_E=_Dl(;o2tn~!tux~x2~gnylN8?=5M*#3-WlKXUlBp{4NVVIZry@hqQ ze;+^avfpNJiZ9%AO1u}a?d!9#bJfv08LwgwvM4d{pHeyvUG@UH3or>h*{YNfA>K7W zN;J)~>jiXe%aUY8ROMiR4@>*(+0rA2sET7|kH01m2iwRUIum(#=NuhL!)(@BNypY8 zF4-gMS;$P0NZmKu0ey&Y@1cak7B{*RVV6d}?u~xhh{L{q8hw6X1{~ zJEmZ!`80I_@n-Q6N$sEWT~+S63`hqEn*&+$_#WrKipXP#7mi^KInplD4RvWg@Tc2j zyx8guSn+|mdDP=ha$`2m@%*3Ij;^Z10Y4Dhm{mlM>D@nCJ)vw+~gy7jr= z^Nl}DO;`ub4;y3KqvH+_%0>ajQnzOD zlL`7z58yED5Hd|tBq%o5fFNNb+`7XKK+;)|2XP1-$6Z+Abb%A07P>UCXQFay{_I>7 z2Z9VApM?Rka19N>@zs)m_gep;SU*yC7XT}$!c&7m{zT3(qhHGStXpN97IuLkS`?_7V$ES%EA9R>_^`#oD>*WQD ztgh15zGu~jdaIK(x>BQwS%{$C^;4N^C`5-We~J4@84U2R@s&VQH|`P_5sRQY3jybV zuOzYuOrs>`tClfhC$|n0Zwz`L{3DAePot*&&#;)?tIc?MsZSo2s@lioPSZ0ky{u(} zT)ggY{bmY3`|>`oD;hTkp_+Kx*AeJIgmr{2{_>A%RMYX z0}(g-no4bd@cPby44{UF$m%a{&y`D7H+t&F_{SEVv}%%MVYF`pKUw>dd&h99L;{eC zXV>4L+UD8Os0gUQF)$`E&#qL)lb+r;y)}PpSeu0IFsX0%R<6s#{&J*8vL0B2cRlc; zFx8d%XaS5#kZ{?0VWh)48mXYH)Q7uoJZFn~5JtJ?+JqW3TVw;>jSqKQSYjTZ5qgw5)9&I7jRI%kP0LSjg5ah#&gAfAO|T69RK%Cso&U1D zW;Sjy!q9AZ@!KS_@{Zn_+*SDbSkAyabD&{8`?)#tKcQgy5E$M>+jNbu!Dh^T`*>*3 z+ZL!n2cP;el-SDfLCixcj>_+3<-;l@fZsKyVb$^L)$5(2cs-U7c0jKC-N)ADa*qXa z-r#x7bJbue)#@Z&Gh!zfl|US-@yzIj zP!7$~LztU-O1V4Fkorgc`qAE&0%f@~q5=1Z9FUpTR>aQHz1hQ~<|ZI%w)LMB0kOyB znIP~^*^Q&)rp{R)-f2FdUEE`%Svtnm<-=FgerIcuIz_=Gz5mPWN@Vzy^rqDQ1GZj~ zl&`r%8!fAcS>qjx0kvT zVq@cZI)pP1i^g7_et1CaZeYjP#^-8K&%f1TSFTeP6A8MLsM4CAg!8!EBK_x#77@p{ z$z=BMMt$q@pawSdbu(y@4QPY4Nom4}45P1sCDP{*>dl>!9p`!lFIiZ}s3t%5zi1a|^oJ3yzZGUEs!lJm-$?8SlE&iJF+<9m)Jp{C+d7 z8)te(2&yf>w3Kgpb4&*1h|esZKv#gFl-di?x$XoJvV^o@)4!nw3}B0dLo%NCVcXZ$ zwvRFwVCM0N(kk;${vBQ3F^}Ns+%*x0OQYfh_mK_gTUQ^F(ew$3l8)^6^LSNtgqHL4vM5wD@L`>dV3<7jni@g>)72tczYN%u)s@o|on zP~By?d{h_c_4lq(I*pVNm#lEhKhi+T3~N*2gJl^=F2ti2*n!GM7iD3qN1pP2-TJdo zqh5PW2aHjd{;y~cKgRyUAvucKzdi18Zul#c!Us=|j)xK$yJ2;T+S9VcMB0;wZ>ufE z0pTho^ucOQI_58H*S&Bjr`7UsLEldzNKp1bC-1nh3}vsfQ+Q+V*RXlM?}mPchBAt* z*_1>!zKtxka?-bbj_Y?yCY9*BgIjXsFIkqeBk&%qoOIc@|EeoH7nAD|H!f{ad9#Qh zj!8{2cm^5Hk3GKqZO&3(%q1Y^wr1E61n9(R#R-?I`@gcoo-hgeq~lQEF+j@J=uoV# zmA_%#S^hsiayRX=kPxIYj_UqoxUqkZN%5Ro7AyyBZyo;2U35EliEZ+Gq6A)sy4=tB zMG~;>FR^f4tyr`0bzF8B)z+(u)aZBF>`^ z%^=$m;U`aHV+3l!d4uSB3>^c53ReeLm%dF9KV(s4^UNc{)9d@39kLtcKUQH_h$xp> zXLIlfwjf{6N&occWBOkqk3+m)BYuiER~q2CHlXVTh0)`dHQ#K9Okn)CA9xKs9XN!- z0IQs2uX8&feza(s0wqg#hfKZY)FffmVw_Gh#-0uzedw^UV;6L#iE4Xzv{gLXg@)qe z<4GT@(N31nh*gwmCkO}3!YRjA*az^oQQe4tVFR=-atK%6zoUc~ebkb5kpdt&5bP4| z2J&^tl&LGAPZSRD4-5aujPtnLpat}#AaH0_aw4ALbAIS9K5ztD*N0V>_BHB&6|5$G z$->cV>mM1UEzDiB=wae|?5Hk&D{wNwJ8iI{UTIG{B&WF0$VPtq$)a=mnG!v&OWd$# z9m0K^>^O1`bO7RjF1cIy+=|ez%d1TKS6x6PB$jH$7tlCoIvJNs6NJBWekRCb}o@uE*;PETjzB*Wlrq^Hp?xL%Z^UNK0@vq9L^ zq39lVQ{3UekgNvy(kRc8tm9-PBuK+TVzc*r`B`ND;Ed?_E1d@NzTJMf7C?ZFWQ}Dq4)(cNnnS|}t3@&S1wuO6Pk^5DmYR`u)%9I05Ldx+ zfq|T!jC7Na5vu!l=K5_;=`RLfNaz1HxUi4&)^pBSdct3lpSReZkhlYyvk})PcMt!D zRIosD^piqDp2%!FAt=OQYa36S%+r{@ZBF&ovkdU}F$*3$LzJ_QRAvulgR@S;kPyrA z#wiqRl@DDK7tds@(MqJ!G>{vOBc|(VpBX_39ywl8+P0!M6gxo)d^;Ue=3Jb9! zYqvi>VAJ~fv?-_J<(GtVpVj=@b3L4@02u&OL{*BcX4H?rlmm6-??Y!X6b&2Jc?(+8 zncji5(?z-w@DYVivb`KtEtCWVZ0yL+kN%XlvAH0w-I$5NZ5EZfiXRC4c#W}7VOo2z zVs7|cgMkGo9+H1Hs}zg{=p6nTE6|av=ZKF7zl!rFjenNTN*}jo`z4of#`^C$>W>I9 zmlhCHU`}Cb)>9TGB~pm&Lw=_Qvf;5n9B_EfWOK5dkHtEgEEVSyB)ptzpOk-kV1%cq zwx_?$&xP`q*!Tt_1Tcj0@gK?3*E&i)%rcmbn~*@KAU5$vg^v+T#Hm{SCq+{sgh&Y6 zU49S%w!*>EzF_k}=|#5K#Mh-`?9ZY8RWlR+^m5d+OqV_cu9=qDcVovY*A6kI&xZNy zKb00B`SC&hcZ0L>i_NOp*KI@seWSEI7&p4T>y};#zO!KJnb18dFOO*^B{d>8aV0&V zARVh`Z!D-uF>46@DmP=FWMNOKl#6&11sZMx*4V{=nKsPcq1Uz~YT0gj9s}sW`?yZ8 z3N>MD_i}ofEK+89BR@;B;hwU4gzFodQU&NHpXO8gIR4Io0gng~_kd*#rz>)|z-va5 zXCVc1<9yw-MC(0)>LK~W!xaWLcJ*9Jv3UvC#_6yfU0^<6120Pu^RWOe$%AF-AE02{ zeP8%pz6*>k1>IojcDwpEiU1U@Okn639-aiZcPawrXU&C8KTUO@(T604JS3x`xBdG9 zQXsML&boH5oJIAvT&*aFMB${2*O-G2q~u zP#E|PbYUCAY&`mLV;`I1%2qVOly2NN(3M!4D8eYtKB-cE-<$pH9 z%R-8>Z$Z#{)I=Jt)AW;)JdN5l%5n>K6ht|`%a#=x;8O#`Cvtyhxno?z?#wPF&&Etl zPch?Nk1syC0|g_91_)nDX9PS^+KRxDq@boPurLL&H|vHPl;5BQ>Yc@34Uslo58=vk zn9-~!J1Ps;_N2F~25gRRznQn8sN+fSDpFsNo!f`(m=&70@_kZJ6aorGheaLB7!wu9 z$UGod&TV}G6G6h(6U9(U|1xqC<+ z4a$Y(ziA_25U25CSGch`I>9O$TCo13)Q>`+mNskv}4UDV^;gjf?9Hq7UOo zc_i89=YdlG*H%*{4!-V3nQmF&wUWwb;rt0ilgAhs*pWj(QV!;R1pw?1*B*)Umz?#G z*jGE$I^%bmt;;C>F0o$dZAM0II9DHc>b^FhvF@Q}Vg%f_3)}oXLKUgyQlX<6g|D-> zL=ORpqk(<0vZOo(OPKO|lk5o&1xe+sP31GfzJ|b58P-HLjd=Ga{Un*S(_}rXan;_J zlj>Ba20p2;G$Thl2yy5ewvFpDW-13I6jkN?UQBV45}-74$HfrtW0h0Ld~#^O+?N(W?QZK*p4HN@mMYAt zm8S~R%zz2&|hRe2)RHOHmJtKWM4T}a!d};+U*UAfx0T;U}<5+T${94lHwty z{;|mUk~(e^sgh|9`DP`6d5juFMe`3oSlJ#^qZOLwj&X>udx<#5nIo4R_Ti1yClkLy zvSsVO8>k3qH~IM*)%|`>v_8A_$=6<|aNN|YX&&J2!CfnjExO&~n zXklKNLS%4B_MutK+FgEpvPtdsKX-x_iuTL5aQNL1IRtSpi`(#QS-{$zrovp+Ok7Ax znq5#G;{1jMt(HNKrcc+0+$g@b>a&l?+8|QWy+w{7h?*|s2T48WaOMT6K4L{sMIlFB}*Ycxxe zXAJv8+Qp!3C8$~ZMV(cg_pKaiQ*CPJNNxwGmvu;kELrN-fp>yV7&ZZ-U*hUx-O4YK z|FSVJ?@0&LOJY~wQD{C;_%rZek4$S!Ope^GlJ72S!e+P#0Q30uf} zmesDqjB}k+AGXWxDQ{e5S*hz=8xS0}{zwL&_>w!I>KQP;k+p7Gi2CQ-1k=nsl-E=L zS(Tuu7 z2m(qfrOe71)BQfTDCbnY+oz{KCE7rkd%(4R_nB`uLZl$UK$ENd zK72uZ2(oAOcBu#t?P!bAC>$qsTog%ky0vQdj@BoAYpHY}UIuEn5M8@mq<|jC1dMo} zg6Eyvqj86WM=kEjs0sqhdbr-Fhy#iOjfXncz6Bo^w;VLAQOC}tVG5f4OZeRw} z7SLm&pTFX1Ub8$?nG+c44K?e!w$A=sq^A;;aybO1pm4wAg7jqV(d?JO_0UN>&@z=3 z;uIfOOfN-r<2Atx)h0L4a7cavCqx&_p#dK8IB($a5)M;qWE2xw5@Nr$QyKBL)%Z`o z%{78~LoE;M4eL1s^v#V<&WdWLdDz&kf$F^2G1SYbQ6!0VtY|=ycysawuSEfcy$)w+ zz}l8xioxfpqdnSMiyA)Ao}w}@5}nP_&qpUyEi%`lSP&dgvnOS1ko2S)+o7M@&*c@0 z6$1@d3Y*I}KkrJnvOh1;DDLr)6BgL_pZ>#-v1**?uNH1pQo?($FASTUjX-XCYtzqB zJwHb;|Lm@ZMTSBQcg=O=zn4LOYfIizQttm3|AQXT{}M<1uZA2hJ;VPJ01tdi?f*Vm zoj$3`8xqTF=*HWg*O&aBep4Yr1LHAG`(F#4`md=@W*+}SL1_rH? z*V*5uki;U^LtlGsRosVF@^7O{JVa&H{CN#DY6_F1vy zVVf#GY+h8>KGC^VcBo1R$(>dS_}1k?cObLh?-HKw>Fu9a31EK#zo;MvSlVo8hFyu$ zx7knr{b_~_bn$zBKT_;!u#RU%hGyN!a-Todjt%`4eZT$6+b(k;sz^!_`bGJstH-xN zc6BQ})HMI&gW4~K5v}6Ywwt-~3h5m+Y{$1V=C4wfA_vk8YaUs~)B1S#!?!4VTfXf2 zKZm3($X`A$TGLMHTU&Wr;o_=~I5lz<^F@$1xk~O5tI2#!T3dC|0ZmoV2xFQhx&0t* zo%H%`BChtOa!6T^*|v7^RYLBWhynopu9)d2PqPfOo~-2iMVSS8n@)+ItQ7lc6j(Wv zqs-o+Xt-r&Qb6%{bfNzjY}F0sc0|!U`lV^=Fi%X=&>Y@x^xJ&-FC(@%(xJ!xis2#O zXr!L~PliI!qZK;_y%2~XltbFPLJe5WGx3F@!X^L=>{zy(ezQr_u%5|3PCa@yYPN=} zgR}X6m$l_DN=Yn)XPz&nr269VDFpl_TJV3x4|YGGIQS?4GyNmZ_84;|;J&|d?jwU^ z8oa_urc6|zr4BwP2xE+?CIaVZ*%r-%X4LD|7tq~Nz*)pR$I)7dOgd0m#qL#Eav+>4 z&hTdSb`WoCqHC71Ny(i)OLvLik>-C)`n-D3SN}HV5ESccRpbl-k^+EGHu6zMHfWy? zzNBQ+E}qC-nrkuq#G<4Rqa(t%eW)C?5S10bjX-$Tw>vCLY4hsjoD&3`qEOLq-8XD` z{dep#6dE>`90Ge3RaM>6{=&}JXiY!sh-Ycn{xa7*9F}zT3#x6HRrvxy5F!pK6$^oW zWCvqi=9-KiHoWalp!&rM8ax9aYv31y_sf*Kr5gtU{*^w?PM=8JN%r%gpctO<_CDK3 zrk~3Me5a*T^ZI1U``*~DlkPZ0X7O(XTBi7fff&oE}Nd4c(CRmYuV)nFE*DixrWDj70CiLC;Vh(9zROog&*g za?Xw7{V|-Z|C8(jR9dqR_(7IrjrvRv%9u6NzkDT9gmK<;ygdZ{)7zz4FB>+1E<391 zic4j!(!7XqRrg8b(Ifl{^S^BCD=6C3D%@-*jl4Sz#23CRDowj*2=)n#eJD-60{Z>_ z=kG%V`?_uB(x!+}RqU__R(_4YX|wqHDs4t1;-zGKXN95Vsj?4q>0ttTw)yvD4d(LB z+eZ$VF4)*H_L55df;ruU;bj8F>PZSBUl+uwEZ#ut6?^j%*M3T-F%aK=P_sJFIUzAv zI$Hz29uy`!g7=VCO#elUlO=Q3vM)3JI((U_^@?sS_S&jnpjf5!ihjtOtl=`j(N53wy`-I#1#!$NJZ^ioj?5T7M?Z;o^wm%eIKw>+y z)@bK9NUAczAKg5Mu8U?(wCtB+L09U{h#xrD;}qq++h@tWI7V>;N?KKB(`R*;mxQlV z#XgNDk=J> zEqEX6IsubN|BxF^ILiR?yKSn4y_rf+T3KaBSYK2O?TLlajy`;ZgOVNbTE%Bs9vHyg zBV&9Km`U_@p8eF;;S(8w!if;jF)LKHhgx6Z2_^T;9Ju(3%2?o;`163dw%^n5nd=!h zPNbv0Gu7%%!_T*793h>>XH04FQ)gEJ_^#$z;CTADm(@w}`Rk`gLYOO^!q%S5uj!qYRugafv(t82#~e7pv7ffNpY zAFfuFIvSJ*n3NG2K?Xal3M~9H@SvEr?AjZET|7ltaLkE~z5AqHgnnLYW!eS-a2b;( zQ>s~MI01msABkv53^mfpenTKlL3AR&hX@BvN zXKmY20{P9#EBDXJa22dn4b&+fgqt73;mTK9*()fshu;S88UIz3d?z z=Fv@-lK4 zv*am#I$w+o$9)!@7EeMdHcAm;5Q(8@4ED(3!=~|^qXZR@*|6Fv4Ns68zC^zQ$>B+6pH67aRX9bo;2wqzyLzp1gJr3V)R8 znPQ;N&k5eF=d}7|o0L9;=5m8A(rKP(oHG!ikqG(f2|8Ya$4q6ahT}(RDr9Wh@MnlS z?dQyp(xz@zB9unaYblpnrSjWky-JS9Kbklaj&|5cQg!6Z%SzMdKKhlUU8w+YC4yc~v;LavKBg@tRYvLB|HFOK-X@+mY5j|Q z#FSB0pA>~py33Nt#xL5y5p5F94BNQ}wAYRgZ z)K+Ri>3HP8B>Z$E^FcX|ZalyCo1I$~;>|Cb?E~NEULvjm_}#AuYBsldqk;xHr-L^O zp=vPrzyLBFQqmKuQhfR0UUx*BJV~SB&$5QKwSNgfc#}pXBWn)qj+sZVm)}TAAMi4}icRSO5KA(}*>E%n!4T)Yp;%}`Ahf25L+f#V3pthjT=98N zWhc;mwIDwU-Cu@kFli)cpaGOJ#Wy)! z8`IV<-~pw|;icT7tLCtzlZRhq-N%u)G2q((73}H|`!@9v&E;yp8Hr!cx9lG;8M}*8 zZrmIz(kSTz!?ng|oTEqgJTW$i+PY_gEwm=yd*9l^#dmf~^V-0WQf;};#7F%*o4Wc# zd}~A6p5g~jV9F+4RRA$|9)B(ZN_vEYZ0HvGT;8n`t@@T)t!-1!n9fup!Bb-v7SQXM zGbUr^>+t^R<`~a3*tV^eub~)hd_rrUEHhT*5$tHeNCOy`UO6LRD$vi%oPje-8|TQ4 zs(9kY1GJuCrIH8!4G{}mMi~OJiDMHl7!_gbcK(DzdK5cH1fNh7`fT5?V+&H6pxz2} z*IcRHF>Kn)4;PfVIy%bZE50KoEX%Ze>^1WyUr2)-;(U#O#@%Lg7qz~9t?98^P;&!| zYe2Mi%~Trlo3*nKMO@G9gTR@o8@4a%%TisHIR3RQ`mI(OQk;X4M>+ zo)gT{C?4r|uu^9i-N>)Non|E72;A#ETgL-)Ze=&(+o*S^zdxx6?lrV8O#lzcs}LZi za##S!j%!q@{I`)Ms=YrTtv43aF-j}Y$9#=wH*6x26*&bZAZVio)m-sIwFMrQ6JxYQ>pPfPssnxRXsEQrlt{C zvikQ}w~=hwXyfA`MwEi;rh?f>wtVGr?%N*>=B3 z?TnNpZuZ)v@hfPz^}t-r%xCv+!wt&x?KM@dBX2YH^d>~tfv(P#FkJ_3q48af$#L@= zZJB(}$U23)0rGlR^#*z^|51dSL|{h3x3{Vd{pMCRdFCJH%Xh6oWwz6OJkJtPBpIDF zjIWTfx+HAyA^=1R|AL=gzF_K&9JuS75|={Lu+tK)n~bPGTK=9Q>x&P&)`rcyLdTNzn^OV2==lADlxN+)r(rsowpFk zO7{0a-|cH{TwLD?J@3|sUU@b2VD~-l4z~a|B@iJf=;RZ&Hg$n0E+|$p z%Y5is+5U{r`e68O?!D{kqO7-`JfXOE<$6op2A2->=r~y17?=6T1nL%}aC&}jTCszf z)1Rvq7!=z$8~2%bd0KYJr|VFB%*i!z^||iSJOA=M?yc!rOJ|p|h-ar>&sLpMns=P3 zs$_;PUqv&A#*;hRx9GpY0mvu&S8XFoI#W!Aa;q9Cw?e_fGabT-Vmy!ux{C4D-4Wd) zpVP=IQaZLZau2Uy%o=owlPOn8miRW$Keta3Bb1S$Y5MMB&9-}+^yU`MJ#f1S!qxkz z+&S0JdqimQ-Oh1?h$F+q!?$^A#~*`kSj5NBBHIm(swoKt+Oz7)Fur4RJM@#EikCzvB9_IFS0H@%1%{N=|&(^wN+*RvS5c83W60=v8mZ}h9 z?0}jQDk3Fjr-&)$DH3T7X-TN6dFc1h^RD&%e1E<9k(J!fTF9W* z-H5cA%;&nhzcVlG)spQ`D&Xs9=IGF+y?fm^^N!>nzj-=)|GujJxtHty=U78rNQX*az!(ppg-rec+a~ubX76{_cI9w zsjDG*O_?Z;@y3d9JeAOSFbqNF8j` zEcwC)=d^TE@{bf(iW+@QC+1ApP(HtD-kn!U*+5v?a^gIRUozv%y$Ajs#V`6x)!=Ss z*)zBEl9ASD-wyw{N-hEB2Ug$`=dXs~2RnR#p4dctR>joH*3xumV9u54PEwOl8Ev;} ze6MaU%jDpGihV>1km7suu)~1>c?i49|L#RVs_-r7_(+J1TS9F{+2HGPQ#IH1wgI{_Jkfi;s$5RxPZ%Dg04UEH}A zTlC{p;A~3hgdnd)qBHkb2eN`1vYKCw9(8pDNJDJNVK6GA{}Mwwry;;+XBI@H? z&?Hpec$vPclP_x=LTU?usM5~hITkr|p48%y4jWAvyg&T%;q}U!L`tx+`pQP!3q<@{ zHQso`im*dy=#U{dxg}gb0O+9@)DKMss~a0`6#jCGhSEpNRJ%oYjBOn0icBzostR9@js&yY)_D!c`D?c^=YBJ<5Y3N^&X)0 zc~QQg?-~JO{qBAdFbu}Y+bc7QX4Trj^CHOJExKdrQS0?oS5e@I_=!ySig(bu4A9gx zy58lPlZ6E)=(U-5l#Z<3x8MNeRvfw1+%uY3fb~v>=X{?x5u2FE=Wk7SOoofDJQp1y zjrb^a^%Z|=*h~z_F~{FdsP0IixnzS4Ow65&$8uIaS_3^%u)wy*W@OzOr%Zh9G~#RD zt`{YN0Zaw)D|W+~z(BkoeU| zsayjg=NdI@RI_dvEXr_JW73F!rYracvYY+7<)x;#zJIB{_!C7X=@?Gc=v2Uiin+x& zacnS&_9Y^;YTPk#;}~I=O@tHLu4a}RcK}^*>v}9-H=5&^w--n9`gX#+o~i-aO1B#Z zxV8(}xDNwY80~UL$2>_lm%>wJjq&s)xhmJgZ;a)aWE@tHs&2WFR_AurD?J0PJWYSS z2#6=xIo>K*02?$aVWc{B>_7B{1yH)8PnZS&Ew^kE2+bWIxag2`+Wtp~DQ&^J?3R=D z7J0R)VZFexVC~7%<87PFQOBF9gMqiQGwB|V!|VuyiN!U#SEO<2&m_V_b^r|lJkB47 zfZi)CY@QeCys7G>Q*3g@al4~opW6UMLCg9tB5A?BW~h4(RXcNR8@DV&{NrpD?=YsA z7ilGL5~tkkGEg@IS^KC9g~nV2iU?kAh)=Er{>S9|{=660YI4CbhX8UpT=vPR(!FA! zYPxo9XWO?h3bYdM>v(Y2%j7G5;xckg#hfU;1z#~;EIpxr{~8dFfqwIT3X zI7X@Qfz%W3x^79nM2Q1Y_0m=V2}Njmx>I#nZ#nBSPK#pO`$|C^bs={+=OBa~ z_|qSPKzYx}d`!><*4PV0#@!b3Ac<;EW^>7G81X%>GDfpB2mMNb>D>H6g(2q#?6D|L zs}IdfW%7`RJn81V=+>iWMu(0KX=E*vwO`3P=S>IoSW>iZes!BAfA`)wv9}kZe{YFC z-sP?K-@E85uzue9@w3Y%9a0U}msqD%RD1>f9xmA37yM%|c>uF5sRnuF&V@Oxn0 zY^rTN(3N&Zu=QE89YR*PZ+xM0)&f89Td)X*euMHZtny=;{|u>{iFR$3L%| zY}l~p&%L#lv-U#8TbnJZx>-Tq4(&0?TM(g02zH-f=j9VU3}G#MvBA#8{g5GXp0?Y1 zS_wUNM3-;*fY`F3!;ipSt1!vPDe0+g$a|NF?2+e-mMjR$1T>(}SbT-%?U+oYBQxMR zmlrG+%TMNOe~}dY*nf5v?S0Sf=UI=8dX%>p^742= znpoR@K@=MRFDCB};Qu2Gcv=`F%;$PKtl-d9 zf1EI#lbgIe95l@P9`ME*^kG-Swm>?pu=pzH$(1Wf%TGt>-&A7a(RLSrDiZgpd%_&P zGEb`A8e%sv%@b6cpiM=}VW$DTpMiR&0BN)ND0T5plDgdd?vLp!)}{T=0`nky;ZoqG z?|@z2Jjg#R!M(ECRkMQo*s((Sxt^#)^UErx4{D^K9bVs$Q;hbnx4~axEnnQ?vz$>glXQ)~ zm+=UkOn8Gxj1A@qVaN+Wr{7CGDbb>P>BrYH$3!P>+_Djxa->0kIOJ^aPfuiJ*4Cg7N$KD!YCg~RmuFWudwWUGqG|~BLeVtxZ5Q$7j=c@a0tI6wS#S@f zqx!K&rTjnekHXMtN^8{&kFCLTE`B{h1Kmih=d@u^<4f->2BkbmG+dzGZl}K)-$k-U*#dGMaC`_GhTQ#13 z>PexZI>q-|w_IjjI&TK*of^Tj#blY?{?oPS0N%eYVR+`CXmJAN8-Rz!9dZmNMy3v; zW8zbU(x=JNcbRr+It>S{1!|h_IHyVnTsx(g?t!WN)pe?WDcq&#vY{>UxFjJZg+u&ih|uO`J1T)l?$9Y1PccksPvza+F8@P~C8*c?V#Q6Wy2m zh6O$V^R`(-et#v`5C>FH92JT(+N4u$_!aqc;X9}jT!;XIw~r~7+d3<*ckpS;RrI$& zm8)s0J7SZ5iN^l;fYCQu@?vHMcjAWJY)5N*;*rhP$C6M@)>cXBAjTHl z_qNEmnUaV*sc{&?Hs22kC>@n5TiT)od1}#THtYJ{sqZ9y3gM3l zxk**jynp~Ew=prD?eJvZyHO%^lqRHdr5s_Ub{ zq^-HXxZ(RIyr{3RTLGY}b>Dowq9Rx^RqhI~$JwI2RW7rvgg!@vQXK21Q2Iv6GBd6n zL{I9Ny^=%yu@--1*jd-oCRw6OK^wnvFH%9uHx=cP_W>-F$#%aBOG+y>#M7K=#-K#W z@FShP6^vq+^82L{ESyRkL!IA-1M$6M+==!rbedD=I9?z9*UAYgs_Tomr^48-3294M z9m_xGfp6;B*LTd*0MYe?aw=mQkuM9ZBb|7Qe8%_Sw1X*Uo)#;%a&ZrhO@U~^XSmui z3X{ADG!C*nO-b{0e(%_Z$||_$nSAOELhPqrPKf28^xZ=9=#-R8hg3w|Hg44eJyp7c zz;&QLtC(X%SAWfvb1v^#=*GdNxwCj^b{%CwFci44DF{-pP7&x6QQwKbrXO!YWwZO} zz74H*Kq0$_Wlzt3;wAjqKGd8Pnvu1ei#|s12i?-xZBeAvZ7(Rx?c-4qn|;lL?+@OY zR2vpt>5}+9pMl^;gti)b&t9mw*i2Azji8E!`p^jg(4B!+>;2h=71JNOyM*4FZyabT>l}{SJPg z=ZEKScrUEQTAwwG^_hFmJ!hZ2_leL{SHQ(0#{vKVxJrt0+5iA5H2{Fji~&SEIX6`r zMEn6-s3^z*9-n@)+6v+U09t^Oob+qYv;$v{G|Jw@$A)4XYZvuzf^6Fy!HmRu1{hJk z{d1Bu>K=VIJPJ<0OsKPgamA(a%sdc)CWS;SEn|SOS-LpAC2ZUw*<8|6 z+|q3Gs^uH3s68{bR!nE{Vy{h4_wBLnv=1sEDD?lXJ|;lh1n&6%UGNU= zcnmu3|5?#0!2hp57H+f)w6!&|Q$5g&bo`a>oR^yY-}>Ee26%Y*Y${{ybDQW8iP-Tz zU0lRgHSU>fUa#O=;^g1Q^ZGyYC-8rE)g;W{1?o)JwN4}=AOQFes`3DsQ7}qBClC-= zB=Yklt7b`G^ZSwe>mngZt}1tLlMs4T3P}Xj@HLuQ6tthGQ2!P_8wn#yT{z@Z)Gw z4M^nEL%Hu`8A<1kfd=qmz8+m0hwLOD8-U607sOw3RHk_t^D1X}N9_G;*=`DFX> zS9TwZ-REo7w#cVUz{!CM4RP*^m;7ug^Ovn+;Nap7FIuVX40qOT{)gtj_YhH+cO+94 zlzxa8VP)SM=SlH3eQ8ULk!{{>pCzwe-b0p)wC4WMj=GoT4@F zrpV0ioL@(ks-D*U(2OlS@h3cgl*`rqDvNH_;`Z}z9^tl6%ZS{zKd4U^{}C8n=g$|utAA^<{^gIDCM3^t?&8QI zC9s*VAb+Tf(y8l!|leke5WPSom>v00GHw@h-x`2T9chYKbfe!Ft0MNOH@uHc>89= zORX0@yub*gQ9jzsyJ?3K&I44EAvf`Dh5*O?Gee81d*x5b6Iv4E1ma4oqf&SW~EZn-|w9;q(zVi{! z&XbwnP>V)B&ba_se!SKEnh}#Nf6Y2J*wt8V@vc8-AnKJg=J?EFP)vsKXnpOPrwjiK zjaWc#wAw&qFHb`=^5>WDS+V%}gfV@%Fu&%-;)6%q%Faj4$e)%T^887b^R%pZJ!a=i zPZ^7f$XAJ2<PhC@G3hxbu3{Y!(?|O9G_AKjoYm>*s-T>`1CAn{| z-d()Lo<)#SGNKFZT3^(amie4r-pV1Sc>*Bd?rzba;-c@ffeOAq7#`5jfKtt>_WVS$ za_>-PEOGLUkbEhCSml(p>(a6)948D<-y4JjEXP}0>e2iSpbOYmfgcc00Re?0!_e>? zWDaueAOWavB@2)jZ=}wB)7^L2emRD0=i__sY&Y^j}WCKI0zs=hj3ItO*RFtZY2Iaa`aBPC5 z0cbR*_n+ud=Ujqt@@Fa%sx8F9C?qjWKDMmqtJ@60p`F-hZg$}}PD94N!d+M5H(%|N zWh#Oz98o&su+N*P{U>r{$r- zE-A{ftB$?5$^YC(7TeJlnhtIb@xD(obe8D0^V|;T5eRm6kfe*ok9*Z=1m(AcNmA@J zNun9NIA67X>uh;E;LVjsz|=k|!$jXG6Iw10pgR**?|q zmK4jt`wRzq)Mj0glxPipPH$FY&8Amg@&GN~%be&WP%`>6~y zQ1p3YW}F|PAmjkW7yQ_F?0yI>+InuJvxJ{OkOV9ZVGHm;Vjqeuv_jjGJG8lUc6V%w z1rj1J@KRr7cDrra%*v4YPC<%$kjyg9;=?RRPhW9Miq&?QT*vr+n}$b| z$wPkjo-I3$vf4rmhjXMqXkRE-l$(^~(%6T2;O$HNWS+xzn=8z2UAEC1B{-LbcG`QCidEk%WjZ4<>@K+srrrlT4 z_NsEw{xCV{z529xfywrjf4j}F!W>YGl+L&Nw->#E2&H~#cSOGRLY)OJnAcpufgCJ1 z81jmT`GOnT#$T|8P9bQyKgfSHT2a>6nSsE5`)UT%Gu$aWENmIhVMc+Xt)uAKKyKVe zBIM{wQMfRE>KHQ9=J#Z}YApK#fmzlEK}M0|$Wt5#n}KE0Ro_P=3z3wuhPw6ROkXk; z;Ot5Xe}%j|M?SMVrZnJgdcgeY?!kZe*?YP#x2UHuqK9xSNNSvt5kB<}(Zl%vaImz* z7fu;g`e1r%IRbwAaBY-OmNWU_=1t!Bv@%7t3?B*JbHiWUt*(6(9erFvHAhZP&mZ-Y z@ta`7&goLa!wMn~CnKbxakgH&VCX-Iz|7V9krMGRX8`{L*V6YmU<6t6u*y$mg_F(P zzA1OZCu#rn?s%byu4nT~LUw^u%=AXg(F+t#tE2lOEE32_-gQAxXhn4%rKd0BMXRwY z@Y8R-BxqJeIxyw+-MM)DPj#X}I#n8aT$$4K-!_JZ&i!9XP6jb^Pmm5>8*Np|#__L4 z(<0Gl?0ZICw#^ClUOnO?uNF`tSAPrl<gvuF(~re$a9zadkntiw6~#TUY`v&S}iC-Aa^@U5heIMRi-c6jm3x_n_Orf z8f_#2%cgR@Z(BQzcV|94A*~${KDnMm>zDpTn|;_?m#jM(z&h1y3J~CiD&)|IRDyBI zz*Crb(q{VR`GfU{)0HR3K}f4d*KlcW#Yq0T3k5+&B;SHM-cR$O`7s2z@T;|>V6FPr zMi#vCPK6(kRl;&4zWkdA-4bUR;)W8*$3JfQL*3`7(fV0Vs8r7?^c#{|5Bgau6cs0- zQR`M00=pq&=&Js3Pz*i^=U%AxTslALU)7Q_caMJva?RZ`fFvR5-a6!@$_$rAQWvaHKAO7oQ$ufb0qs61!P{gf4o(nQK zMt6N7<2d40V~&$e^3;oNLD6jCm`EsNhINJzq*9L zj7#0y{LCl2zr#4$krPWxZC;ow?aIEisdM*Ob>S}U{*@cH9>frOL)In(GSv5f%KDC_ zCb2*6AgX(&$jSAkB%&-a90~mSPfd59Rj|9btubD&uj+}lI?Q2Q}3D|pC??utW-6nwH5*znIoXCM{Prj0&1OOJH zi76%iVyE}~L6Dh2CFvD%Ix|$E5S&c)8ee#MCiLy&9TxZ{BJtojiI#d^5%^VGiGfAT zISgA`LOfAM%Hp3D7&uS*Z2bt(-UGGfzo3@!*w31J*`*ra5)pouMu%#;=`6n>8cvmo z8nZh1)BTyyWq_~T!ui6j^Ss$-CUo-JjaT7r^Gv_OSK@>k%pKI2z!G+)8WaoHu;`Z* zu(301GgT$t9DAS{m# zVd=OjdQGLJuC)-q`XP`d{7$99!dh1ZENUIB0n{UBh{QU%-i<%uuCVXqb~FrZt#uXS z!i0$8iznthl@aH;Y^tY=n)BLSToZtDb_P2+$<-;}@AbepzsSx7&>nohCIyeuxjuvZ zRWjjuu}9!elX$H1#qp|34pMY9-Qec2KIe<>n8&4&5Ld z8F^9S?cZ@yH`Fsi{R}=Da{(^(=S6$_t}5&fK7Ljf1<)BP_)?$<$iyfMvh`m6FiUyi zazPn@;r8F<5jrzerPkakfle6k`Rakr0ydG{7|CfyL26SK+IiB!%ioS$0$k*|`@J$p zm@uR49$P6#!Xn2MmKGvMY>6{d#JLhi6MJeSks9rK2o^5y*zRt_z6UCQR*?`@kV+%_ zFZp9ptxK;Lhg~%uJWgLIEg#(OEHXs5%f{NR)o<&qW!S~bq~mEgE(RQPPpPAmoK8-_ zM%2EXEIb6k=juHY&XPc9=1^W=U3msj%huBI$0c-i%a?Z6mq#3`*oQ<}F& zkui89Ap=YvIMS04kOMYSzpLJfyfT|S;m<-%9`7Jd`OPY){{k5kaRf~5U>{LLO%B20 zo>vc3NE(&ZEl-uv*nqxA>gdbbAqqy0TK)Kef?P~YT1xY4T+G^tt5|+m>go8iB84}! zqql15#sG{{{t3;r6g*@L8=(0&oYos!_XVWMn56EC4?JP$t5`vt`-l#KvVDBx=f!5) zGkp%S;CUo7OuUx@s~>9eSHma-Q7|O0VT*35QiCrMk>Kwz={f;E#bM!vN-0@~y5`9o z=&2v;M$wGMPgiWzU?0H=`ttSB*W2cmqF4u~vZYsgm;@v}K0vDz4a3(Sk!^|)WS}Xrg}6;{r6K*vo$jLk3Vp%=B-Jx;nQfSUq$J-UpARO z@$2n>*bV`gaf>~No@NI*o$Z*1YeqJv&#Gae_5q0U<8xw=+p`?SDcm- z{gQZ=>j+KIgGdg(VZ17w2L1)q&fWzBLf>(~#BLX)1P)u~zwTq?AYFkwh>X4&NJ~+X zyEmx>4GJ(C@DLP~$<2lSv}LvGz34(YA5^(bCX`n9#wjyi1Q(!uoa;?_>dRCQ3fx6o zomL3^n(fguTK$lQs%t21LKxZO@Od)97_6gp^{WAO6wa+hWF%f9W;nu2Q&C7FbB&*u za5f4GpzrdnmZgvMP*D+}rsadsYT2ZXRz^H-&@;*pA7AO3P*WT6U~2`5o-leYU(X~#i3q47bF)I1?b;D&=jcm)NS4aEKV#2|9*Q(*ZH?#Y&GexhlQDRyEd58=B=St zLP`LBOj?AgpVJHQwInw(hCBFf^1#k>n!;7QUS1H7Rmnidv1 zlpY9bQ^q4sRj}^B2ioC8?kcMFrClWobh?!%qZ>(naZGa&;myV)!Sxq$p=MI$WKY!y zA}IAf#-LAF+0ufJzoq=x=pWs$VLm*_FFZXFS%2gJ_-Zgh}Ux1M;sncl=16p9wgJBZV|wm3M3_g@OP*;wmeCpM5&`^ zs>K3#)u(54^-o#bc^%dTGJUfKY1kVl94RUK1h>N3If%#==9jf2b}E4C43p*v@K1`q zpcVB72>}l8jnHU<3DRue_bsx|tb9Mot^7D3wY}qM(~3TJ46yNK=UaNJb}#ff6Y{fzoiR|e}>a?L%G#+&-MRe-}0Y#FUEn?Gji zVy)_84e9mZ!)nvEHf$Pdu^c@;j-?N_J&x`N-Ss*)iJQhJ!s-pRtFFW5tq>T`Q{vBE ze`WDTw^u$>1)lmF>U4X?{(F2WAn@FE&JOr+w)R;54=bPJK5;3~8d|zG{Y+vj>nv9O z+@wRV$1Ymp_2DV8$+q6=Y!t2d%r6@E$5`T3ACZ6?)=&u<#~_hMX)9GwaqCy)g`LxU z-{S6?=v`sX632IF8WyaiiAN?KaJ?HKw4!p&$SGFKIh# z;9CA}TB)WWf0ii%nYW)0?Zd-ftXDZ{*1i62E$4JrXs*c5dSp@s>}a-&7~3`D3#BPt z4&*i#LKES=_Vf(a-pK1U<0HZ^M?5qYLQCMw9L=0>rAiWTny*2I1ck00;uW#nU1edz-*<3-V&bKat%+qoKH(K$T>uqRv5 zTOzqdu&BRQ66$r{IkBD7t(GFUU`~(|tx;Ys`vAbG9N>SW-Srf9h@(~-^!i+!M@k4&_$Q%n_$c#JI1naWd8wd8oaj1;2EzEudiDGW|!7ol1#O3zU1qqxf|{xksx+ zYB9+-9{#|DFJQBuR~&Zn&dY9S^k2o4RC+ z)Z032(Mc;ax%GD1=kG%V<=i|{L>@63REg~*hy=9bu)m&^)cyJ>WGyT3R1fFpa5toJ2g6r=J&+SCcKq7i!0cw8>oHO3F&&*PM^R+ zk&{Lc^m+c43vnei)8bZ*NCE!2q(3Sv%9lKT$)VB__mihOl~$1@dbN%jR*No9M9#)i z_m4RkF^W(LbvO5Wbq7#gk5Uo|Ll|2rO_k3LgVEU`f3n z4TIgnBHlYEc1PcY#^Bve7P|>AEqr^2%|(MF!_$!eEHhP9y7~FH1stisu#icKhK+%rKj8O9aQILV7HvLTP~my9xr0jCsCmCv~E|U z!O~xEi~6%;wZl(&uAaGEuK`+g@g+bZvruJ*ajxHJsA9@%~0#&JM+yI zLpY6%;X8lg3I~1x0aFbgomr@4aTx88#h1dfGch(x^Oyu{Uw&uHq@{$9@5rA$)oF%E zY}q1B8J^Zgg1;K+(7P_(tiS^e!3GO`EpyvF8Duj=2P#M@7V(%Qsr??hbanyK)edg0 zt?j(3$>ojW#69odj^l}*T>2o(fbdLhd$vuzNv>2gqnxdn%mC!`V%}5=1r0pmBxVT#PsOqE z+T(MdhNDq%P880V@YUJ-LFDeM9tL){9d#!5mg8?L5uLPCrsWgp*r1)@z-Rg_s%biJ zkRSw+!u(Z-=VXwNcdSM@5BB{>CWx4d5vp4e`yjN|f^ALzOVQp-wD~7SZ%WD&nMoJo za7mF-ZTM&~`y{WO{wJ@!;zoXJch1>(wwgim0k+aT2Qqn$A@r%$Py@BIaE%^8i)h^V zeYE2RtQfsbJu@0#{Iq89hkbauqInFqPuV_`r>VeqxFavNc)9}4git+HSLO90_K(q5 z2tm;ToPJhej=?`*qB1d#?`Xu}Gm_MDS!=qc0ZN=d7qa>d(p_L`w5C0I34v%CHp$k)` znb}KaK7MD!O`kr#{lcf1Hps?B@bn%F`1je1Zv#bWB2L@o18$@O@|a{vnbj z8ANUpXzf4nsnYh^KR0O2!5F>t>rSdj{h?^$MgG5DfKxF9Ko-B*st4Qmw+84o_N{XO zjJBbAdgZ+y?`>{y47gnfDmyq71rM0yq`iSLLR4z-h2MSF5Zj-Uk)KVx$<+mz+NqsN zi!g;QX!dTw76b@Agc;J2ol9gj_uyaa%arlGJfjEyg4aDmBDSK!AhxAI?KEA^Thanz zfQe|UDUTq|FCW}ajHWgU9Q+@dEPJ~cKyTlqo%$^1N0_$O)r|eE*&5d}l5`~qCC;Dm z=u1jm33L3|yR1f@Tk+-zxVPf}*XOFn?iOQtCUGF@v<0G5YxJ3aH`UOfqIZB9-WK#e zZ)d%TNCX2T$Gr)23w63?sPyp#(`B4>r~~_U>NyTtKz3G~14#JqeCXq%2P_93nToR? zo8HqcJze->pr#rJ5YEqh)&)?;q`!3dF$h{4IbY;LXg&QXh!Bn^dbN%M4TJA+QX2&! z?gqsELiFxvi-I6;YSevoDDe1(siigQZ_$P4CFV(^B)-`;?%;qOd^iQ6-?&S?Wd9)E zdBD)lVMpGIXX21JC^jZ;n@WT{85f`eRa4kmu5uUX4R&W^!` z7h`T8l1H+lVASf_`NKO{@b*N=%0Y{A< z9`%#7l(4LV3S1Eqb6?Ng&i}4t=W55>yyjf%>dw$l?P4K0^W+j~to|<}XzeqX)(Dul zorrgeUP@NvPni4>sbka07tu^J@hIPljE&nE=3E~w`}1x~UW{7!!F)(qu8@u^j>H=G z1h&c*cwJ?RZ1&NP@L{zn5s>8yRwdI6bsR~F5i`|~W=Z09(jGCGUC}XB^@Cr1?t7x% z7;+W-pJ@z}QKDm6zA==i|J2Qao|p(68}iY>pba%&2R3159K~KwYGS#;_V4Ivm}w^g zaoW0{TzUkqTOM8~Ra?@MfkCb07iZExM+ePBoG~!mGbLjS8d~FPsX?kd){Ie*F*8GT zscs{ic+JttOyF)%|94Nb!&l-wpP7|w`0{SVyuD%L6mL(s&&q?OIayLzOI)Fy2sBT> z7|v?x%4bb>7t5U8B<-#p<1#N+b?7f)w5B*K;^ETe?;hVtYg2V9Sm`t zeU`E-ZJ8+0vzaCFI~JSpohMx>KjhSMiKYee`10C(>?}`J8pXX;IS^a+|7_TH#67y( z*_Doe)!GV- zZw}$f;D;&~DIL@+kK(ozXadvhKh%^b{|ujvIR}@^64Ymkg!PS%j)Pv9Z1h+RsC>z8 z&xN{)v-Xw$V=@y1{$n!b87B4^6JMLL!3G{?>;OJV83b~)2a3GbneQt}%iTLAehXKr zbLe*v-Dc7q7^aNWMmsZ)(<0+`21hgwnrYI@k96ptC*u(A88R+NMW;H5y|Ch=$xpw^ zAn2@p-btve^&>Har93lMETe(`xFijKeOTG4If{@T4Zj~p$&1`Ac*360i=7g z@maYoG;2Q!R?xixMCaZHO2!Q}@pg573=1%duxl1jeFaIOO7;z86e$RqZ6R? zh}!e$^mOf+tahL!PHIeMUw7B^i#ENC)F7Q-Wrd@|b$eAE9TP}u|HSrxQv0v;^sl8M zDpg_@#|!zdn<{&4In_5kaAnfdZD2nn#J&;}2OIV=sO-Y($$1%A2Q!!TwIu0>&7|A~ zsox6Hc(hkyX}uTz;)gf-wqbA~{c|j+Lq`lz)lMDi!*QUULR+dHd+%+JJBQKn#dfKK zeiZBXvtVvrr+c<*RMDW$P;16=+8~6Uh1T(U)D!dFO?@3~5UBX<6K`3LWWMfs|J=+> zr~B2|Xmsuvmn! zRuj%o6n~Rs@sPy^a)0G7Ea`XbtS^rfc+8m`A{3k16D#DX;G#zgpp~##x%|NVB=}=s zcXrjj%%zY8_v-I~{3 zRVp2Xe~7jO8+1{Z02dxm~UoJ5UPy~ zbsxG+u4kALu?O_vpm^=tAshqJ3L2D)&XaS4+rRh^L_r^ID(x-`5q)EnhB_#kf&@>a z{~Ff)UcC9|8^uI6tRS6}%XdfBXG7z+X}i}Z#<6d=2t}PW1TjrTkDJe-+glEkpHOZ3 zr)C2JVJ5Pc73t>@Fb60ahur&^u)uQx0i`NkZ=vP)Psre$>YtIJ%5%Z#fU!Q^Tl(gx zis=k;-P_Upi8JQqOR>zBb?4Xf3UAWaSMLfv$GtS<i8ye+%clF|)%nv0*5M)IoSc>~8YEwq4icAa5H5(5 zsZ+GZ54|Pe{-8g|p6>A?K<4nC43aNO>ZvaF_MXQw@zv@6ZdkOcG;c(7O5y=#-$xg@ z7i47M7o^YF*sW)?AwUd?3+eD-Sz4NrVutY0fv~Zi1`UHWJG)+l=rTG=44f{LpK@E}9xd_?)X?#hl5 zGZ(mlh{VFvR!!+VS*w9Y2vekE=1*Ua$eMeBa&ygB=lOePG5Sem0j#*_Ft1>?Mz@$4 z1r<2zvs&f+aa|J-Oczeq!~^gIqX(VC*3Q{mVn2~?v^U@UOvC&9r;SGPwv&FNAn)y` zl^Ctn;4q@x?u<7WbTQDc{hell_PTvU!+Pxf39EX6o>Vh~#F4yK@{RXi`^9?6XRGBT zB`2^oufowWw;p;qFRd#zpM+|EmhkP*$3#aXd%k=I;}<>-iDqihC+uHF&Zxd1X2Uxk*Nq2XXE|F}i6M;ZT zuIG$2bq~$TkH6PmJJsjmc6iwc3DQVLXD&5@yzI0zUm@)sEqM`vnjtWz7IYlNSh=?4 z8!73us2^)hUosti(6NDfD!|I{v%|wbF74DcC2Rly6rtvL5cR{~z7SOmPr|o2Ggr=R zJLHe>=?(NZeBS;REiD9~R;@o$xpf~xVb^aW7UuQe!m zaM-yz;eJe`dfR{JwU(D+5R|26c6l4sW1R~PnBR*MM_KyW&tk?YDwO~Tfd{1M-R37^ zymIzkB^i!>pt(Aau3i}}F3hRxj($zNu_V`DSa=%2`K@yAV8}`B~AV z@bpw*^QHScIflZa;oib;79(XvQqtbdhYP18bM9u7!u(p_6GLb?%X%f-8_A`f+QX!o zmAJgR!l7Tz{e`*Qa5wej4S3vbA`iZ>saG0S3^b(ZePw^)PV4Jfe1fZ+*sIbXOrHaj z&1u)^DePg=z7e{21^vlQg<(m~JnP)#;>edS7#SDc})4UOg^o{Js?-x@tV^%0oOJ zI1qlTU_y(9A~=D0*%u=F&qROY)+=nLvvWbq6^!F20KgLkCAoC|9KknnJjXAWPGK3( zB1s;1D99-)*9inx=Qw0GF26Fe1ZUwXyvY_ISg4Q#BM&KIWX|xv#+uk+Z&==xUXBrZ zxgPP$ab3z7k#WiIJv2|=Q7J0yUQ$j23 z?4}w+yRe>%vvjYiENM~PV-EzK;t7u~^F*|s2+{@m^C1sptQ~xJE>P=sl~rCw<(MuS zwES^7ByxfBZoQ6(x3;pgKVDcbaeHfJm8q`LM&4o@y2xGLXQ}c*D=yWN>hjNV!3a!F2axX{TKeP&he?a5Sy#EFSl>Xo^}O3wALzm~4W?5S?$PX7K2Gq|ovs%cv zke(>7E3to(8McSjdy5&xE8c$1N%`S@cf$u8HVr)^OsAmr4<)nfVs-qy#Xkv1_vsaD z>Hd;_>BGENhA8}a=^fi0g+t6NOv2cuelql|cnF z_PP>pIb9MP`UM+_xxj%x1!R*^V3QCX@crmWbDl~_PDvL3!VK(XHQ9@-9GU4yyk^V=#@qRRawFl+v zt!_0W-1!>m^YY7(ov)~`(E>uWCI$1D-7T5i|9f}$Num&8H5P9E_Wt! z=lWMnpRchmLa6%|Z@Z?hgktPaGMpj|A1_fyR)OVmdRi%kqh~5$Bo5yx>jC-6Yk@b_ zVn^U&$-5xo%ZCt{(Le#1I=?+Q4GiblpNUaD_#iU-yKCe@y3KT=w(3te^;Ft%&mzlM z#>`Ir`9?}P@Dl^D@(fbo1Iep^Qa~~k3wvc0-~iJrKig|Hdh)A!FcY4wa^23$dpXok zYRDOQrO&MiUya_IiKkcFjMny7PAgY{RW)Mh#~_<|NRMfQ{a!s_PM4e_5gzattPnCX zkoIeTlqA+XW+a|Eaq-4tES6M5CYvPTnE#x(9RFPx$&LoA@gB7;)Xz95H9EV}jSU~> zu_cH9)TNWZhROW-(%ooR7KtNP`NLHxndk2GaPiNl(}aJb$CC+x%4XDZ$e+)(uWaBR zNEpp;T~I(&9PHEo1L?@27!ahr?e2unpAP?s`sQmL_pjtYI}(SlLn70Ow16Yyx|xXU zmBf5@%luZ2$MI0kfKxR8&t8YP;*CytYf5b**IWnH(-@>JKW77Jx@pH zknP;ix+23@W&>eoh~i)oyfDmo8zvuw9!YGN%!XUw{aMUwLH=1EItHsgEAG&wnJ2DW zn1(|&3;HBAcYeeAbq2~BvkN6l^=KLI`yXA^$aJ%eedtzlOWu;u*JGmr{jYnvwZs%9Tje7%W!fa80_a-m=+@-Xuj#AAij*% z%`~&Ud^{h!jZfLV97P@DW226URp5`7=F0nXk-NP^W6zULx&<*8HjFC%5DecW0y9VB z(--`o-PaNLa=2v_LcKDHu!?}lzup=LYad9M#-h(Uc5683hX*sbbA_kQnYOjQvU%>Z@-JT35$y~xT)_+3>+Dc~D0_IadWUhzZi?-*! zZGYm6zpme82URW`EO@(FwcR=%A9U5+F3UEXudJ^t(6Mla+AJ-F++5tKMK*ngpd|3J5cClLP>@Ce79!!Q@;tk#fn}FQho2OthTYcO4u~ zRdQ4PW6R#?234R5T$HO{&Cda_o~;JN;07+$+91l9L+LZf9R`clPm63SWdq*OtzGM1WAYD(BS`a{tX7aD$ZV23y|U13cRq#*1wBTFL1on*`)p}i4= z;$ex@|kGoj#A`Yg%j^zI2{vs8&@tq33Foj9Y5N)6y##pU)=Xd3Ich@IB zprTSU`6lxInReSy`>%!0$j7^R3iwWAT-zs6fWI`WL#8OTso$pClek1e99Ps&i!v_B zLLu4iC#_v1c>F|W7-)YP62G1g@tl`>dFawFw_?<@O!|O#y`@_9$o`-nF$&G{>Zwg9 zMNmkUw;!qAe<&u_09wv{4S4d(P1RlazW)^)O_6vi<}*6Yp#0*K#nCR!)!1=fZ12w} z_ZDHyuuT<$+}4mTySHDZ5Zd$P^Vy4_Pxx|C+!4Ra0~D`1In*qGiXkkR=eXEXn9_O+ za){psyCG~J>%8ciq4k}})OI2QiTt-SBtpN}F%!san43eYv*kmc93bMg=GaTZe%jvd z?ruy+DPXdzGLx{N3$@WJU^c=XtEEi%5+^S=7{EHBxjf7{q?$C*=XKIHZvclCn*Z^; z;V4I#P)V9Z5c>!bQNWHm;7$JKPWbvc3A$M-Z(mtU?2`eeWv+;P5|M2<@2& zHn8RuPFD1@AvYjR(OwfZs&RZSA_$kX67naja~^wQ5>c0*J+^K8k2!rfI_UmBX_FAJ zCwJpzX9sVfYB^j#bx?ZiA!H)yO-Vy8A^RNJ^Y@isqofJjf`IV8$QV&&G&Gjk2=L)7_N?#`Nz-%gZ}s__t*;b?B@?@E-US z6>0=eO)(|ImoM6Wj<>rm$#0E!n}+(gn@w<7|8=|IfPdaIs3Q>5N3*C@H~fyB$$t>O zXw+MCdEx~8pw@F%`q^>u6{W*R99Pi;QN7qFniCYQe^qsOQ}!~y@|JVLX`b#l#-;i0 z?eTmRAZu#TH=3U)S0&PUdE~=MXLIyJJiaaoz@NMQlnsk-f>77!;WK0x*{9)8i1bv; zCrTs11bEWgG+I+3+kkX}wrbhVF~l?@J~}7LbwLH4_HOCX_^>tE+GTEU9AG_(Ul z22;bE{x`-+^>rR&$rA@v=Uu42tn&cmuUYZJJzKIoCW#OyaP~~oZF%=K^SF~+-%ly0 zqdCGXQ6YTV(+D)+_i?-x%kaOtJvsww{aNjQe-kMvy~+RSLjok(Fz;;%gS5Jz_aJ6e zwtnK``bm;j(1>ig(7E^de6uvlP^W^+U#Es zOA|FFi$3`cB;3xNu9uCEbwW;KwMsk~RTkc;QM&QG3D!0%Csx=_{n&%)kd-1q%;fb( zXFK?|u}vDCt%FR%NN~#)KH` z>Hl&}t-RYt`+940#bs7i@md0Cb~dQfxIaHg5KHr^DcDNz_dlUT{6C?jr{!iN0IkT% zh*|Ws6zkItDhd$<0G3UH#Xqkfl<}{qFo~_PFAGo)li1!n`7_Zp5GB_Ms=1s=_r5=@ zeExPu%hihFBlc(qV(`R&g*M>A1p(w1d=D9)vPe6RC6={k8a^U6owCYJJ~0cpxj(rg zQAS>@iP^7iqfGp^cNF_vX^VFnyl@&!fKW2NTX|x2fs7T1h}fOdu!eBu{?Y-u9iPL+ zLh@X;s2Ozhv8UR}S9hSpEOO+q7rmIzm8Lf>sFVqgdN3V=2Ex|4G%qO{X2bgj^BC3^ zAg8W86>;Urc|C&jJ<^N`*a7F^-)x>A{i-GuI@D)3dDep&ME21BCt7?d?wx~5j~dYw zb&o|_nui{7`e~oWopJ+&sNAWhTkEKT=+Ph zWA$x8Lv~VpgPRGf{e)RePuJ z59XYh4(xQ@7s>t&N=|BXzd`B^^*Kc~!_Bia{`VIb$<~<(gtvNc1S0!l)YBY4C^%a? zeQiN;!&U#GP{oO$oSN58f?EdA_qff%eaWTC9owA6J#i-(qq%^gX@yKBk_U5P9jO9> zQ@gMyditpW|20&-`>ivB;c9W^wA^7B$sj({o2{1z6r95+M1j6f@mo@i;?rxY7Tk;` z8UWiT7Z_~KaN$gwZ!}DIbTDkAKWr^U&P53^oE&o|CjcixOcY^B>UW?uL{aR%YgT+t zsQfQ8rc$+>^h=;?dnZ}GRw8T{Ju@6ckW3~bo~Sj&Y+tuTnAZ2sbBdqlgvWPepBmCW z5};)#)X|~$BtM^^K$eQyRFEbm?rQdHx}Hu*nm*35aRa7ZO}_c3$B7<9ZzWwp1&#^2 zASK8nW->?-o}CYMnCv;p|NirAmvKRC3Z{d<)AX zn`K?LKRD=7Ii~KrA{~7*^;hV-wvsgvk%gH22s#nsav{|_G|&QMP2lK zweB942;wUszz-^g(beA{+qZ#a1)u)gQpr8|krZur_o+?P7j85hy7`7RT35GPhZw+_ zqtG3zhjvMtG&)BrDqr$j(9X>9vxS-I%0mO^2W__yB$=mAoXuH5>Bx zgU|M!!8+%KPU1JQi4;}ro&0P!G>u>&R3>+>%aeQM^eG7an6|q2w!Vb4l6$^md{R;i z?*>Wrv*Gkb1H^D)?)_3Dnga#O9)?}eRf^nZgl(ZZ8sjeowrZ>ck3eJy3(>Q;NN@J! zuSK^1)bjhOZdSclUvE#*Ihosjvo;_3fG}~=Pm|a%x7TgqLY(`E-^u5K+mLWPG*VH6 zG?SyU`vvlnQOXt<2O?iN@Begm_fg9PJERNXA%=R`Jt32C;Zr@l-%t)UWf`cwE%^}@ zt=~V512HOboZ6=NfzE`m=*0lS5AX&d`s1yO^ATvxF2$(zYpmAjaQGp{M1ONx(^pk( zZrI(8WuSQ8goda$%Ql%J-4%ztFLxelyAK`H!5N*7Zx01c0!Pjpq#KzTThU}N5cPKz z!N4|(MSLJdFgVc)Ni&E$r$Ei(WVLzT@RfH!vP*2#%l~;nS(l~eh$F+VluIKvlY*Or z1m`ERtdL6I?RQM(Z>C;Pr&Y?QSEGo{?4HpnaL&(D`(}$6BXzdwO*UMjnPk6VXiLJo zkURqWBe(-%>!0kKWs&hu_&MA8`hRR8L^JdW$MrlwB7Zx+-BCA!*INMzvg!KR_Q#7p zeV`04AC~%bQKB8!Ki+#B8P}Z_a<~Y;Ql4ldrUTyxbGyaiq`&XU952_t01|lZPVD9n z`BEaz%HAl~=8WKM7jl~Z_;D$kM6lH(>LkL1n1xRBIgQBje*fO9gK^o)T^vb7pKd$; zEm*x$or`^I52f;)Z8ylk&FML-`6qyq^Qt z(^m#n*|lwNx?$7ZNP~2TbR*r3bcckbbfePJ-K8{0$4z(VX475L;&AQh+U~~dRKcrO1ruRvY2NI@*S)iEv ztcAeBQXD`-yrOc96JxHA3HW+bPEvDuuV;O~zsR?x8D#MJ`?C?=ha#wtCbueI^Y%GI z!oZbzC?NB6^NUkomFFUsvU!iy*%hc+mbKF6hxfxHC{Zn@7U&Wa9oHg|>}{Asjhg^3 ziQh3F1o1u9!p~|aBFHd%-R=%Cfcz$rm4?bp&6nD>dE{iNCM_S5R!=66ctl%LY32bQ z3O8$$8%!TCAUgj_5g)vKRT9QDgmnHCcHw59qPx2XlvCGkLui$tFo0tIH0>^?wX@e9 zy<^{(ownYEL07l-_bwF0lBjN|J_M_hz1@@vw&QzGQ*$CoNG@l3`tApi2!x?}IZte&N4Qx3+IxzB z?)AQ9(U0%4Tpn=q&#&?0)i6S>g4-f~_!p*ggqU{x!Q{(ER?T?0wLA0V$dbSO28DGc z$jxe4eAjRUJq^Q`*90L*DCzQx{k^J;;zopnXIo$Q`7mshY-Ob|2)ilxt)dQN%SYZ! zKD^(5^Hu%`%pkb8b^dO?HGE7+#YQ60R42@~U@OF;EUX!=tK-^e&lPkb)`{Gl!{Clk z7jM<+FdFqeqD5Un1k07AX$%l0IDxZK<9Z0A*GD5!>2b+5`d8s~b)xT)?Mj(0hH39u z9_qZUe({h=QoCC9kSkoZ<4^_=*gp9`Rwr~-vil8U1Onn`%l#Ow%jaB{+b z{TJJ?MEw=HNa;NH>oOOg&ThYs7O42LXLDl72_~j+}D9{j{#4W2x|NmOS)5jC#G`-K;tYWy`rPeMwDxC|xqi@vSy!RSMT0)Y^?p3;^! z3|?7cv45AJ*h_BCBfH3jae6sW!*;>n_EnnyBHbBJFZXvqM_pFJpSGXaf^-KP;g zLY&=JjRfFCXemFdWU_|mP_;~_I5|=C%ROpJHzA7gGGB8RIK-u^6C0rYAwQFbV8XUG zy-o&ob!rCQir4iwB2v|S)BGBX8skme_gf0dyfdK7sK4SaVLRL#3-YQ!TxNoDmIr10 zeQ7WI0s1wZP~=K{x!W=si)#?s%$|sUbTBI2@|^zc0IE#&=&kf-Omy!RQ;l9J3>F7EqR35V!9}J$2iH>aReriCLT|| zD-MD8e#Zb3mgmpf>1sM5lun<}{x&+~YU%(^TbGH9&m$dV`=8KMwtUqnoUd*(rp!wg zm@MgO=H7iYVFv=wi5}XW6~~q6n)^K1h)%i~CeH``L%VE#c?&CnC7Bpg$_FZZxKB zOyS9S2q3)$$4XCG4z3?SW30g&Voxo;u9_(5NBb$95x+iuZ25SlHV!BpqiNu9I&Lgjk*!0Zek6+K^Z09F$zWYk=>J0kyzwJ5k|b!24yZ9gPMS9eN=$_m`m zK+2;E8tGpXfRROztQ|8m4&+91D*EjbKT#S-J<^!dkCl_)q21kp&C^x?L=%MLmBq~j z@@UiZrEsixvKgC2HXU-I7(kMo7ba9H-}@+bqEoR3L;p(qMpK%0fttm0mmXa2P52E%P`vP{n}YQng&vC0k)^h zNT6Z3pLyUwmT0yc(7PNN$q0YEUrBgXp2;5l@3c)Udq!L^ZLHE5D?~rWy`}M&>oMzf zMQ#CDMY6+)&nM}}o@O8lUk?_q?8+g4v;0aC-Th(AhD?Fyof%HISx7kJnLlZ~T6x;7`t0!cGNf~$-G7$Oo3s$`fGf7ib)Kkvd1c#Wke);BpN5p5z13wcI zuT8Iq3&UrTPFbMH@&FRGffiNDkm#TgN^(kjVb!;kmiD7_ZzEHM8XEm>$}+M8o4b!kCd(A^LA`PeHy@nA$dseAQgL>Y0BaEIdd9CfWdmUn;loN>Q)&7uz6 zI^Yf+L5~%;&H51hgZ^0i`>!!3?^~~4zI*;P^XM2vDYpLFMc5i4{$KCRd9gq)`kA5G z(OayNrakt4EY~zO3t0;7`m(x}yDD2~z+zugt~5ZgSH{>-*hJW!tm{wZ?tf7RecvJ| z&FivY7v3JZ`+c4q^;5>py`M!r%)neFzPL#xFB=|}T8o21_?uxtV$VtyY5tE#?PiqXIv(@!?%VJh?b_N(U~cIcclNjV8HTI)9PdeU0LB+UtoxBhoh7 zA(DhcyPDe2WDu2tOQc#lrJJ*q_Zx)?ZC1F9bCCb~MF?kVE5h?X*rjP-Dh$6NQEW=; z-PF(1?Sc4%k#v<{l4x`oMW(o5%Z-iB>JkZZ145y8|6L0;*?B!B)&1z3uX?7#)9<3h zo>gHlJxnk1OPD*~PI16)P|fi+;ilrQ@dj`OwtYk@(YcW_F);XnaozI)aPhm5MiAiV z(J+t(Z)PxGV~Ye<+3ei00Bv<2(EEkcX*#*@OX+R$_P>;6C6=-5NndMkard}`f@i9f z{aqlA{*YqbujH{7(=tU%9eBVbsIZ{Gk8t$AS$dG1BITzx4XD;Wm;5X2eg9Y3cL*B* z<`Zob%v3B=x`5nDz2`vtZK4L0gy?3~+yP)N3sdu}zZE2)8mR<>m{(khX4-j1V&x08 z$ZoU!PK$AODIW)+J~!h|7l>id{}N=~M(@=X8X-wQ#arWp^=M@S5x%ye=Ems>I$4T+ zY1Icj@GtU5ev^w(z{O_yP$%&^@Wo1BpK;>k>75lx8Zk<((cOHu<44iIX(8jrsIw!a z-M=+vuPwZ^5dbqLwXW+C0N3WXxZQ)5YfloD=C3{@_%aDn&T&$11Q8j1Nj!g>6<=Fr z6gxOkV`9~IfOaCL8+l%}9;NU{2^b}#Sz~MXnt*sTBL9hDIZpMC{S`(4A(-`6+sIYe zx$227bAa>FNiXN>W%n%3ReKZFGnI=wB9=$U1omC2YX_fsE3VckUXFxhXUNQ{C@Lst z7FD_EoJ=k)G%q@zK-ljSK(e<+O(W0HAfihGGo9j_C$a{k0%OwP2}F^h7*2R=7sN*!yS~dQ>wzK0{zp*p2UI%pE{NM9*e4^ zimut5h{&}4 zpv2PwgDYBESY;E&3C_b};>eRs#aT2VQC$uDx-*}VCdXuwr_^U3Ud>-y6{hp8yHcN^ zBW?28A8}fqCwJK2U2f;hhE_FX(D15m{8@b(QDcj;N@EFWZs4s4$4=;oFKSZ_z z$R`*Wh@%3{@%k=#OH$MDQx$*mj?s@}g9?v-=x9b6f9rA?Yd z41_R~8uJo~!>$#r1AGQmH)#MC1Xy~f*W$7ohyecy-~*G7)fo(Ssag)kLMIK;=iLSV z;}8Iph6ByeV*6V>GiEtB3?yb)S7Ue*KXB~SICAqzOR!Q{e|e-QB7PmJOXo||P)aaopwQYNi;Nil-Q)kr@g{(i zsR?F3>B$XwO^?2EQ#fWZfno@#b|HJyeaX5%1h&WeN0Ab@y1dS$+;kAFbyM1Py^4VD zM67TaZQ^=Qy=VOCT|Ze`J9o7MljO$VU;puUJUtuB=JqIA6SSGg`U#-FI@|lR49otFM2pJd zg4p#{f4*)v%iO*wH)0oC}i1S5`2#Ac)#9V#rWreg0}IT$)*;orL!=?IlD~XJ0<;z zHy@kc2|_1DhNTh*ci-d~OEAeu@Ai_`r}jR5rM%)C3fc3l|7w%z9=jg|PP&p5?)NI_ znKibHf7wC6Bcy1YXLPZ|kWS&$|xkrI<;TBy4H)H7|?Y3XR&?8f1x&kZHA0A^cEPSF1mF zqaH^*jakVX8lBcb?lzCP0AtIP8^3M@S={9&s{<$0JQshRJJjm+^DSvjPXcI{+$YA#eVf=*zbr-uDRDc<`%Gdo%kg>hw{BmpPoBnnktg4T z#5{Fxh{l>o<#{(tTc zMWp0+WC^<-)J71TI*V4!VlaXldcFj7j1x83){RQPGvpoU?F5^glO`?!xkwBaCdJ_4 zBlx=|rw_7(mjN>{QxbBRp{WK&4-V#{o^P-w8Y)6n<1Y=1mMu*Wx)qcyk0~$%*BWud zHUCP}17vxy)(=wyUh!c^L2Mly`~!A@b$H=*kaIkOWPJ%+LKnwARVWFy5sExSJ=o#X z-8>c*R-!0Txh5gA~&V_>?_pC((18~}`Y$S@A z3o0t&-)d@NcuY;O)EFs%vlU-odchxRd@LMtioN)9(Gxu-3FRPtAF1GB877mr6q!OB zx61lD5w}md04iYUhq;xnU`W<2W~HA2 z3f7iOgi`upw#}9ON$~&1YbENHkBRlxGGQbFZx1@nWcig)9IrA<$5`#q{SjhSNf+g zYdl<866iSd*N;!{#^1YfQ@vp2ZBk=Vk~gsWpVJkBFCyS|5^8&b?aOdoVkEB$gaj_ekYf+c0lsiJiE#=D&q z48(|1upY^HZ^N^7l^?cv*fV5MVvQhEAP$)?n&R|H6c?Y|7SplsC)#5#Ctjp{?vnb5 zG}04?jg>eHT0pIKY#uMGM6nikhxS#XfjAC{xna7OTRjSeoOzlQpm*BZ{rC9fhDrlu zyCbYDwGDS}Txg%efF<272}Eu>WV5x-6;&+*`fx=%QSMo%bEFE?2Csy4bK{Ok0xFq37<8hJSOsEal!^2p}a>yofqWHa~$R(187Fc2R2kH@l$?`Tbg zab~7Qquz%m+C+NFBxRjw;atUtLpLyKYqw}zS67|GC8LTbG4jH4myWc5HiyPP_)x=P z@FGUR)tV9f$hNy^3_aK-n$?DtO4oKsMCsAqZ%%oOid?V|1FAyN|iMaq~nL3@aylE%{@CU{1&O0n3z!&i-vX}GI$ax92`cY9!9I4WoO$RjrLo>zz^nW zGAC3Lx#n~gcXI4|%tXeaQAYQZp`kAMf-;zKg{L%4FQfrM63b-soy zlUuv-tFDXb5a9aNX6N{BUrdfTkYdo}cu!a=djDtP<#?cj7;QKt{Sg#*w+)?+O3P`< zHV|H8rNK$bXCnWW2MPHQW{A*F@T*^ymZHno0H<%`Q{;v+oGT?^$E0yZ{%>6}6NXvL zOi*^-ON^E1Y?q0Vu#tU9z~fWS`->pqTQk6lnUg7sFY58bI9JFmA2Vq67p3XneWdio zc7{%mc{3^UvEA>->0@M$JtB!peT?^}tQZmB;ygPzyh+YX>R{i6*b<_pLW^5>8OO(> zfcYxYkPdeR{;66Q=fOK!Oc`s%3_@g6Q%jvoS&JWgUwre2k`8eO=-HTo}E#Tz( z(vh$`@n9>+i5xlZ(~}N#%jwqi<$LU3CY3{z3_OH@rmNG9)=eds!pDAGmP{;&;=O9F zXY|~UG$dng?#s24@)qxDMbKpmmbhLW+2mwKiE*w2S{y6WT+Kn_8|n02qVN*rVMPTA z9SKxK{b!bffDGXp=4?Y8QIcgiN@MniLdo zbAyM(j1s>O4)T@mMpUTLI{VtVg@{la-7N-DR}6Yz;a@y_N%()3V+MB2!q-KZj?<)_G zu6jYU|LBN|pzGe9B^UxCPf;}8t%C=&w3didG%R)Y${C3xVLD*3i~qabp%rsGt)24_ z9ziWd>_TRXwag|-WYtC9r7Jc;&jxMJs*vX|A^zy>V?*BySsiWSDoQRQt6>m$ne6+U z34GC;wZb%F(m(5R+Lz{+UV17KGZ#m>A$_R&wUCFD6=7dwUh_={NqdT;v=T4EbAE3* zSHnXzKA(HtPH*)qI)=TXcQK@!W#5Z#nzE!DQ}s}XL`%t|(nVL zF=aPD+1_pSH>+dc@Qa&FRQ}&1PsabR1t5z@3SS|vf(?Fla8#r4ReVp4*E#6nB~;WcOX??wX0A`h}1y{5K* zKtnB4o1VgJ++8=(pSS;n9a)K%4Eymby>Wl(J3o`>63|3AsoSxik}L3|wz^bQJP%~I zg}c-(@w95Mpqv;5$hM6l4zxYF zK-Zb?rOAO3k79wFx|VE&vsCz+Ipc*RZAV%vd1u3PMWkt_6mNj|(C6buTu}1|EE_2OU75!@%|PFyxH8{o{Q|u4xul?)D%x|NG1Stg|`v zq@RAxw&=I-Rm&gwOYh0y&wj(#X2J&G38Z|r4FeA)-sWZ%kRSZ^s41R*^6RK~sVY@D zf*h*;pT(9Cux8G+XLU@llf4m9J?7^uVepXyz88S@ z?F+D4;=XLG0%1PN>ZcU4ge6bR$ftJk2JfF%+!5e63pM+vt~}}RYD+%&{Et|PbFbT@FZ!N9KVU( zY1}HNMH)iX0oDS6p>ULhmNx{68lH(FZ87mcRvnY`&&e8Qnx_<+BN&wf6*b(uN>dma z-Evko)V{;4e6C-O@BDX?M|ihR%mMBE#qt8CWsty!I#i=uFECiG%xy4*M%Mq^)pGjG z_6`?)G%#t&#}}%@BU5rqvoU^XZR=QH-$mJ zqoCEYKH&G(ECfeAn6pbI!?oPBnvZ)H!u)4T^4f9h!v3$Gn?9RFRYzFz`GG_FUdKes zH{i-$ua$~wMS^MdKCDoE?QsKVm0PuI{g*3(NtR&(0#brmPY*39_^(kDNun}EY=s(8 z&_*jE=wd1I`0_kc%*KUqsbqBY4WXo#uEDTmqKC_Kye&2=GIAiN$2SEq4G8#?3mJQ_ zMu$4uHdD@~AXqZK-TP^9ZY>g%RM*6T-eF}nqkAH!xL;o5mqP`xLBe3ywRbI^kD&*O+yI3-+PH%wj_3jA@iq z(;(`S$&RB(g;z5xf~;Y}PS3ORWHn$9dPQ}`JhfaQn=j{M>J)tvVH}o!Ph)fSpYmlP zReFTxM~s7#?bJE+>(dgkrNVC!*NW;!kD-$u13WfWmYJeb@rr0@ z7*v<@)c?w?+t+~Z>BI3^eY0HoYyknOw@T5!LlHniUHgicpQznh zC73R@e(>7H3|uTVT*}|AvSF+8hk;xjLiu{Xe!m8tB!GX#6fjYq8F%0Sy_fs&-hVd4 zXMqL=rZuIZBhkh`xh|f88r%k`!J|{KfckskD2j$0<*Fq7f0;ZP83??dSUVBt!2~(e zOk#q&`L_+8>3^ymAPGP2*QD7p2MDCD263)d4Uy#w0l*+e=J~Hn4 z$#BH27R+RT_IePU{pK# ze`nJ8FO%U={e!2PFi_@GFrDkyv_YIB+YLiyq8}(%S)=#Ou?~lVV$}hc|6XI`#RVkXX_?Vf{H5Myi5U2g6?0$tBm$+NDWjuRRQk1~lbJ(g9%K&F z-VrJ5N$Q4ayMcCN3E$GRp-&==v$0R2ZgH@w>9F*EIPw@fM*8v|pbZrxkJN~~+4T|t z#L$#t?L9Pq0h1JCehoA7C0Obyiz*qb^)udDmk+)L^RY0e^OAThY{I0zWn0p_iqcAh z+|}#uWM@RuYxQXcj=NUv1Nf5|CG|)m%G}#GiFaw=$-3+_zpF2wec~w|2?pBev*8G+4v=i#CW=`wM&P*FA~ko5*=rRNio-AC(W7?!*4B79V~5!53* z8t2)ehDY6nC=4`Ol45xDgGjrii4DkEd3=PoXI*WP>i6@UPk9!o?MN0rp(1FCm~fGV z$+DR*tV3n(LpX}H;?&MgG;+=GI3^`xZKS^n>$mp#oNlAJ zbSCX+o<;(Igb0@}nIcz+S{_wN#iN1cht^Pw*@i$hH$hER$)PNgRY*k?J1yBQNxt0q6s>8xuMB!`wQGTWaefyrW0}g= z5uX9EOru?#Kg6fLV&jfHtmjzAWXu!tLoAD9-A?Ck61?1ir{!aQ#^X86W7?i4A9&JC zp!MWHtjmL4+g<(r=D~g!-wbG*Qc&!P{xZKw;JIo0S4JDD$`6D}M@A-n{2cgYjPEN& zY_MEBsV2qV^bz*Phfnq%gp=bD)O^ZbB&zqfQ9VGR2m@+yd6s`(9Ql~=P;&l%Gf9&vThU_Wv%f1TC)$QSvY&+2zeb+RtC$W>X4*wu)|<`ZeH z`AIUFPABL%6*3uQVO1e`*~+%t7^vj=0El??O8TPq2vv#!pO%f#juCd=>n zB+&Cu&_jYJ3%BB(+TGa6RLeYxH1vYc6G=v7=|5IDYzx}LQD3m2($op3RVDJ!uG`ck z>Z)J-w^LtMg=LrT{FWEEweZg-Sv6?Ta$Zu7Uw(vR=c{NGy{gMhWU!bk?Q6+&KIO-t zY(kP!O$Yue0rw6Sd@L4#NNiy*22qb~OWTTjs!)hX!xS@DNXF~=?hpYa>T_R9ugMRFwJp`)V=t=TT7O; zq<{n5&vI?O)kG)hwDHRfA&|G($d5nW4lZb=6Upv$t69DZ>WnG|ZNXKwtcHmR+wLyf zYPgEIBSyQm)aCfm^<8JY;w5<1C+%O9ZTJ#{6pe{x=& zN@jk{nWgJMLqnDsbqU+Xw6I=&NYt$CCuQ@EJ(OH_0_F z|1XCPGld-U>FnO$8Pe(hBihTu2B1nU;4=v;*C;tzV#Bgeo&m_($c621LSSKlBIjb8 zWHmy5@;9_~S<`LxNy&|GEy@Lh5Em<= zd1)o!0wzXh^VB7xkL}}a)blu@d%0*8%+s=3>}S2|P-J@Xexi8x`zulO;7_D6g_0d& z$2g8ogBJ~upKyWo*0d90lIc^$c;0}uIC#=QM-057D%I`;RfKf4UdCKZ70M34*O$V{ z@|KwYf?B45Wi*|asuJ+LewT2hcU(bg5JoS1*X$0yXAJKnWs=^-lMk~&va%xN>?Md0Ii7lPw+uw!~x?04|%FJtT%6el`hiTbjAi!ahj-A+jC zqCQH>Hvc=v=l`AK0CR&{y*g*sks*JC2r1{u_}Jn0+?$un{K_9aR*4PI|8W9g4Tc(C z35#+z@Gtas9eC9eC0wYX5*?q$(X%Ql`Spp6usNK>aBXsDD~0!Njy3{+e{Ix1u^Y$RDi3(eVVXN6?9MGpc-ucs;PE`uZ8qg_I+Gxres~@OS_MoIVFy%L zOvU04(|EDlDJrWRKU%=cOiV^pdyU&E%WjXX6sC<7>p!?Jr;6%{bh5A#mFAM9c=sy|H}QMU&z(F;{CtvohX}V5`#0%( zNar5q-v4&|1lVyDzz8Pzcchs)CLpbkdhB_928H?}syAzur?a9%y|(%Hy%~$iv5MnE7?Xt#4U9#(uu|Ah7fBLZ?$4UNPeT zu;2}*3vK}!6-iFI7&g{h!_YK7#{mG;=64J4cFz9t^_zt}e~rt81A$>})d>1swC~4r ziu<#uo)*gMW88M;BI85Q=fy%-D^UAHzUdvbgSu@KeZBq%$SS|Y zSA3`pRr@-((ooSy6t&ITil*;eDv*N>ruGCnk>%_|*iLoXzVuuDU;m|qUhebd;NqS& z-AtA3I0WV)`=Tp1Hx_Q+{v8M?MEfRdbvs17Njk63a6}rdX9T+L)91s$_ZG#-L_k<=>U|CeG6E){RMZg(TRSKpQYOyGAuAHER;eBGZ!zP`%oNDSFlin zx8ta8bE|uX+de%*+60mBcXTvg;oyHvA=P#u>3I#TG&k?UL=JkU4Z^T`EVaG`M zS=Fo%#-5XK(O)k8a^Ki*x>*wwac4gtYcp6Aj=Z*D>+aeHvU<)sSee-bO_=U898bIL z-(A5|G71#w@MjaUvD$h;DZD4rX|zy#WQxu}#j1uYEYD{^3CAR1p*1&A7c{Rfwu7$z0~ z;Hf9Xrz|(7jY(8n}9&2z@JjN4<3o!CD*--z>EKO5e{LIr0d8 zX>j7F$mXgUP(=E?*1+@pVMJi9-RDhU*GIxmQUAKLI09GwG;_VD@k0X&d%Zsxx~y@B z+a3;fphv%a;Nb&_narC_53^hUfupSfxlk#VJ;u~29tfECE8?3&Z*7!iCp#QNCyk!` zG^#)#-VP*Q*&|;g-{R7r;-83f!}}0kd7A{#zYCq0{QW#kLo`RASj02a>Sv+kT@xGM z)gXsi?`3L*V1L2htQ;*yohA*#kp7_-C;u(9yPWt%KmVkb{0!+1B zg>oNof6!k}z(O9k&DA)r+FT!hy^J(t`9Ed`{9#f`=r^ElZ=Uf=+<<(%d+p#VB*0wsH zh^PnGLT*{V5^3}H`1%tku-CvOohha-5$Ii%z-6c)>0R>OW97>!dk3hJn&XJ#uFk9? z*cb6(QIRS1I|*{n7lF|`2KyacZ@)wc%O!SORxUtCLmz49E2##LYr&|J7>ZV%?A$UK z*U;_->z_0FVZmB>^p_+&GvJjuL}?Jn_+=ymP+mcVx| z=*%Z!z-dSIXJr=$+Nqg(PI`IaWyl}8zJYYNl8Cff7|)C#DSpn|jNLTi#@+ODK>=+= zU!g>mGe1*2IlKUj#o$ve+2A75-O$F7$1COaKK1>(ihp8^7CTq*=c7V4H+y*%CHi7` zOQl$j+iMTE10%MLjUdou?O!4lPGkM_?MpKsH<&ZxAbcP|)|FxI;pDr^oY$`i@yHgo zn7_-7HP6M+O~C^sRbm@UO}LwsykyBrf0Q}R>@vXj`DoR9pKzQ`b;}=;*OxHWQ)w}X zSSdl^SS&c|xLEW#$wC2IQS*ja76hnp+I9jb=dQr$IbFhta@ z*~H8$>ZR^UwIH?Ye;5_kKiQN7FZPD(n*D@X7l|^t7{iEE7R~_X{0W(obiQqrG$1qq zfwE!kkY#A-XVrh5Z66_My01-jVL2ac@@3wBm?nzfR{HCM6|_QO+7jDuQ8LS*_?ZpA zbb5-+Ex=au*R6-k*jZHr4{aL*67_YAL7l9vj;&ZQ%H|srLEI z*m1=3?U+*^uN^6P_JpG`4U&Bl#xp_kz;qA}7yMOEKKK}AAkZ8N(wfiRx6~=5?nEYu zP_*sX=_=>=K=m$W$e|*%#&LVD0nGV5n@3CWa&2WCS;i8;|L|1QepR%9*#>MB4ynFW zT|pXEgk6uzsPmEwI7IVMiX?I;=XW}JXvqPg&E@?O1}NA>aJj^_qhh+K2jv_bdqNlPx^1>jIG<0Wf;^n^hbC+7+VT~wj)Zfvf#dyAe z54wOBd+mm9C^Jw*S%tOPaI#fkIz;PC;1et>0O_y*?lmI{i714*q*0+vRsXU4)i^8n zBr`RlJHr^*B-~~qZI#2Ych?4(b$aK6xbGyF6nLnuNl??}ZkVjH0hsq%c%#ahv%;RQ z4-XI8o=P)MrFJti-qY$zI}y>_aXa?2O#nfcNyfwg1WZDJTr)l=e_a}PQh^md*On4x z`df)M+yAX5Q{I8TE24c+b|56rT%?>o1x5<64UyC>_EeKwlN5#X7_4BvF&D)x$+WdK zASHx(KSx=Do(wB)`U`u%H{Qp7kZ$$RM+x{^j1V$irN7S~Xm*QLzge&bo=p*SoOB;L z*wq!fxIgJ0w*c9Ji-0YwaslL9a4&5RLC-OQWJA? zf;z#vQ%~(oeSna8JAs5Oq0JcAF{fo><0nRws@~aV@H46z!SD&1oWJX?Y9l1l_tcX* zQH#{lVXBo7k*M$Z2OI0F7EwQ$h0Lst3>alofy z0H=`c569Z22*OITFc;zHo4H`DxHYaXdg<_|p)jZ?P{Is|Au2w|-9!;56CaZf{m$1( zKmrd8`CPx88f|O}HGb#y-iF7~<|Gvd1(+GN;YK^Hp?qWzqhOrWn$xpj}Q{xexg zxtDKV+TF5^F$`rqL5qh^V4*wk3vpUR<|ubFADw0dXLifzlR=1~FwY?iii!Sg_K{;v zIV=Km{smZNzG$|j`xTWJ1W@^1C~s_Ttb|eurbHJOF2Jn{twoLN26rJ*`R>9|!*5b> zBIgB94g+66c~x=znddFp1QdxpL*K3oEzmwQE|5q;YY}yRJg1o$8xjd;VKgdZ!XbL$vSNQp< z`!UBe)d~I0|JMS1B4jD?Zv0Ipk(_R(_)6!>8^HqS6b3*2J`Cm$Jc8QV&JQ|^NL(Lj z^Mu0$Lk(PaI6I$*Blj#9N5pquGr!xHmnKpFs@K1rr5y6=M`?2C_Rnr`>JXt{@* zT7`I$u!Oz2^Rm6%%T}F-e~Wv?Y$CKh*s!<)nThx?BA=e)DQut~NjI2nNSv&()_gAo z>IQcB>qg8)sv*n!=A{`(v>onrsBpL6DfIMytNUxq!}W~Dv?v4V(?pI`fXCB=rh?AH z6cD6=;@4dHLs8k%mKEniz)*5ozkFpVM1Rs1)w+{9=Of5-@^@KA%20}K?|YydOnPuGu^0NLxoJ_ zATu?I(w3Niu%@^TvxcY%&;tVMh8Pyfhl{}`(R70a1d!{faIB#W_qfAd{X5o}l_o^$ zlL~#m?n*|0*+zcc*~_CQflSlm`QUkdqbN)^7Kh53C3AdTEMy$}27||$;+HXvj+2hl zCzT#dp*_CX1oHYdK5Au?5+bNGFQUl>(Wgcg37hYcLk1?)L+%)()PaKKhl8_`Xm`yN zu@;=sySpm~_ckm7bEZ64BZx$UCxq;?ZBV?B!usKlJjqrrc}^BM*0z}tGZ4VjM6?su zfWW2?fNhB7GBzV=TDaM%+VB<0rmIo@7aoR+b%guTRq?k6+Fe}K6y0j-v9jiQb_i`eKMl= z6+PBk;BP?tv!{m+$n0z(q{22uv;$C18EXy;Kmo4d+aJ}@z;iP(KDaQ#eX7C#`9lg8 zAlsd?Hvm{jbf%*ZPrn#NqaI6aD@VN%5CE9pM_|6mI2&K`wNIQ|!EiEgHtefpREr9M zHWJoERpQEiC@I?7sMj_3srO5t1s-#UCs2UyP>VyEC<8k_;0JPAa(!yPoG1C$B(wal zM9Ht1!{bxwM=w=tgri1aR|WYBO{*qJ6MuS!31^Jzx41v}fMD|1hXMHa8{A)I&5tkv zQw;oaU2h$Wd)^mi*`<@;F-1J}$}$9@;(>d^Drxr}>TfPyMabyP#Q+eY_AMi!qd}|4 zM^ueTMpIelqd?sELFTMBwM1?V>ayh5ub%=4Q^aS4>@R!2g%$v=i%eCOxO$pQIzT3f z$b!ulu}hT)Px8WU9Ko@?YFl+xFK2@IkVxAg3{WdzVUj__SZ+S~*SNg@g^78}A*jrA z)B20tZ4mwjTqT6D-Y+6(Qoce=ABL;UPeStcBMIOBw+{3nFWcXA^s}E>Fkrn5o^Cfn zLFR`hjOm-T3?Q@N{K7IPdkaNA*s=3jI8^EmIsM#h7suYcq?G&9MsD)=v#S8UcyS+j z%-O<03Ezz+i!~IQ1aP9-q+}^rzMpwcJs5EYzFs$;8vHv_Kw3elQYauAm7DTjI!207 zHAN%Y`g=AK{jopq*>m2BmPwTa@OK!!Ts4+R*1WOBY}xs1;0Ho?CGiNzL}CUa6D)Kl zxj{DLjpVzw%mlw|jZHf8IPM|9b2u^(0UwixQ|I>Qu zA@J7EN0(c0%cRqajQ-Kn#^*O>!W~1$<_O!`qaM?`l~dB`*;l{#O$|3ys);$%VFK7L z>WjB|DE?m1u8DYptbd&8=zihRK~I} z+Q$*$@nuEa+ozt-^dXKaW4_hR?VA8>u>09hu4Q{70Kwl|t&1Tm!GRnO%h~zx^D^ej zi{ozhM772ZTGkkP6cWWmMlwGN>N2f)K<1dGLhb4KPQohY36I;BYR&`y5@Yh zL$*qKayGmlEL7D;zLu-Fnr!k~IvU2=Sn5OrG)j2_qR6>D7Sv?%0Lp9Ik+WJ!`Ya+8 ztq7ACX|}oSk-peGWThO=(E6i+R&vBP_$>T_W9`5`xl5hk%rHm$a00--q{q z?^<^)`Qis`V+^|hJkq648F;>3Kp z)!mPsKlDedCZM&@%uGN;<|&AhdlI%DY>BWggi6#?q>v~#Y^ zqvE(CzZm)%7#bI7=ROe(Ju%xboEEdDxNAHksQkZ{8{ce)n zI$;2K)s-R2m|F%kh`}1GL{%9S<}9$|7lz26da-}CmXuB0=Nx|%z_5t@+COsL<1K%-(J5$XK)J877UpeVCd zJay(Uu{V1+Xq?q%TOyFP%I3~?!ql3tu>YyYtVK$IA}q|oZWP{Mxy@A&kFV6=A0+Hm z7Lu6e7ghd2*_}rZA8gYOcsQ$y8C;etsCHr94|ornDpIyomv1&q9x%;4CLFzhdB?!> z|Cxn`bEJXmyv8ilWjmGO@T&2fyA zRoDBV!)9Lx^<7NC0IBJ%2c`2n4ycwT$N`du={Hnyry4Pd%qk`xrEuW&e}$~->nm|` zI@MA(?}a)Af$b*Edha=!W3qQe(-|RUZbxzH{0;+h3@T>8yEfl}olXEeH~p_4JHDp< zEPgZ8K1mXi(ZIvci&tkNjMhwRb64=-2R=2IVV`t&@pxdHv#acV{hTc;|HE+jJ);Lr zQ&pCU!@*-Ct2T-kQ^TkwUO(g`!$*~rd$#)Ww-n(XDA_-~KQnh+;1=AcLAJsNq4NKz zbFl3_K4q6O^8rnaXNBYmF22)nc*V3#NVq`UJ}H~c1|AznPJuxT<*GbWmdBGv_Ny-_ z7rJINl<1c6@puOXTUw(U5wfAw`xjIUymXcKzIX^S2QX-F%*!SEuTYCti9FU0u^}m@ ze(BgNqCOdnPr|1xvOorlBe%NUJqs@TUe|dA18Pco`AzAsNe+k_$jCD{6hrUL0@lg( z_1!D5JlRagmtVi(`^){q43CEjvy7#9nb!r)LFMn2df^mx>}9%l@*wCt~x-0^_64G+%${2Cpz(d0Bk{_=9>Q=OO8j zEe)XC0chkqB-`G2z$3BAHTM&}&<{YyLv}q&SzlExQ+P*e(+g1_J}AR<*U9MN)6`?w zUMz4;4M5Ay1N7*zU`>_Y3wZqk#FWqXu+;bD?IQS|IGW14f*_ctvWf) z0PiSsAtKeQtbA_N+Bx8_YQ+^Ve2k{C&zDZmtua`CtPt7yMtt~-EZ|&=n8;!>Dn;AG z`bdr$fwxyozY-Ot&|2_;U>mnn#rqc8;K+vDJ+HI{4HPd3s_~}m-n+k%1+YGo+SQ<_ zDxhE)clOD_1cT( z>f=*as~TfmYiVyEuC2Dpgoajz-iCuUM*v!4YeM*DD>&MMqsi4JcE1U4_v$2Av{lf{ z{7O#1rxQO>IlKFaC^9{QPiP0bL&Z?`$Rq6>-gMQaynR$QNzT?4N9t6w&olbne-LQB z58MeUH!M*Ag}GLEI>e!9rqvty+vMFV_76mtw2Ez&>x&I)bpH_^%X(Cw{>`hwU zm)9aOl*5LnIGYv%VPXptm%vKsKa+Ro6-7Hl3kNG5&kdA7dA9z9-zyr@lyNXAVGiQ0 zh41v$@jDJ37e~T!bTYW(mLr{iY2CT)3F$B7JJoBE{O0HUja5mYHtbFA<$HPnV0Kx2 zb^l=>gX$M;Di2({_xgw$cQC%Lt$Bi87FlSoXj9v_p{ zB$Q!0*4GHWInLP5#uo- zK%7=TMyn1fUR`8qa91<60<=onYQ(ng-|&91`-AE z`Vyo5ULOAtN74}!vTiJlbHi>N?u%dBuN-=B{(gch*;RtUz6Kg9=C~eT_7)vTcLcsw zTFSar2)nUc2v-;qu8pr)KeAjY ze1-5$Wcs%r4;45hXGZHkVEA-mj8hQ3(L7*1PuFb&67bE6pp)PHlU?WdtLJ-m+GPl7 z6x6RHy3z~~NSv4&{0QfCo`ShMgvXMswd1`N{gT*+5~DK+onB@*KHvbl2aNNZ^DDR7s?( ztDt@LP_7jJNE=+JIrHOi&24KqoIDbDgIo?S7!})aT z(Ud*%O(yalN%q-Er&Nn~#^U^2Dw!FYNy<%|2)$?%`O>cy$zzFC720u~nPO_J2NNeF?Y0GHs z6z(fYvi3|Z2|>RFa0l?1&EOTgfdO{;ESYip>;9y=y?{DQP=kjqt!rw-dNI@NbLNt~ znZkUZ{vo)d$du|%AA|m^f(fi0toutcRHGtCHsxhj3hwZ@K&x?9xfdPpFD>uj5FeU_ zPpjS4VsFN8>aoDlf=3m=_LskbA}on9(mH63%c*bhnLkFHCyG)9 z?2uInHMOPh3=_`0ZNpHjYOL&^E6TiUL#P$Br?bk5+2nvH2_f*g7y75P6!5a!&U?t* z!JZ8SKY_ac+=OVvyj79`2t@!2Ny?}Sufsb~*H$erh#E8pf^Ld1wuqBhMgUs|f-NCM z8=?mM343CD4)^kb{Emxw%PpA%>7^DK6pf@-=drfpp~0R$au!|rv(#kiJkjz6P-77d zdaw-wnq||~bImA?a+!LoWHx3x1opA)V5cX$!aw#l<(c^OH2=l3f&uDPC@2OrIyrwPWalzz#E=!Xz_{;u?Yk9Frbcev}Wp7-QwBv zL?=hM-HDUm&&`IDT*zGOaphg`FVp8Po*gZ@UHSxbJ3Qjv;$oWLvdM$Mms8z+=S&lU zSDy?mMsk8}ue1hew@85Z(m}i#0$PYzTVLN|K!OQ$Te5c||?|Vt`jCK|rG1h4V|Z(SsV9<11%AT0&+`qT0vqA|tjf zK!R$Q&lqIecheN4CQ94j*K!vgjD&c*fT+M&F^h@GIX^m89yiPY)6`{*;w&MB>QWj@vT^_3e#s1CjtD6Nor&uEACn0#L@ZrI-T#@qfW=Oqh-@#h0`Z&j_oKztup4(6x7w_$k+LFDg)Xw5d9xT_iK1R_sfHd z`Mg;a`Bkr#QJT)ie~0-$J7HfNnc4fBzu!<}p0sBPKdqz8UmsYF$bkSbZi-I=X*^dd z@vprXE&@~Os~jXd@>*5l00erv5Z(kV@SB?b{uWqG39%i&ZYA4w9@`T=Uu#20YdG$u z>;7A|(v0aN7INJNV)hB<<{|Svd~`t2*ZU(fOXRc7O#>r%drCS^jq&@#Pe4r0(P_sC zRa4r$gV*RF2ZQt~8DFjZx3~yS$4~H1Cu@mAWy<0vj)IbRBKF2IHDc0F+6tyIDGH1b zs(chAkK^#IOG*u>v8JwYmz)_E*Q12tdKZ6(w3+8lCC3m_!?eca%7cI#@}{RjJvs(kbCJ zyMm)DZ%1qWqolRP;TsFZ{av}oc_Y-A0sKIMwC$ z_Uq-pd4Dfzxj!T&WrZ~SLZ9YN(XbcL3x0;ady4uj$vWmm`L+ci;E*) zcd#_z%R8WB{&he^1)D-sjq_Dd!&z;aUv3RB5D!cVB#6d%XQ)b2-{qC)D0PidK3 zLmMF~WqzCr%HFRX`ohe){QOf}*E91$2SI4id~76rqD;AX)-C}R7Jx*CtlO_800ybs ze;WZ64)(8cQpnU_%Wn_Wi=MbSisv25sgnkE|L3@lSqFwjc%CR%VF36O|C_@|00nXM zcjA10XcIhxz;De3Z9j4-Q6hyRfo#6+1HEdnxTW8&Cf;bjF@vC< zLKR>jZ!hlEmRI7Oc|wBFOni1@)W)^GnR74H9Js3mLrQ-tD+1l!ul}0TdS>EtR*H9< z2Y^$Vn*j|K##~Ex#@UXfcq~S?%A$7kl~B>a{?C#Xs_P)`4RwaycHhU3^DUiKdTNfdY<3s{)ZEQATNe|fSa z!sHVG#SOBU!4DXxHD}hx2n*S8Fa$N&S>(f;Mr6qiZS=KyGI-y_-Wu9p0S9hsW2J?R z2dC{f+gYL_lg#{bB(Q-iooKGUSK>)ic4Aa&$OURe!pz?$)mo0d)0RZ>mj5)hNGbb zd{dB|FjFm$Z^X=B)a6W?xN;(5-`b)z`7M3IOKl*E+dUHA`WCQ*Z$o zg%B8n!)1gpd1%%KUo-EdIA8-<_6+Ci(vLIKOabM_t2l7Meb+w6{}JU?QRxQkYt@Oo z9IAMC`#EEwk^8yx0jFBfqt|lDF5${?olHpPlk@0y)(PQJu2B#2{TPFXj6|VjePXoN z6^AU(qJ|41Af}9dV2JBYi<#E-xXr+~u~h z?H26ROaqrEM)l=Y#C7&-?-dN(_8|f9M6p!smcwXx+lHTy3_Q$xdQ{H=>AaWUtegO_ zXim`=a8$ZY4EH_(TUZKr?9{BBxhgJ3L_OlYiK)k0u2xGk=F5>fp%wWwsxThBWu*eZ z42Ukn_WFT|M&69yW~2?8nrESyX*B$-cy~}+WooUtNRXtGPGg+p+0W0^Y8>SW^O%RR z=edd?&`kmf0I`e~Xv9>fr106uL3iL_gjW-!ixIz6dtEHt^SqRxMD;T+@(d+6{%e?{ zo6;|uFdMiw@C_lfCtuQZ$+XQSt&8l@8z@+|2U>Sto!uZ5Ne4Fl z;1BPWWfF5(Zd~YC$zF?!TEbV_IxKr(EHHsU=vNeOolfAmSzR)~6WXk{nd&o3wf|@_ zW8S?w2OX7vfsnXOEQCvH(A`dWyKKY;zedYnB%(P8=NL*CamZauKbu^$w=;SLA!|kp949q4tn>Ts@fnE_?prGjm zV6xLmP#ps7h_h%~CP{-=$&37*8D)kQT{Qx1 zNGYDJ_C!~BTG%y`FNJBHD{By5ZT{gvBrv1w^kEVJoh)}bVXepilna&rgL#WJrNk5E zRgr_&w&LdvU#u9#`Yo3$6wHCT`T@0vi0J5=n#dwvi zs%EzERU3JZ89v9^K>F<*Ja)Sjp0+7}?SfbGTb-71Es z`YqH4A7J>($n&81f|YSdVIZI}j|Twxe#mT*8sPf?)2Gvw7gS<|C#7bEFz7h(gzx08 zH#2k!+JlW(S`e0*syHerF<%u{z=RmJ6!LxpUrf`@SEutZ(DR(#t-S4bm`DdCgp);n z_B8^qIodA(Z}o z3IwyG!-`CmP7AFaZnZ@FX;pgr%Iq@O9&M^`O_Ts74`g)QzWz51*niZv>S4oX_+m2O zMK9g^bJ`j&Fp{majnvZ*P!<#fZ9LOaY&F__pc4DEyRi5BP~!h1V$~w!OBJ*sVH-=L zB43}SWi&RK`130IFHfwhl|Z;mS|c-(XdQfYMm(WqMpWC(LM}{;`FKntUvt$ zN8s()!Kx^}QvcJK^CmMkwOAjd%pNTV^r75#OsFB(58LM(RH$Vs?t@)7WHWe}e(iPV zs2;8sc(~LBVUiWOI#6Ik97-X5fM#CpN2I+t#P1pa|AO8eZ*F|VcuHcvSR3;U9-)zF7(Ikh^znL|tX3g% zj6rq#4f*dgfI~jtA8`i+gRbv|WK(g?rYjXX8uvi3qJTuyP3o=YcL6hNb(qe6gdYLk ztqYpkPcI7(Cjue~_m3Yu)}M{*gbv!=4u24QA@B@!AjOs9OvEcVud)NDEDL9OkgAYDU>U z6Jv4sS%=d;be8x+Zx_kzK2u}EI`Vh6S$5vv%%QpKYUqc+8-}CuW?^>U&g0%o8xi2q z>Ze~F&HlV}d%V1!^*Xy+z+gyEO)p^KhRgT%7YXp3XUzh9kAu;+o87^1Nb~5VTRlDQ zn3)u5Ur6u*a<^!3hBm{WBF*(w3BgHLDynF?eFD(Z%PW{rzZ5KuP?@Y!VTAPt1Jm+ zn!$mUpioJ+z411%e^Br=tzyc}3fi)eDB=ouUQ-ACvXsJ92tFyi z-6c0p_Kvu768_odnGnKs(f3yLoFi2$x454}?(UH$$8x5t7}wUizvPcG8J3NaB2PS0 z=vhrQw_hGyCCXx7cxRktEJ<=Qul;fOo+nxN-y*D*$=U2%7~NrlENND{)sX5J3|Ni? z!P%P8vh#uhfGs`SI<`COKB|{;cxU-xtBiAJVR|ykYKJaDB}IZVB(V|>34>IiCCfPq z2?>KG8&c&c`s9dZV#q-P&$8>CHRMCQM1o4QdepPGtHeK&UFE}wa2fKwQ0bd_1!J_z zHWiEfK1w*JyL7+^>xxkzmM=*bHiHsO3+s?Zg+U{C3SS{nKrngopBbJUyNt3Sq@pGk=w9S z+}&0@YO1)&)ANSI;BVekJ58{B!nJ$|mept&9egOfQ$fU%8J*OBYyd!@_W zMw$ekC$PwYQj%GqZ0|jm`MIJvo#Z~%3iMT=+GkKev*fk z*H7*Tt~my&p`bv2C>#<#7z_;CO=FY}Hl@TKkaDsY2bgRk8HAsgK9e}8+iIH3Sr7N; z#RfW5eI-T8$iNfp6Pr^d7V~Z094Fb{ucL;H<~J&oq5d;820=0tAZ_r%DYG++C66nWoIl(k)IKGSS%zgBBC3 z20S2u6D*38lavKRw)l3or#Qm|iVZlATomz09->l6E2*u~sy~JwK4&98`V#vZm3Guw zd^i5g_z_n0akn=6ygq%sw>l}DFxSD}PVohFPh-}olo3F;T{8E-gVzHNKJ`Ksb_{;s7vcS|@@Tz;fz`|5W(t*wG!VF4AMm}8PK3B$PRFI`4CH4~v7H6si zC2GTcb0a=1JT-F{^0?1}2T{%FY#2LMbZz_?J@jxVBZ*f>~t>a=w^Tv7ndFg$=Of&TL z&X7!jYx7k7-s3*y5gcDXX&UeQv0&v3xg|A|vNr9!Cvbj5AA>BA@aSW^bwZL@}-A z8o}O#c+*5Ajzg}%@0FNPW!5Hr5NV1=^_R+UIX5%&05(5V!PM$xm9*$4$1?nX$-?Mm z)y>M=%&sPaBW|wz1)r#wYszJa!Ng9bDk1jMY3LDhn?r{$MmGQ9FLFY*GP)_d^b`WY>o8 zanQK0`FKi|pm8H_htigOK-H%>SE(7|>6BBl8L3!Oxzy2IGdK$ZJJ#MCRbQ^Kd!hg2 zEQzS82y3LR7)LE%JR&852g4$dqYPD9mlF7Jq;LR~{nF${)xn14Z}#o}YA3kbm0C9g zUwXNl(pWU$Fear`&ftY|+|8{j(DipAl$k8iX~mI>?Aq8~_6znVHI_=Fr@5zp@n#k% zgr`}%J?chmli@x^Fe-mof1}jvDM{waDgljbc{Jj^E*i zaA9FSv4W)-!WuOdgL(^iF~FFCH~+GMxk^9wJa{gzi%J7QU7eD`L~eSM(&OmzDNJaYNjR^8#3f1IsH)EPA9V0g=p* z_*VGXJsL93V>|rk{t3C|v)DN~2Bh>X3ikJkqqG$f8y!@FTIN&HcS>?znGV7@+4I}M zZ7$w;MM@xyINA6~R9Os6hfQd&gH`euI|*#a`Pb~MdnY-R zMagqS@RI)l`}msqN!~`Xsv$vUd=zs)F4A`{KmFY zG6y)RDvz-pS>+G>_`qmRCVt_{3eY#kN5=+jZ>C`Fy5AP zBw1QIZHfegLB%WDnu1U%+H0~oG`s=+GTR9zq?WyvvZgg)=7AmLwq4jQM9yMZwpQO} z2K6HP^)0s)o%|$Tqe^sQNM=1@l!SQ;TRc*GJ#^D<7{OEg?b{5`XQe5U1aVw=gXA#XjU^a{W#O|~ zWnq?0zGMjtCyH?okK)2+OjxQG2Yb30?%$kWD!|?_fm`TGU(w7mt)DfC3SdD$Z_g-< z`(iz-B?4l`tu1zI;_8RWjQz-e5vqgvvj$5y${#T8T%p6_IMAS27uG4U>M$n0>Zhe8 zHe^^!5E8Kg`jjRcgAih*^L!X*n-GIzj&!5o&_jTe6waZK52vqXq3t0LZv58jlFyYt zpB!8vNdE!pYwa#H=66Vjeua#Z(A-i&Tb}XE)upMQaqVvg+46h?yQA3({KrL_mUwBkArgj#g* zp5Y5D2S^4v!XtY}yb&s`W6>I7-6w3M5dk;v~j2q6VS;dyGv!iU7415ONtugxq0&%J&6f_O7%F?bQVQCRNH@uAs+gRr~A8W{cC zf=9M(G(L)@_yZ*FK2OxoZm2UQ@5jP?B!KJ&m%n4_)rpW7b;d0%t?WXet_a&CDYrcv ztjtQeKx3pg^30egtC{P&kt9=EbKx@_2q?r9ualALFvklpJ6W!Q|-w|icuCG z4x)f&E3ecKhpZm;p=foF4q|oGxOjWeC5l$OtA%~cMR&i+`UM2Co5<1R1?$ctlN$+; zpee}ecXGgYw{(#Dz3k$70c#Xz+z6xDKrJqA2%`LQ)24-5h{(ZmxaUvVI#u(h)8US+ zqrduZoQxfR%JI@QRJY$>e`FeL^CF&q5g^9oqX0WVG<{FWUXxbO&g;t!R6u-T_p6LA z1`o``IiEYq*ri0aRin`Bko=QoaxdbQ(2Ui$s7Cjc7vW3TaU6XK3KB zKX)=Fx%@FN|MsJ7uBS~<<$6ml7mTsr`)9$tD=$(*TWJc@s zP`OI~uOe6}{U4z+A{ab;+sE8{8=kG_XE_JRJ}b9}Wyh~~cc#}*>%Em;p86gR^0V1J zZQSUngo|tz@tZv$#Lw~>vALvg>?F?L{;jajk3sftmrQj$VW4bHF%hwWZ zNlebJFJZhN{wVmx77Soc#@FQ)+4|AFdB#jdTr#Q<`*XM?bWvUluHR5{KhJqzue$}C z$EWWoeYJ7`I3#*CA_Onu80tFTTOfAelWO&TWBgp{OQ9Hc&))h{#nM<{;Dm%9@H5K& z;(Ft7)+(*dk#AyX*&^0Tx&BXy>GogIV#{8lQ#T#5?mJ`iRxvhyLGI6+l4{|Xv}?tL z^6=JKI3dbhB6z<7NVLn5QyN3C7tUntMKl9Oo2;yNU01B)0F0+Xyog0D2M`5F5n^GN za!JGfL4qZWk==A{bt_XH^f1h8 zTks0dS$-V*uL=CG_osxd$8y7?b20BS!oo#K|MyTe2R{)a%>PFgckrPaoqljB69WXL zZ!K#uNKFop-?Pd-uPGX*8L^Zrk%BObg+HD+e5oj(Q~Ht)=i2e6xGDr3zPe9L9AbdG zfoJ5*{0xJQ0;z!uy`96@Wj4Lq{|K}&19lAYWhhr7KWvFHPWt-kz?NPj`ddrH~b||JUi^ z8~nzQF1o$6JHp%iWC~&0w030{iiprf9?7u>b$7-p_c>zX*dsjtX_~!V$tIcnEf&<3 z$>X?i_Xg>ZBsBg`SQ2vn8jbR|IguOiS1YS@Z&*{NrHrergkJ%`?XAsT&XEYM^VK+ zMJx90biL;5PhWsBs6Kvypc#{@`UU_d5%P=fW9CIa9sb)M+2cR}cT45F~g zBx(uV{Zn%E*uR^5Rz{dX94)!EZBHBb-kW(?N6$xCt>E;X8vw#4 zb`)!K#sHx&dm_k5PzL3%b|Sul_tL=|Cny%|jABSScS)<VVj#FIEhRb<%SHk!;7c6PGH**DYPcfUi8_s{2?%c& zW=x(fSV??_ZNXB840wZ| z19%5aS>ncf!mNWV-V&a=u3sOg^;$E#%y#v3SC8q)ltcjKbL3Tjc4lhpVq*M5opS((>$zmK+l|aS7uzOcC1c7s_-{qT$^sqM{`~ID%l2uRQuiB${W)eBQNCg1y+cD@_ZlVMtT=ELu*jWr0_Eb#Tz3(y z1^Rzl0H%tx$bxGAh{lM>1_WHLZmkHLnoT>rE>?yqfz0V01*5;6>ILF&(*XPhc=1q0 zfd|2FBqur5n&k6V6xX}xjs)mq?P5P&8vX!(^J&kqh1_;98i>LY-YDxgVj%i^s&0)jBZad}yysjJeun1yPjc7P$l71QH;Rts1Rm0KE^ zdzPg&*rl?CXUp8vIYqvHI|n&FqRPdxMkY7l(1P)TTWo2-#qZq=-p6~WJFx28ZJQ5SaLQJ zV$9^sUMb)>%SdkEOF!<8XP+NQoffy19;4!5R`U(3Ny%=Sv_lS}krdF?by~o`hLKpa zLe+KC1Oy9j_c^P1)qdKaLI2KsC=w}zcbr<26w_1-Q5s9!uETRmXx{cYot{Lh!%9z(mA2-`HqF;&ga>E1Im< zhmbH%7gRUi)o4{-ES&;*1817S=(Fkh(l9YV!4*>yPO^P`1Hbmp{4c7* zx~f}Oyy;UhLeDp}NX8)XvTLiea}XZx7HCkpqZZviO3;;VVR)x>3Nat>=y(T%$TI{H zc*%^c_mxG$nCd<$f+@k2Eb?bj)RI#WKSu)ik>>lpd0%8j7W2M!CDK@Srv=;AE&$<~ zo6i|ibuw@e@b7Y1u_m=U?k+xR-WuLPOWNfWQoL+81e(F{`x{f97$7o0rXjuw>rUQC zNYq#zTK=$(lAlh_3jp9$m_dtfHI3p_7`|@5&9avP>zz(o;VZQFeU{Y$Kk}=YeD8-n zC8^0B*d2yHkDt=HHa`Uc{M~}OCl+t6QIofv=Gj&6gLD@?_7S|Kw+eoOQP0;Owsas` zS3hO9mw6MqLt9(A+slax%b+p8-SU6>$ZxZ4nbbf2>PP6R!^+pk;7UEe&iqE0oxG5u zLV`I)E4@ClrbcLIH!cN+_cOnzy;{4^x_ImAdq(jk&6I<9pr`D8bzS8^2B}?!4r&oL zKW=yIDcL~Ul8{u^SK6LEY)%Jh7`DT?s=l$BjGByfQd>tE=`@#@qoeZ?IWf!qx2)J| z9p_(q+&mqy!Zxy~zTHe5KvIkyAAt3P*Gcm;Cw9o3pM3 z`9_62F=*LAKz*~9d3{Zv^b>QotW=Jh>kn~CY}*z|m=%5pY;rFLvT;xMVkon#u0x)F z25?PV&`;;)wpW*gNF5x%xkDMjA?MzLx`z63+Nl7x+vnLm4^XAAOQ?U1(3RCvvZRzH z7;@aCa^W7*FE|#nFCFWp)S z`&jyj+)lP|jySP9)>d`LA|=p2K_e-_@!~L(ytuLwlZm^}Fez46L10*kD|t>R&-K;z zu&3wdIo(CQk6UI{AqeECF8=oO`Oh}iePUF-nP&<;9<+&5(I5uC)9-W?muHL&HJIaB z5f?_QUKf{(8Gq8H84+pJEg$@WQ1kxnaChD*^(p1XByMx_<)f*s8F^YtDs8HVj11!| z+zg_z=xlzV^f0a%%E)2qYqz;vhH3I(3|z92Geo@|Zm|jgAHa^jIqL1UPDVVa=|^9#>YCLa?i>9bAqXd!vd2{p@hK{EZ)1Q&3!% zQ@3xviBisVqJvnU;GAP&iG6(4fsDNs=VmWz@``i=aSejBMMRtx`$uxnGmu#65v6^c zflw<10$hx?pq{Qso09T2zO>fP`$AV| zR7n0Yn6B%M$P+KbMgG=dg29h`t7fBR{$p3V`Tx&Op~qzIvV~fUMa~ail3xMT?QyGH zodrS^-IdFQp=V6d)<;EZV>{ptj&V%m1fClQ=&NBlN_ z{AbFWXV?kZxvsjy2?3-AKqDLi{5E?+?US5@lAeI`dpW)%h=MMY=;!4iKB{JqQzEIH zx{nZ(GKpbh9lA$r|1AkFF_OiqBsLi5TngR$tli$w?AYF)$OpFH5V16>5$1g&%4_NY z5=Q6)Fz~Q!A~q}GPon~2F*Z=yYqPewIztXVjJZ>VC5gadsevsI$AAy`iBZN#m0f|G z8{2WvC`MVac0F<~7-sb8hB*7YhRm0fNn}hMYI#R!^Uq0tczY z4R`~)vTC1DhLMbiLJBBCr;q_?+hR@!7x_07g<7mX$Y83q>s^2HVH#hDrYkwqCU8Hs9IVVCOp%-pK{d|w0nz^wroxlO7tLmxjq z=0xo+*>Z_jq?a9vss%k3V{k5F4uaNA9b<67>brSVDWX9+`0zrn{V`8~7}#D#24lMOz8VwfEGjH|jo)XWGmV>feksfv&JdLvn& zq;T8t_kTFM=>L>M!QfC(SzZXEaB6yDes9D#g0n~EQGmg4^zxALW_*7CPXPMO0n?`s zYc>;~3Rar347b>4G2t5tHqW*RnyByxV$tWl=C4iF5V(ClUK~#D3 zanFjn3{$iWZe+}h(AM1;HA}q{rQ>QjuSve&w0CZMKypL3f4;+ z%S?W7_kzIw;tv=|bM>4#OBzt!y-ZtWTa>q_Q-9JzLt`ss6p zCcI%UxT_GQJFb4N5m__cpwxl3G0rBlPbv8~hHw-Kx)}!7(sEDsCCw-cY$*x%V(Whu zEQd4xAF8e@psF^?lG1Q#5NVK-?(PmrLFw-9lrBNKq`SMj8>G8Ix}`2Xhu@ipdF1KB z(Uh)iKreR#ztUCTCDiyxn3Zx4FG@}S=Ysi z0Z!8v(nulr7vRf(8VxRY^H?GBGM?g7yVBzVA^2_ViU63_*jPeDfdndiI>2rTo($Lm z)L3)e3JZ$$WQ2)2YTSst7|>sx54_u4YTh|Y{1h@b@z@T5OglXp=>B;6e7`oe1JH(E zyTQ$iYm=b^I;D>CXVxZ6@4KV!2zzp3V;bCb5jZ_ZM`f$($~3}Ga?IE8M)Fq0{3uz5 z;z#(;T19UBvRWsu9y{|mUZ2jOlcSRYCMHtRliVzijtA8_PrCpiPnvcEc(7MfyNt+j zsC@;A1p{=w!2@~Il9a~$6u?>>kXz{&3Aw+Dk#eNVSkSrq0QY#d8DV56_$l2y*8>3 z4SV|6A^Jn2xQrQivB{%~jxa)U=P(47(G**`-#vO8%I_wU)36Q|6I1*E!3j%yFFNEy zXQhDO1|2Fsv6N-`_<-|oMnFP%geB4BZPWapK0+7gN?MH{6h~M3$?8K-FlSA=2B=o+- zt8Gxiu75w`%8s&kBKa&_JSQL6?}KUUQ8RxDz&3tSBOBdU8#lwyKTUCbw+#uT%G!N- z2O_(B3_SOLK}3yp>FjAJ(F1tPTgF=d{%9YsdW?9_Gzf1m#`tH67}UZZOL>@oHM}T3 z5B8IhXvW{Kwi)}&?`^F^=D!?&Gx{ysPGkr$5sUG{LT@X5@VX9PtB1LkiZ65|J$Uby z-wmiJGxBKvcA%$&mcMcHOs|BmFRj4Uc?wF*!2=3GvBjNN5l9vNnNW}bQuR&lJ4-F# zZkeqd4P8=)i60>W#d0KN6)?YcimAS>B;C5K|0es7qk@(c%&Nj{bU>buRuup|NtcC& zh4EEUR-+VG?Ht(ycn9%9!DYcnm|%o#29&59R5K-JuW_Fe1V|Kr2cC(<$iifjE_ilxF%(bY*g#h1b?K z-vtG2&R44Mw|ZP|`;mk9M*72eM^{}(I%~E?MG7KRWAz_?=KB_O__TRF)y23?MskPB z>-v?qT75EZ!iYXD$s{K1wL<=S^yWoIt@!xw;4Qgej;^B1#FMS;%hG0k=!`;`)SJSj zsNgBHV!;PX^VG5zDE7QpE-AqiO^O{w3y*WsVb5Rxv_ZU9gYkNiR1a)Hmtlu-aaK18 zg9DEB;PEZPH}f>`B#2>qI<;3p3Q-bL$B%cUU_lU&`_M{Qb95ZhR66_}%vC=a-8Ae* z(}A^+>pjX!t4hfTVMz?1hKA5{YG8l*0P!|r961?83l;)^;c9f>-e6v;xg2xmLcZxj zI(QCC+K2H>x~b46R_M+b{M3viPW^sE4p^~zHz9t;eJFnp&`|pt9(M2kWVF9 zX9Z*hnxPOyDP*D>;EF}2(0y0pE~IlxVw60_4+HISgSos|11Y~5o(9YM0f;R3r1#eqmN@brA(N8>`%IZ-7J%1=VWa-kXK6VeFwKmbkpLYAq)n;VS*45=?1F6L#5Az{a zr&Ai~aVQ98nEAuD|D7L(f9K~|LIPb7`=cJyFq8c=`gmz)!*jMB0t^)TBr{W>Akbw& zPG5e2Bng2SNhd-Xo>=KDV50Els+BN#|2I7nhtOM~r|>S-M0KDzibDd#GN_#Y#~RngS~2h7sO zE97Xj!UY5T&`7ZKYc!OU!jbzC{-6Nct==7|c zRr3zHHT^qy$lC1Sw71dqL{chIF(Ew?X{{YEH7TynT^?9_05DppQ8S;Jgfx2*kV%c% z_3^=1$@5e9QyBM}J5k@SA+Z<_=)!*C!$d1-=iQ3WZ!2EG0F`SsG&3020Ks1vo-@USwsRiwV74=EqwC|}7GXE3 zK1(UICMqoDFt}S+aLw*14$P{unjf5wcF1eTv5A{_Qi%y+DOyg=^ROVK-w)6nex4i+ zj0lN}f6jGQe~dBTCt5-TKM+8-c3(EQ@YOn_iS#<1ATT-pnp*k_9=0x>waj!grU%%u zkJeDrz;Jk2*ZBhn4ep(aTU6Ez>9AYe-hlEQMkt<-;;S?MxdB&6ol_mfofq-ZjbJ0sk1&mX!F%SvdNno?&i;6>@~XD2MkW2w>=LZo02Y=7%@vOS zrR6kNbXqtWRh%caNEOiz6@s7S(5w9FSdfd!Ai~7JDar0WY1+TQifH*ARAswBeBKNV zv8jxc6D6PG8zrBSC7>Ih5uC&38TkXamKs?YB!oSPiUspSMsn-jE^odw68q47tptw2 z{E)~2FXo1~&!O-j~5Tot5e|)MEr+=SYkg}J{ ztrK6XBQfAm4%7^7w+|a(S?6>9f^_$eKJS+hJkc+HltUfPX?~(!N7tXk0Z9D()bJXg zg%OxbSRDs7KS03@1^R;!@mc%<-wcrM0ABbEPgg6cZwH51DiG!8K!?v8GapEaAlT~7 z?(pnWzwWQUW46kJND_@ea>N;_y78r-Ey!#_v+-|V)ghY-I~2TG8;hAgOHraB%P&yL z=btdr_41eXqI@UjW_^iQ&dI9LA?+xUB^MstphOBUlRZ_4F2N^vdY_xERH1k&tT4G> z$UPx{2M_06RCo7tto4MPA&#B%8T0`D913!hI1zwEj|^c>5KbR?M~dr&;M-_;?vGJ} z?15(!Ux$$>gBK+|8}z2YCc*tQFbcj2Y-34{$&l+ZeZI)9{b z!gsO%>`ksyBH-uVFAiRj-Z@?A4pUV@_u`*fUsya1mE=;EAwB5irim98h6ITFtmFdb z86+iKj0DXB^G@-+$xcpzgQZQ!%XR|NOMhKX^DimwD0n>s z8rV8DN&+v)1B+c=+&1+2*gW4$6byc;WEdZU=z_Tmh@@}IFM$#=&{uU_FUY?NC3_ok z-+5f#N7*cfr%EL4oEOTsz{2o)%Z_EN%gttIO-8Fo!3Nju1zbZ0!yhrw3lG68foIjhDjz6hK3`}z#~`8}igTGjEU zu?_z~Vzg|Ez0TDj6Qsd zuqulG+{ah;Cah19*gu$F5Is&hzieW_ta7#R?L7m;=h5M91p58EY>g;pZX#eygYb2# zgd-*r9EdFdG%X-21py&D63$#MWY1Kn^3~?#SY7QvMc7%Ew?tF^qE z+Rg3E$;)F;^^KS^Ss`*l3EIX$^Ej!n?HbELFG&oPbjr>yL>q^OF0!!+qK21Zy=m30 zmPcz@1MQeG9tuOOa%ThW)A&J>Sj@EKD1-=7guI;)K1oPANl1XLZL0+WhrQ402^5=S zq41sC<$YsBvC!mckE=^3kaB9S>di&k?;>ZpTq_KOmA|vth26 zo}xCv#?BEVwOodKSAAwhf0oWC&^=S^=buA`6`uO>lXS4+1TjSghbIg!adgrsI*@8n}31y@;VY*WohWC!GBqL=><|C zWagx%K+FlSGFP>BppTBkp~2!IiJJ=pYvJx&)Iyi2Y(Q5bt-B{S<_&U5-#7QJYrJ8Q z)_UhRqAv?8Gld-mc)w_qDs3)9lXhuimTVVny+?4Az}9~V^-n&8Cyl1SSCVc3gCTq! z)7KVPCIjD;w*C8BA^4g1;9;Lqj&5B{j`UXFiQg&jV&I2v?=Q0L4KGf9drJ#`Z>vXo z-lG2U#R8qd$L9hbm4k&U8S~2V@=r}r!-PPCTgHDmCG1glsLicr*0%>B%6j!DKy_62 zUkTCl(+y4lB%P$O%SRx200992X8&-Sgtgi2Y~{HAW!C+RndcBlGd`8zg@F9s{=_gd}D!Jd>2#N97J9m;%JF-Y7Z*jYYkf?ms5KHVNUW>u?2MNCRbZ$(-%+!Fd;z394 zH>Q@CAxD^C(Hn%a66)Ul{qe~oVL!Cj?uWC*t^8J7RrCuiV&4TF{(Dz?x&4nf`8NQovfUkq^t(`Ha4Xk=@Ju?4o(c&TQzcKWb)%f5@xV&Vbk9;qA79YGZ9a0 z)P2AOqG(59GvMVtLSSYmYek1h!!}b7%MA`)v;Db$#z|QJolVMieVq;1+Xug`*yS~& zqz8y5f79@#N*n{k8!Jk1Fh-2;Mbq84nnTXQ|MLO})L=-&J(eAOc;EA#*oRniVnMV; zg0pb}gX@q4!1U5Sm6fAAiel(Xw(sCC;6bRmWF;9ByDju2p_4aJRV z;Nf}R!P)bPp8~r=UhmtOxS?-VoLLGQ#F-EUIx;(I^m#>U0!_cH`Xa6I18e9VGY2O@ zDXolsNjoOFKcqD(?Qu{3!^LKl`kvAI95g#*F*3K}2N=y(YKS2cu`U35_F<;FZu>3F zLqiN0neI?ER`f)L2y0!smwn|myGkp$eK}#=Gw3?V4VEO^l6}ARO8)RTa#ckmy3a^n zyh+PrT5Z1|2O7$v9v$m?X8p>w4J=;fM8Kt019d%oa$~xouD^AB%dkETvph0T<8J_7 zFQBx?s+1Wk;bN?Tzl5Yx;yE8n1?dW~6mQ%%S2uG5zNJc7PO{kxofOgV<*1Mj#%!M2 zU3ZX(K`hs&4|P6cWgEEl$i{Nk3qIN}%ba7gsOkQSCoX-Q_FIRL_t2k=n)sdZGsNVxq~$BbdIfigGyA@Mb>U}9h_)Q~Mrtxz zS-fx-IL|;(yh)z^H+@JVO+Mm;CHygI{JKbTOc}Y~Jx=Yze<8m;JX}tu5?lcFE%tze z2%zu*Y0l!$kIs*(yL&<;iqAekwgm(Bx3dd=&bvQg?;#|ZO~j&8*6JpOX%!W|MZaF<#H z9RYZMn-Stwd7A(}e<0Qeqs0&kB`lW6jF&JuFBrP#GrrnO)xyNXiKHVOI7lg!==YGJ zQ-_SanPPonbPrhS?Dqp{olsD>@{i(}kH6v}X92;Vjb-5K)DC?Hcz7JFsJ^?~4_$)g zYk_}(+c+#Nx}$%S8hL-N?1vi^98X2a91Q}d8~`d7cl*f+J6g;`WO$rVQMLflHJgi^ zP*=AB#Cy!R9pZ_)-RQbjvX_q#0s{bsV5cZtZXauTsVg_Tb1WR=P02^pY{4STnI&44TM1eG1)_GPN7(19A}Y~M#I`#W{^C6ARVmn zF^sWTxPAs*Pj(r6=@?}0)M}sz(svmlY*HMH_g~RjjCb8d^uEcmk#XRYh1|hTvGxS| zkNjd9OOi`b1}wXBbZiz>&7r8x7o>K-fuHhdc2p#qr>HD`AjMWu-Yo_58;KGFTb zKWARM6BjIif{=+I6`Xri^r{1uaFMb%h(-n)y!af9KqTL0f7sU~Ej_NdJyRM2+!4GV z{uA!Coc|d*_u|Ocnh_wNo^O|8mDe^?2#u-*Jw){9R(x0hV4~)%g-AVh%(m5!YyoD3 zv;wNKp&B0JNHHJ*ugzI155k>%It(HS?QnDva*A>j40>!?G>w?AEGRy$xO@0l_Pbv@ z4l6U1ejv8Hn;l16o4z${<1W&0 zA#szGm2?t~bGJhZSkT02iscOl_K;3T>$)2iYh< z^u%C=3Rr-<_gmRlDf53-Su}nup}ISw;rJx`tL3M!xn;_zUOcS$<0gbe-pT}P)bGoZ zm{V3Rw&& zLJDbH!?Lwp5fAC@%{7`Va|%@-Y{@#0m32}ktro(*0o#dYFf664@CpM3@1K>XigD58 zE_W;vOabj{z@T3gWzK0X$s;6W_?`IWay-(_?v@SuaFc{vOW_JJQ?_p*iN58Ndp=@& zRyrc!a(~({pZez)SmQ`8V;Mit-Z z^(W7&$M~Z6Q>h1A<&ST{D2=3`pzW#{TtNDN3ngxKFU@RA1lWNHk|Lcz;(WCZ_A*9` za}xWTpqgg^K)XefEkoss>j#p?-`A?JfmVb>&mtylUq=p=)N8Nh9`v9T6pTd7m>pf+^TY$$<*a6BewB`#>J^Oto)qo;t# z(6M&Q`7jg?9u!)ZsIMb?ZEW|763pXUyrN=u9JVVk6{tmLFLe0>dhU&KF8snEgO@Fd z1yl3_=j6^52LY8t;tS<=`Un4M?}9l(T4dC8r}^6$BSTM`5_uiwQ+)QdW~@Wia#BHk zW@U5ya#<@P4JoLE0L`-F;rr=m@cZz^;LL>q)n|ooz^#j(rRQn#yhh@@5={HqKDtis z1ErPYl8VnRyl>3|fU*65=U`u(B{`3OcixoVM^N%ITbGr0Wa}i*L9pn?Kz`U>yg<2q zcBy6o?iVAVbQUd&g)X=bG$&9T@d9&aiiwPk*@G$2trH;!85jl{|f+)*ZN-Sbxw0mm+ zha9qKXda*wd-BsZQ?ZDWzeAY$YqNMz0lsgLQ|m0dq7bsTk0|~s)+o}-BKcneEhik5=P(P$IQ$n zP+5@t6^mC!p3lsmQ@1fLgwQ;zqTU6GH@-f7Ia<4b&(PacOeE_*sEXJV5=QlsSpU&m zY2CHnsg;?E&+^e0^x6?kvHs2AL8nxl%-!+*e{OKQ-G9mEFs>L05)t=b|q z3ZF$D)~gO$0ycJD+d2%ySItYHIiPXWM05J;F# z5}@W<>w)OKe~HRe%J!Wa(g9`g?=v=(7C?>k)fY2&s5^z{ghcbTmv%IHy=VYPQlYa^ z^wz{P?fmc$QPSTMV2|Bg?Mm#~W*G_->* zn}gFJDb)d1P1oG|@1RjS8Gx1rCN1-=>5!}<30>s!< zJ&|QR#rk!$a#Z*AB1@cc5muT;R8@>a7;uHh14MFGrBhx0Qh}h79FS?0i2B8ZqxZY}q5cJNQsX=^C^Ab*ILCU|+ zm8C-p)z^Iy+;3x$l}q4&+q=o$s#Okx%ah}ien{eu3s-D>A9jD$?LLpn=)&C_kC5f5 zLqXo2x;fvrEtKmDtA1yZ-j9qNhN>R#vp{HPTsIi8)s|rarOwLd z)WAgvZ@c&?%6|^?0HoV*R`zF8sU;4yX!cwz>bw>e?_ZCx)m(C|z{GiN@>UYgPo&BubxG@`iY5}-tUk0sv zU)LRZ`TTwN{2=+j54=Y=04oBtKUlr}-oTPtx=`v+b9u@xi=LPR-dY!ZBU>Qs&i`CC znNDlW&LckPs2S7MPt8=$*%JdnHi61GA`+ja<}=L3oLY zDMZI0^1idJw*g~XL4Swh-%kE z7cID60-vJe?9A4zhpOOn_}9ia2S|rK98j*+2ej^Jgh~u;E?apxd*ZXefL7VF5qH1- z3SPReAc{9N?)zj&f}uu^y2f0gY~NHm$BteHkEv?kld?*!NL%V75aBJ(_Qf9rpS7HP z3@Et-CbJ(lZ$e?ek}A(NiOvHaLM$&+MkC&1$~4=Y$@TBVL?qx@-fC7AVn}uf_-I?ciZAEr0yNn^_nwM5?%V z)on#QreI@C$kmRXcD<@UOO)3V!N5=t4+udyg~1L ztujBiH{@18YEG~w+!3Gi2X;uoyirBaz}4Js=OC>dUx4jpuNOT=`f*f4ri8A@b`502 zol`MmQQwU5aHjGqQg97^YMcwRHUXB%jS_m-joId`X6%?w1X?L0GP>l=Eb$I7Ywwb6 zYuNom&Bg2#z41p()XxPdzVL!S^2Q2zek1}|pDA2~Qq(((*0K)dcVzQsAoldNqM;F{ zXw<)1AO_xnYeP_*<3=?fMgpnmsQLuKb_-I{mP7p}O9u2hwD~x>{ zhgHDV9Se1MF;>!#S1C3O0oxKR0$$Z507dM$`jUT=rk)t-sx3`=UorZi_#Mmah`39z za>L(O^GAc-;G&F|(_gnVv*WHtXMHIkfCtcO0IxXHO|D^KfNNP*0Gr?Dh+t_E)FOv* z`mF0&@UD~B;{&kMho%srL&lF>it-*+p!a(3>KgmJ7xIlZ5)=d~g#J5Vi4lFkU8rh9 zL?$&oPc{cK%ISy7s?-#{Kvw7JNRfTI-G;B$z<%uZbr)>@!Q7^QfA6;t5Y8vDu$Fm8 zJ0YYz^D$cgW-{v*aHyL_uzAEzu2YlYK#ItBim!Q;u!&w0XM;IwoKJ@8E}AkC8cYsw z#L0xRu;Qzj!_+=|uWX}pT%Py0?fxh23y}QVp|wJ%IXF&!<-v!M>92R+4;*FgP4vCx z2~w;*RaZ_V=WV;+{24(G{u08Z!{Ot%ucqg1JIB<&Z(h^aRAxQvDMlU6+wV{Zf)zzP zY$-_no-mZ9;1L2bpqh)LGgAM2VW_cC40j|%d?hrn%qbNpw=DbzJDQrK_&sQf-b0w3D zFQ0-XLut2SC}}m9!G|vk!fN-MebsV9zlES$o)L958dE$?y>Biw&xl12)2hlSTeVrHW?#P|)@hp_D-`O^9Zk+3-*PCb z)uI@>(;EufM3aBhh1b@YJsaOtkASTUw+hWp!9|zSEm;du&`Mr#yQkY>$jr30Uhnts z;T!q&ZLz|$>Kd+H*dTJ5q2qQna!s1_>j~nOdr?bHhfv~)NmNOR{@R*))TiyaD@v#P zhtD;5=MkeaRvHmW8ja7UpVkSv*|!s-Q3coTcse_cDT^CG@P40=4AyL393JJp*GCB@#3RF4&INnmeG`96LP7cB_-FiG9)trq<`>CPAs004OwUK z@;sBo0*Hfd*LD74?A$!6ktP4#((l;daa__(S2O%AjB;8Ef&QK^Nza3h`r&iZ=sfx| zA0_5J58G#ga-t*w1|CmuEvxga>RN&*EBewY*fpOZoBX7QTZU&&)zr^%{6sBJJ}u8a z^f0KWzgV{o@YCX@6b$%S{B^{|8=mG%c%=WR*L5U5l6a0CS2v-(zvBkQ4*i$&Ze#zC zkgqz&`kFMdT#@2!e~5vxp(~IH*LbpBP59XK-R~p#HUXvbaz;&d__GZ1tnpGyEu->{ zaWs>QI~2WO`zup`N-TKbDP>5+;JYzOrMm9Pexz1@zgqGdT@r;`Z9|4+!}+duZV2YF z%Vq7et=&p8df9uOzQP5YKlaBqcA&C6(X^HRSW;LvSLe&adIEQ+L$Bcc{LN!lec_9a zbzYRI2SGQq%cFHkX%$B)xBi6Qv*T&qKa?K>QCx@Qr8aqg>|IPY@aqqU*zxXuy{vXK zPT3BY_#20DQA&$I#2HIl{bGesc+6N`pO;1{ipVu%7iOKmt6zC2BMm36CVkb|Ocpf|ICmAbbX0+YH3XP=3t-T>7S&8N+(FYS}mlb1FL$Ro%+`S%d;p4Cq z8|{%}PToY}mm@PIvfYVBY&broA5qhG{OWm4u~Wm6oE#VSW6}yCSUnE%Ceycj#${X@~`mzP7WEJVnchXQ3C+9h%=B~O@{%(9Nfo0^i;Tm8d% z>RkABfo^z=4iD>QH7(F>J_2u~ut5G#@fsX>>4g(Kl6F{B^mI66FTiGR)rI-xfcIxf z1JWb-_p_JPUoM*uIzZpYnA6!xQ=~2-pNPJLL8la)FXMQ|Kv|m>1aJ~A+D|>fnJYF> zOD9OcrE!^7w75G#aP&mv6GZM4iq zG9m8_Tem2sA`!5$J|v}dJ6>C3X*2i_HV6@175RBFc9T8qU4gRiAno>>l*;G?CirU$ zg6lXrSjoxmK@7(d5hvSQlvi#KT?E(Q=UG>$%Rk}bM*V|-l`pv+Ft**cX1^vP(`??) zm`aCTDsM~~%eHbWPLnbsA3|K5eJ`W@eTpbunhNS4CBq{d(qP}iHEcWiRJv`T>8WuZ zAXQ{zyDv-7@b{1gGdU&+ljT;cMdPR;*1^a9fEtZ4L-vc8mK47L`)pIANh&a_a+*t1 z1JvsC+zhSydWVB0!Wr2yb{t}G_9^KYgba#GMv5O~J=z3*EyPenPYr=)M3IB4@elXF z81V0TTYG{LzA9}@Nt;$i;U&p_AG~IkJ6L4 zjrNz1nL4e0ovYQ~$T!RGvX_GdbKO&5bm&KI{e-XEauF>54UyZ{c@Z!HUhYNCp) z9DIiCu$6#4yhxZOuFd#s}+fvW12G&8c9z1QI$&Xn+->129MuHo6jei z!%@j1;XH8@S>c^&L<9sim`5mBCUVA9_1{{0p60Mfh*zS&2~tzKzjs<a)SZq|1tHLY#og2ep77G+7C$4A!2*lO7wxp5(l=O#Cy zWCC2wB=43=saHGQsZ#9i#T*n4)KuT|@Z8^d+E(K)fA_p%v$w(3Q3v}(Iktkj&cbOE zv9Zl;ZbZ}(;fWOHo71~^S9Eh{n?7#*cI2t0m0CLo*q6M0{*l{D4nH#)56c&V&2eH$M2KV z3>So_&(ugCPKt#!9=I;jpI`rtI6xqK>-r%*DG<^Np^))lH}u;{(B`XmK+pW{UK{BJ zUX@J+-ya!!$T>7U-)zn)Zl(eFXlq@)VS08>Tt@^-nwcoJjR*%PctIXs3lofGeMvlS z+>*N>Sy-8Ly{KVC8iRwmXnZ?VV;x3d6|XS%+vqt3Np5IF2nXX;zVuys9O{lA`+7nx{Zx`z`mTuxy2?^WATGB&sddfnv`B--67W4_wY(Ay*r%nBe%hmU(e=+IOmXCaHCC#ti`eETi*ZC8oIPFU!;5lQ)=C*cN;ke)SC=4u4jSG#HrXO+-7VO6 zKefwhjr$k9IwCZ<^`u@VrgoI1;W|q$0@mQ%Ug9Ole*Tu)0iae@Zka!o%jv%56+Mw^ z(h3~3$L%dgMNoHgX7Z5dVC1&yGbKZVbFvOS)M?5ob@^t&miQRW5DE=14}WAYdyMFo zPGt-5zY_^X75C8k#EDF2pze+>86a|~Fsp6Q+l*1NvRr(AFe(0CUwTSUfYlmfGz8^c zANI|7af|F~tq)RW3I`2^liBCyL~IlDDZLIZ#}RgE$))8PQ4=k*q9q~FDJ@|X&`bS+ z%k6Q??QI6253!Prb=He(lk`F^qOi>+2B?T*V05;-Q)YVD=Z;^!J@gmN_;KU2KN|`-|XOxHKBO2*{#kLcj{VK?Zm;3A1i|8J-4!2eQ$^@LhA!1bG!u;0MUk$$5 zD^n|@QFk2*-_me>&AFE%Mn}5#)xjH_pB=7q_H@=t&G5My;E2AOoEAHRu@WlBQ-$?q zFk(Rk*`{3liy0y1H02xC6TN&?pmmVL2PQ``)GCx?d&>*qgXAh9G_}yx;C9rgPgg6N zU;Y-?6WpI|yUUF=gj6(DO!f<6ypw^)Lwqbz_zHVYrg_dfu)B?R-VzhlegUMXTtfjA zB_ITMqj*h4F5&J-H@>#U=IUBoSoRPu6=KVf946VpBbe|5Si=Auld;;I`M5Ve$vSAZ z^(8Zv8Nn~BzBPm&TkWK>Y|D=+1Gbw>OJ%Ty6*oCfFmyXu7Px3*x5Iq~5_~m<+2&P1 zL3?;HdlqoQu)t!Cm)DySPV3Y0$`r+@D^xaW+;Vf*h^y7|)Bm!J9Bwx-^4ks z;Mdx~QN9sz>X%43Qkdqj%Re7+m|g9Nw1opFfQ0~AUfLkA*i^qRdmK$Soio7JY8m}z zxr4_xF-2w`Ts|D}W2b?+H-@r1MK%(B)P_sF=VuM#+q*Bjm}=R7Th7qDjxA#dZ9DdZDUXJ>1zbO!n<#j0{M)J|7(z$SJ3?zQct3W;i z4g>9&shI@}k@VhAX7Vw_JN3C}+{`R=9v0_z^On$;lb!fk46iv`=H{ zTIz@-Rb)Oj`_dD`G*qK*Hco@%1LiUY%rPsRw$^lwo*Fx=CIM#hc5F>PJvn7gj>V3! znGC!iN5IM?;}TB|Ksek_MaqIN*F~QWf+-thPfqqx!9x0ZGfzO^-Kt$tA#G(`_gn)7 zrVc~dpzY~$Ud<$>>oGgu2CF0}uzIynQEfH0k9gggocOyw^edmzSnJ@=CNfbHu4hxO zGJfyhvu+n7HPSp@mZJ(DSjIwm0e7@9s9EUDQJ>NW8yilxfeoSAb{$#B)7F?YyNGro z{nOqqD{fA(EbPufKM%0<8d3FKcDj}gkdL-G?R_}@t#u%H7;QsE2pDXW_05+b4;LQW zO6X?;Xg>Vrte-r++e*+bxL?r4J4bIR%JP4Yg^Zh>Rx&=|!_QwsQHM+Kro%i<1--Xw zeFCQPUPQ>44*pN&$2|w|eQz3+%>F8$e z@_KkbJjEUqdRKUK~Vlv43p zJd*z}wO(IoiI56oE*TjDEM!%_0vMe!u`?e;U20Q*N_O={Olz}?~E5Kmqk1Wt55^t^%SlI65}-U>q_M*U3me>O#5zY$lTJ?>8!d3||D{IoEN zK5@T#Ej>n@X8KZIl+2rAG323%nWr+=t}7BjngGGP#X@;QZzu!rjaU3J275IEv2Tdw zg=w7v`0@fUFozg;o}`#KH5w7$k`(#@3&#+iMq?up;;|StEg|{YYhMDh{hop&E>hj z(Dg+9yURU;ULq5fv3_Y7H^|D*7@|wew_N!EVFQpG+A~xs-_NN_HyI^AqeM7ql*OQa zJ{KH|ve6iejyIx5Hr$82{qiN%xbRNY)4*07``o)B{CW}3$7iM{v?m%pd!jP7B$8PA zYz5-WtPuAkrAYw)$LpOh_R`0T>{9)=8ALVPJ4Ke~ee}adef_`Y-~o*tB0KV8{sp5~ z_`B>w$V_BpU(16|IAK{yc1?X`TOZ6356_~Sj(Fk3U`>t)jL5_oI>~E<0P`6_wmFZN z_sq|#yxy%J^?VK9#8>b3Z=`vE=Z&wE-w6l>C~p9tlvQ2`Sh&RX)J?OneiZ)V>H2~V z^Vc}u5zvvK;nax%KA}rEl(F*tjoIRrQve1GgY-5PC&RXSCGpPB?`5a8H|*rW4tLkX zeB=58oi>=A9qzF9Hk?q__B`xVKinE`OGZSdrzeVO1;jOFBIRWJ>CH{-lp{huE2QWl z%A53AwiYl*n`nGPgz7$sU`s?Jl=C*Fnn({#E3gLyE+6r&^TE%MOqF_|zs9@eA(vkd zIqb*g$b(r}8AGNEaCG?9q0F?K004IA&TSODbn2a3fKy44+9#^{8O?PfSmYxIOvb(g1RG&^yV2MbhKhwsyEA2#p?2uJ z{(*}hbECaPty&ZwrydBvD=ebXhzdU;W1zpm#RPd|D|kyZ*#fb%*uyPC|0K};yPUGz z3bU&;hM;zRe9KRT-`W=2X7cnk;z2qhvK#w}lnV3OZ_5qAbu~K5cV3@x@gP{zB?g8h zCyg(vLu;%bNoBKJOWz&Z4Zg8xN`W~gX(g6_VhBBZbu4oR$FGICNmH20{`9iKpsh4S@OomU(Z=OTi(R^-- z2A|YPud-WXq_?*(Go&=X!zti3Pnwu8)m?_^TAQJQz8D6O)s8jiW=!4Uk$uXcNeQ3l zCw{;FcnDQt|CMq2+~BW2EnlOYTbsPE;ZJSG<(b)OFRQ#3^>A>PaQl){WvWPM!U%#< zm|rt~mEu>ym0D=H?(v*8s{k`rx`ukl;3%E{;sYp zhaK26%cHW%E1z)z%~dH8%vS>3%Dd&qt>EYUC6%u%V&p0U4^JLE9-g8VxF^jgl{gQz zGvA`)`=F7a^OU4jkep8m8}}7y{{9;jXQs1G~GisBty5;O#OReMw(oo-#b1KDG!|)!>4UafHO9Kl=AU zB^JSH@T6u32V~?G$stK&to$j+8p9!lXdT^8-R@2|4^r12ZkN~G?|E9?_PVx!+D%Dk zOJV(#9c2i|<)yv<3jw%;f7b&*VOdvdf- z$-T3}E)#{N04TAaS4~8v$*Rb8>{@2Vx3B*6A$D*4(Dk(T5ftx2srs+=u)zKfoQ&k{#bXA}$eo+^lfyWoK;v%( zfz?(Yx=xMu9s^_oY`F7`po$@pCwA2xKK+KZ%+(vrgeX&20G8`7uK8sf1Q|olqI{+3V8fHEy`A=ehX~lxh(~+fE&`seiI&! z*0O1KNnX;HQ8$IPd}6j(9qF0*=v^Vj@{53~H*liyhbN~C?)M|_ zYtiPb_96|4!xa4q7l)cVt>QeL{j2bfc&|9&$Nx}ul~GZ4(VA4cq#FV0?w0QE?v(CU zknZko2BbTsyOHkh?()9)-TUMIVl7$AVcywu&a?LJpObTCr z@ZZ5^0FdZJkM!PU=C9U+OPV(G6$v99da~TK&eSd(&hi);hQdh-@6>V6_akOwgZh2q zBIl&;_LzVRe2KRD1cz&J0HbRkWVdIKQ3Fa^mvOn9_2GbZD)Mi$ueq>kua38lXP$?u zyfvd(W-^;+F6kD>XCz(6o0WE1>^%gZHy3&A*xh{odY-6c?`y*b7}wvjx&{_Kl${@# zY;JgWaDIbR{bjTAJgf$wg>Uyb0a3m%1%W^16#=dv@sS?igv7q}iIintV8M+)Fwz-@ zYkq|fu{O|dj>DeC$k32qSc&zg8TLE(mbnU{uoXlVv&O&3QhtS=r| zkwpwLJZV=`8jrqjk5W%MxO?=NSWxsf*up-=Ct*dSQqn*ATYYb_aZSo|r!+M^oG-oa z)KQ%};C2F85#h`@{4u||HT`45iOJYtZkyN1V2*UuTW=tWx8CM*g{iA{&y!V0-R*oP2Hb;DUP~!npM{qrtrPq+0@agkA?`Xt~Y zcC!8Zb_E?FA>UU?SpvFiBU-Lb2Hw+7Y~i(s$svSq-90hTo|s8Eq9N0zN=wNU*rOfS zFTlQSO#D|Gr~d^MP{$KaFP}aBIx!%YWUa3|LLM4k#gvt_0Z+GfAiUK2kCOTq555&{ zPfm_#+#vBd$t%x?Lr~#Lr{zbYOkO0-ILdF9hSp{u;wG8py$!lkVp@27_&aWf)s`XD z(EI^#>+LukFt~q=ot8Gb76y!AVWkkjil&WEPLS{)=sDN>&T`^$%EyOZ6cF_BCJ?|r z@9f!2ugD8X%0(M*U5}IJ#C9u^Gz{n==CPAgQEQysxSB96x1PEq1i3~Jf;IHtNz0G4 zjH#G-!d8=8?JY#TK)pSjNLMoRvIR~6U&-OMp zo|zcge%WSW$xAwgN48xR1)Aw-`b_!%C^PZK_3wQJkQT3~_;OX3IQ4d zm}o$IpBdbEy4f}FdU>&>aJBhMgz=D(ImFrBdC|Yh5C=?eQB>Lu`QFAmGW;}c`7Z!; z6hnhSbFRMkVhb z>PvN>2-}wmdK&l=*c-RdAhJPmz(KcfCSxX~_92cQ$t$NONS}yXO4A7snD*^(XvpQO zT3ApT2tWdNvQR*O@OAD%Tk`Vq0PZ~gdRNlU=G_lU#%+qQM*qx`7vn+iRyq{uXa?=C zS|XbjV8CZL7t-o@+RlHMr0z6QV)$NS3S0~yJj=ZDxnU|d0BSu;!wrb5m1d}m&wa^VeT*46yaQ&Zd6;B*O9WkZZ(`c z&%?k3v6|7DvSjd!EOY%G06?z#cAmCjC#RzxQh7Ud(Go6K1K*?VWXN66qnwWRdp$ca-C#TOs?25;(!n*BW=Ey__Dj!C zOw4@gIgs#-&Nv%xZx{jo(1Cl1k(VwID9wz{S?9&xpK!b_K9!Q(35|NX)8H^X72H1j zGX}oy%kL{l(e@oL@pLUNvTn644^GJXwl@#iHihZQNFK(wxOl5PD3CiE!o`!uDK>Gj z^TR+vF-XXCStDywk!LVktcSK?bWGjHooBM-JI!SJF6P+ktsQz#+w zJwu8qPbVW+s0XwbwK!d6o0O+DZ6<#tc9YL24B>KfHt=#oPqpL`XFZ&ZOXxzA*&#m3fXCtP75pz{2yHDeKn0Y8G=pJ0kFX1n`o+41dq z>=|^>Qa1a5(rMD$e>GU+0cde{c3DP>hWvq4Lod$-UvAWvW{qf`8#&}-4=yiaC5;!A z6~_RQUMgKn;inKc;6cEp`w)<8NQ_d@*Y|l6!KG7C4j5k_htk`m+uOQowlvY`sD7q! zD++w`g(cSH{Y(Q1CPf!?)g*zY&bLnI9#jDmU}fuL)xyNUR7i-kE~95)3KgkL z))qrD_ancx0Gjgq_Lj_aal}GKwp5qCeJ%xu*l0OIKrh8Vp3uqZsGTXIJ5SU-p)cEe z_i)S>fJCq!bAusB$-q3?if93&g1}kPjI3;v z9?u}WO{O(~Tley)S*fGm6zd$9D+1?nF-^)pENf4pH5w?={cn}F`(1Rc?pC{bjVs4{ zKoa%J(}j+S9;*fgxZl8Ahsz!wJ2>2p9wEdI3W`gc!LgB!8)Cp--D~FI5i;Ddvy!^+ zlSXTUb3}g#>e7WH-%Y^|E-DP z^sLUh0YBghXr*uLn;}KX8^DMscR9^mI#1Sf*x-<1TNZ@YjCUH~#d5 zyKnAj0`TPzzo*DVfiY?UD_i6ni-+0!N%tTC{m;cmI*X*p@Xbx6ItkUk=MUDRdjna3 zGfaOHyeS~~!va+8hy3Z8rtjBC9kuRAK&w6aiRV67D7#nKpqf@8aS-b~*7R)B*r)6! zGauL~N9Mi?6y8=6c^DpUsTHuCMw47m6F8f>`Be>H7vWc!tx{}IZGO{Q46y;PDW2~7ay7?3)>O|CQuS8CGFI~vl@ zY14iNh*W}pfIdryS)LtXg@KIVn%?$q^E0fQdX4Q!4#Bi|eHoekbxwl|$e5Oel4OYz zWOccsUTtI=*%XL@;D)USFQ_M0df8y{RgJ|}_~ zGW0g-^tPD3UuS0zIC67;uIG7d^QvppEA5v2$jGvI9D*p+>1#sOskx_&u`T0DU5H1w zA?0ODyNC&%Xrz|smPa1@&3s)hD3R&YW-4WH!NT$)ZMOOAXekA8f&;=pP$8y%Ur|By zTJZfcN9wA&3=l72!@xZf6TrxFT`u6|mmD^cY9r3Fkqme!Xz&`}MSr$v%BZvN z>yzSF(!@`^gv;Le6=ShDrL#$*6H#dHosbE|Vg6qoc>(+m0C@s1pn5lm-90cC*^l#+ zzEXj|+)XOlBBI^E1y`pY0wM_uKTYOkD$YwMqP7QccM)nbX9os8-cY_(^>_TTc}*if z;qmRVmqY_hc=T4fPFHNgWd)^uDoyID5*P07e;=j{5kr7hG=J`K(Is6X3T2*f+wt<$zyV9%j)o5&bdZbe=if4-@MZP>qfyEHzydv>3w1#gd9 z7DL=#-4+X?94-STdCoK?*+0BpO&SZVI8B;O;u1Zx1R<&XQJAy7pG-+jmKID9<@_Ok znXbp?IO#*#@(~%-FjHo9`CEYvndtadN@$}7nSSN0NCJ12Bn|H5*eI4z6%_E9u~l( z(P90l3DF5;U#gzOONxNGe53=MDn6HV6a-*OJprnwz4z_Qe3VRn=M4H5cTU3Q6u}@X zO{Q`bRVJ~5Fnv{fN#=De#&j3+ai{x{^p6?0xdJhP8$Iy@mot`2^p2Hdrgap!Rkc85D9<=*2Kn^72g7Z->5rN|X7TXY*f zz6Vu|O4@H(>K3_@Q|EM7T=J*C<;EPqax9Ec3lx zlyleGvr!LUp94xNGpV*_-X@nuOIj+>@b^fvbtp;4`A+h{T2ig-sYCrl(@ukbsuE z*#A0w(~zoSI*lU_7`uQoReCjyWJI-CKO#5aq7K+FP(?g{TE6aWUVXWZ`m=W_U%4t_ zBW@tho#L#Zk_cd=!SR}5Mw^e5MANyy8iN7PKUc|CFd+mDINq~)zliwcfd!7WB1^LL!k>M8F`?t z0a4kn+Cu$LLRIA!j59qZo(BiFi_Sdrb4bkrJ_~# z_JqZFxQdEXwzAi_aRnQeLSAq+3W_dC6Ckc1RlUc@`jSt=tg8osAXyQ@Lc)%MFnAW8PyN& zbA#6MKb(3{dYXR_lA^u0@-BvZX_=oeFOr3V*t7(+tB29L(2^G4?vx1DJ5_+@v-fAR zvnRZ!<)%7ky%7aS$UT!i3@BEDERAX~NsC-c%wTF;BEIs8h8IxYxAw0YBfwxA=PW+G zQNZ*B=k@kBjBB;b-Sqv9Hfy0?z^J{S7eC6&lfs1Qze!dE?`Mji$e_@!BPNv^q&$pZ z#)znkwsy82G9dle-Q8@!!WBzIPE8Yad>gf=eo}~Q#7$9m@*xi}`wf78Z{ryxLT&bJ z?D;?G>|abwm(Y(Zd&|1RUR703s4I<9*6y$2K5QnMiWL?ER>z_9b@v%DUW+EQEy3tW zU~N~0fPTFS$4*@*#vl6+AA696i6yE6a(JC)m}PUOg>Yde5uN^&la8N47{-vhDhmot zukOHdedsdgnY~?YgCqg?x89c3hSlzfhp(JMjq5~lekM6PJ#G!BySbuH);8YX2YjW{ zv@56%!6sM!5Nz2@Z(iSOju%tEivqx(bahGf2|Fom6p%PoRN45%KX)dB9y3Vs*$4c^ zC?ax<4RtcrlTcxbc1_bY-A4H*Yp-bXS4#xwXj<*xUfDje z^783}VKE-NnbB`CNKWt&i!@mp9bmwOTi6<$xctaWz@(IF3NSiGbe&k4?fgco^nfQ; z=XbFP#!9C>d$#)<1{$yJ89oPEWqG2apRQ>lug?Z!G@btMs#)|86ykEr_-Ag*n|S{) zOeW+%1TH+CoCdD5CPnV<@Hcj78)&0Um471#1K49oG9;R^V{RIQ?+t4bbErSc0-XWA z6I6BRjq|BixCaMewyxf3$B5vM&1rb9qBn(wQx{=QI0w z1yz87l$_ci(h|@&3`&OVPn~*QQiY{{LH&FhbJr@^zW9~g(jj&=h;-9&SYzPZ?-(?a zfzj=T${xB|$Q?;VDQ45LG|v5L66gm-O*It>ZMW#dUE*V(ruMq-a%12#hSaWig7zO+ z|1u4pQ{J3-DY&Q!(mFYOzEe?|Sy&t^E|LS=kQa}3s*#gUb>F`DtWDd(MEDu;m%=vZ z^fe4W?$c;~1GEf7n{J&nLjaPUvaF0X-yX(%+{vvo_w>faF`{O(M!oV$B;3)tC;rwBrEo&}bD< z(nC@wFM-ys2pd#JB;ya`D*!|B`Sjm0Ucm+oq4fJ%)pVsgJe}7?atPaF z_>^P)#Y7ZKaamVS(m~jG@Lp=+SjD5gC5ge#o$llj3pf4ICg23M(`y_1IBX&w6)PMi zlyj_+p8zxLQOof3^og~ zVzb|87XB!0G30%|iH<>1>HTz@QuWQ$zZAbKsc#-w?{7NV)Ol-qzyH!1eNA_}81?HR z^W=ST{)5KPYnf5{i5NaqBRNUV8R*T-7X9Lo*Vn7FyJyJ&AoH*}ESh0s-BMHl`PIj~ z#LCxLow&fjw~H7MimbIz^oIH_>d=e!Oj!PA9!U}93aG3u8v*ie>o5(@%7ohjF#*8U zUG3P)>fAa?24g3$*iCm;Qu5ZhpJ6);{caY8JC`N)Yt-~CYMAumZ*VMpzJd5m5frx)!!{ROZ@}(0{!Kj!=Hil1dx)5WnN;A94MdZ~F_OAwB@p9=b1w z`OYck zt%B-DNszg%5HC?SZ&vvO=le$wg!+vvtl&~#2lY^p0;wbm-0LnNdsKB{y3W_!m6dIg z5olP9f5ciV5?7a${9t;bzdm2*lxv)@H{|H1l@T|i!^rtT#kDv(JkdowII7Fn?sJ_A zZ#^J5qIUH=Nw@9$3ngGz;@$Cu&;r-G%$qfNdpct)0d@NgYw^Ez2gNYc1S4)}^3QovC z{V^}=xyd`w$Md{p$M&fMn52vENBv0MO>s2QoNu0h+pT+)S5`z(5naVl zKJq}l{^iOe6c&iW95@Vowm|*GP!`a@8IOV>6=AMm#4DBuCaQ|M=a2BN0R%7_65JAG zzH}}s+Z6oNS7qJgZl{N}QMA%8fq(IFhnEntZ)!;riB1Nptcg6-80#1uI~&K|Cfcoa z(Y^tla<@ygR`RN-y0I;+zHcAgrnr9je1J~cz2IUd*@h1PgE+(7W%r>IZKM?JWM)fe+13U1MAb-zDaFfd8D0k}744WQ zqMPn}0F)09+F`k_LAa)<$}9#wpl_ERaHGY2#RNY#gPeqkMj&{9-K$J&?r`7*G%TL2k8HoV)AMNr>*9BwruRm3vgP4nuKIjbh*R^! zUEZR}z(6PPATWGInN~*yy#!t``xP;T;1{b8JSoEx(G_BE_Q8LEwm4v^z%Uj)GbZ;* z;H_;mvOSvERc28pD2*$)ol0RPCDB-3(s8ITD>rMP#< zM7~@D@EM4dF!R!}ZE>KCa#I?Og)K4nW|+KH}ki=sNT9bL8U(Fbu%{HFqUd ztf@cwz!-)-fvh&X@Xih5gfz19=4iT^7qLwNQaPxA?0V-6GJ&np@%X^dU6&bh6U}>viHH^c6Y1N&yL-jS@5*a3TW`?wc+{lwojzz0*#;euSxnC9)uB4|VgU1>ul#OxnV+`_b(Ac^ev?&F zh?K4=Osb0pC_wr672m~@z5?vK4Ie%bCR^=u5*~lP9m{RzS>y3#J^!lQ40}IUJw4dk!V^j zED61bi2#?L+w)aYIebh*O*Jv~XH8ELVSN%4Ggb)+*Ne4R=u^rRLfH&rGN*<|to+du z6j<>Q-hyLp1fYu<*@?i6wL`Eb!yiknq3_LX06~D)3cSaFGgt?%ou0K}E6ir0^T&Qv z(THJ*Z9ob>yn23I1Qh~Gke<`DpyHFd+qyY)=S~{evN;#YsN}%f^V~$&^Fz|HQqNyL zdY1ShE?3!bl2S>Mdm4BzZY0&fbL(YUFL`+t`C$EDutd{;hytWj0oe|(VZ5zZWcePD zE7?QGBv6Ei$wKL~qbEA*hA@?!>M!oMiyxjZmDStV8sXHYHvt_K=&u2v?vJk<${0F^ zJ+u(p(+~A0ch8S=Ntv^aZp`#FnE*euR#LHxEFQ%|MHBzLC(F@J5dfw+_>Leap6CDq z-9W?>4k&_7`K-;&CC`p}gCwr#AY_JF6k8-1dsL+Bf5)C3$3LhO&1cHHz4abj72ErV0i|p54tY9*4}t zy`Tk?M3R=C+Q8hBoH~XOZ>0AGp~chZgtAN|hnb$`r=X(iC23cs1y3c~f?Fg;AOM8O z-1*SSzF4#>tEp>_RHV_~p8Do_{D1*qMs;f&^_B;4ekm?a5BD`pHof_69KHq*MMUrR z6e+bbnLT|hlhEsQ8pFf?+B-lux|st}40NeeYxA*z8=sUZQsx@~L|vK101XBBPpBlS zCS*n}JMqR+2Ua{(0vo(u0pqsge0U`UBn8pu_f!qQ2>{htv}5uvq3oRf1WxvMjXYsucFL}l^s7E(M*gabrT-@Z^*{eY&lI1N~|B#j&+<)`edze_OzA7rHI4gaZ0D z+K0|+2b21{B8RpPIYlE>1%5^E%*%Wl<}%{(XaqI!r_F zf_skZ-Av=YivNUdUBryu;3##`?~4wzu?;Ygmy+9`vt}k2`zYpZCkM034C=yu?3(AT z*Xy|+&E@>C)b5$9E>>EZEHNj==>^Q^dl(3Lp!!Uc%3#sJ$9IiEcjk-M%6-?b$LnD7 zSsjSgPeKBk7D5G`8nyn&Z{G;)ZwEg@iY>{JcIacG;f*Kie3}+yW>F0|wucx{$+W2Q zBq`|{5Dtg-37HJ)8>7}DAel$u?k)d5=i+>koKJxrpDNh49612&IiuR9vavG!Cdrk< z*EssX2}qL=tR%k=f7XnZGup8o#vEM6;BV(HW3AQ>he>E!k>&DDfaciG^cq83&1DR& z#kkYQlNHw;Ov!e>a|6C`F4yX;_*+CUe850jKOd|=^`wi|l2WeyNkuj~vX68GU<+DK z0u4?NoeKIqo6YxTV@62*K4MTV9$7pjm!Kk{qzk#=JCu_-%-Cxs{h#?OhD|GR_f* z+y_Sa%@#)^(C6#H-$6_YKVL6ioqm6f>))|A6)L|z-Y}utGtTkq5(`kpMr#bF_!o!( zZ4^F0c`c}E8R}I9fJugj^DC$dJE?QTq3kW(l)~XuSIdl!7v#?mR9s1sK>;bdUC*PT z$bG3F2Rl9SG+39{=}A}B`}#N+uXs&rzDTh!?69XkGYIL(oF8*e2!Q*! zXJbbMAf{s8-JCB4A|34(?1YkL-%VvZj{t|Om{dfCHrmdQ&+wsu&*aR<6dus`y8TH! zyxo>cXLMPpa+B+qeGvJ*wFk}CtH2s?(Npb z*VlY%A9bDFI0P2zv%k0B>-ssy>dfXF$cthCGB0)#ZrXTVby@l+7evUiDrD^Nlac1R zk;Rbs06t}(4dtq`_Pf7qq`?kODF~Q3hgxkH9okHPAOjdjUa#Gm0BX)e6KWIeirJZoH!Amz8r(qmVDy_2O6e<4;SS|ZP(0EuknNUxE|)&Md!ss}x+SY^NWpT?o%^>4SAI(R(+ zf%jRVEbo1m_S%_r^Jj0PVNTz+``eHK0XCPpE(zqq-Iv`Y z36UG4knD(&VT6EM3ZFOcwJVdXiKv_BfMvdREz;o+6H$&|xZa|^JrrHKsQ zv{`>KHUF@5d`i+qu=*9c#O6Gwy*N3Kcv9%N(JjYo{@?;N*hL6Tp1LnX1Z}ls#bub-g~ib+)D6N8%Ca+1(0cFPCi2tM#m) zXsP_tgM6j+Og#+@NjJJl{DYy-7RWxq(QuI)YAghzwz1uGvuhi2!y21HFjF-p_91{3 zXm)^gAmq5OSceFpAX>AuI6)Y*-iRY3)Z z7PMfU(%jDp3f5Z4ZdRN|TedCTF5J3yzjZHkZ%hYZ(o8vi=?4l~mykH)tm1z426JRZP;#d|;O z6`gv!Uva9`-Au*Tl6w6nXx125@umy>*0wAQWGGUDq$6bH;k|sL*C&Qr4m72HXLWT` z%h8Ikf}8&{g4zFi54qz*+0~bPz{#aEAj>_K(#E=iqpq1$s`l|+{j$bli|!T$-%0b5 z9gviDRx%K+Q*I1gr{#oP2}^fKb6NaYx89Am<=9jEa8eTb0P?+F31!JBvD=VuTn*rm z!4Bc`&aor&d|tyq48F_Ki_$5t_etJxRZd8Y_^Pn9BIMNA9-Y?G0%YjLMcLSRBAQ-T zcU2RPJkj!*rzs_*OlBUHYOMh$&2}xb&FyLB^wrwWJ;f?-3zFjry`22Ud0M~%jtmNe zkPuvg_z-KRU>f%$C`t}^8Po2*N$1=`SvtU3f{0>kQ5lf+-Sh_^_79MdnGWsuCYQw0 zZTtI(A;4S!^eIAYY*&0R%(73t)h?)xamC)IEDXE_U?1 z&qXM6g0zG}#6ASaz_|8UEG9h#n$NqGNGDnfi!`REY^|eJFh$SY(o{fA?WSH>*z=j#38cwunnleF7NP07Q#qe_*D8E6mLf58kMBk6r)uIzb;>^$DL$n>T-y z<>2T3|M9NLIQ0Oy9xAKfDDW7O@d~OyTH=9PKa=ODrW#Z4UjtS*=!m1?IrcVs9#}wk z7Y2kfAUKV$#GdinE-Pr9pEpf4zEcjUFBztj5IV-0j!S~8*{4-=WoYBeMKb(R@Sul9W`tP zD{Sc_*biQHzv>QHTvfMTiEJ3qd>i}yqsj6s&oizfX8N;7lgXIEBPe7DYUF2f4=-;nwy zaKu!2VYl`q*;!}zl=i{rI)XZ4H%qBdC4rC+(zu?L_W+$66yX2nFj2q%TkAG==|Hgm z^mlwwmuUoIL}-LLf0S7nEqJL;@|kA$ZY^QvLz+@bJaZ$}`m)#Z9=pxb3cD>D3k!=> z5}js}i6(-yx$k%U1t(W^<^fmlEN^^E^Zn7(RLHdZ)O#PjACizy@tG-Kh0U=9R#zGa zO*qkbW3%7@JKTD!2WBN;NbCrTDJw_KW2&VjiZLtyTN;IQ6fKI&`GI|I_I5G&HPW1O z=Fiwf1$N=`%j&lbuLv*mf-9lS37zhtC_)RW5_PL~RM-2sQ| z*fvYCNUA{V=KVv&(B66HTEnv1_3k4}9;icro5gvwx_0cvLiqrfm#^0UvovqVo*}9Y zCRxAFw*Z+HEw%1nrsPx9;=$Dp?xD*8N>VB+PUUL`FtrW_DZDJ?v ztbRviyV8GjlvW~?Gc^c9^X4_*;WR!LI_KK*0{&L>w`vdQ)t*q(<|99&#~JZjgGzyy zudUZi%Muga1oM0WU*lDhY&^qoE;*!q#!Y)T+#{g=*qlLR$KAN!)1Rj7{PgldebR1jAnStoOON6CXu|$J zgv8sT*PsIkw;ao7LC|O2z`{$_{5@*|oX-F;sQM3*Z=#Bd6Otn4CbYx$z&2!Yk9F%s zG@GhYy#FD9AERgr1LniwA^Df3e9B(2OKiaGG?tLE8RFKO->-WQosZnvdTqv>AJW&? zx(e(;=Az~I%!s2US$SKm>5Ls~Qq(wqaFIhhKfksqYxe014+8^|cBf3gN^y;&;93L7oKoo1+p%=aovVT8ou|q_v#J2fvyhIMp-w_9IYj=$c^*bKdWTY3%rP*+KHt;_PrU+6!r+LtD`& zu4P;M|I|0r%^1pfI&hI7^ zJVGgVg?e)KwMop729r`N%Y4Q#xVO?fB;N*)j{>bOgdRhZ4d?tX$=}7uf$cwC+WEMg zva72v1Ap5;De_a2W}dIImQ@r zRgm!99|^(w*xITS2-=~ZrLKmbIfG@XpDn5+rY+-?yC_Qtnx z^_gAdi&t&4c(hOXut|Z@;u97dXlT%W2^Y`0UWZsj69P!8jsr1%W~=(vtD^n`V=vP} zAIK<{pQ=mMmKSvi_Viw!37%6}s!*cy7?~KbL{Veiiq4YGYv_KH4`^#II<)v%LVKV( zxfYeBtNvm0_H1LfgM(0&uV*T2is_#1P~H~p>8?Rj+BUkW%bpSjt5Eg!zOg^U@io2C z0_e7^Y7_8S&k*&88;!oplU-b)S*4PqS8U;G;b$G-ni%=Ue);4_YVxJ``X$3MVtgpf zg6pL`XkEq*t18a`3KVo&F2L}OyUUx#~am-2xjFfCj3gB<%_kwQ@2PL}h zETbrx_KF2P#r=^x=GtyGI~r{8A22r&KgbGQwFis_xUcbh;%C3zTg)GRh+?h3NQ4`^ zrpfT;bl;<$s93U>yCPZJF4FhD>7?5{xcvBV+rXC`=nVEPO@rprfI|6yH+1})xU-qt zr=ZxOSl&tO2~ zV#!mSa8XOggAgu}aj05a@1EgT`#6K%cO$pD#;!^}LDNZ+fLU|NHz&(C_GJN~sVq_{jMg<)6$K1J_+SZ-%OD?Xi+d^0f8qtC&S18$qVw(m%QJ}9W#ho_`N7uNrYS8xI`b3J)GdXcfPKoZ`dh4(MEks5Z-*Oe7OLuM z6AHbQxvsbVFH+OW43zL)^$;_t1EZKEs7eP;C8qR>h>&{b5a^|*nF@;4Gbv(8VS|tA zP!9#5#$SgxH%kp!^+KN&3-XwdTVhHa6X|F*{1$MrVMvO{sKS)< zedNbHEerNn=yv$LR#`Oo(4|A(?!73(%+sOwOEqpDN~piDxrNw`XQQe@IeP!a7uz?voQtJC z!&dU_dQH_!nHZmmTsH&t?`6yb-%awxa-9tDBW3D#AM#uZn9KhBCTQ3bjk}# zmkxhFE%(*!B7E;g+Q^9#sH5q0M;_j|$UL8KuFO{S}QsP7;Zvn z)QLHlPYrkFZu9%}K{ZizK>ljPrj>!=Fz0)gzEbbUAmpu4)4>e~woOHJ-mvh2-QH2XtvmMF?;!2ESTx17a0i>@Qz6&B zNb*DyX7`-xQ2D4xXD1FuR+gVhgq51>gCqhP@-x`CDZV)PyiQ3xK?z!0*%-@Fzh?ik z(lmHhM;JZAGiX{W`_WtAo%{Yk&#hx^h0w(yNdZUR1MEZ_DUPd4>!+UclCAMdBwXt*Q)8eXqPG|UHK3*8H;NuM2^*D)CG( zT2ElD%(Lz3*K71CeSDeyn)8P0^G5&Gw-5=1o&br+nUISrY(w`C0XQoVvhaNmtDYed z?9FM?BAly+h_5iuz(9-)o8ZW zXoR>UM#lDPKZ!Zj{OTF)b!z{-c50;61(ub;mbkBO(`0hBsi0gd$|in!@r)wYJ$q$ItWn{mi;GZTh>d5? z!;n^#Wq#Byq{7u_^j6z2T3b`7DVNX04-X}%2xFr=wSRTG)v3QIq{Rk-0aISRyAJlO z)P&knWrdyA8$h9Wbm2~Mux2ux3iE5BpG8!5U*NkoWFku*r*|B;R_O6k(T*Ks(-S1L zbgX+F^!WPnH|K0%Xj{7XlvIVi+BPfpg)D;R-R5kHW{&p{foTI?c}Y{iyj%r%nsMjb z4RuvQ_ixboPEVJ=3mknLjgjAR9Pm4Yb7<8++Z#5nS$mE|DlYTR?ea=eX{}zPqnMwr z97}C2^Q+6k(;ASppFx5ZFrJe09=-~<<`Up}Bei;EF)e;HQ>^TPsd;EJ{DQ6Uv}|E^FXMQx%)op9pM`0Mv?El14e9?UrnVjhTaOa=;qC3IK+*nXG;Tv(DZA?nI zr9&TdKXOv(=Ppx@OQab)8o0)qK>BJiUaB^}k6^>GGbH(8X_0|}Py}8h%1Nn!u*ct% zl7W+QyPc>SKd3^fgxjP!x3Xnt)@5;tFGz#CUO2Vx4r69fnKn_L^pKxE?p*aoP=Tqi zH(o^+mR*VsO*4^q3b2G zRt`9mQeiJ;Mn`tFkJ**~tVk(ph2dNi@~Z|>L{x{<6^4}D06pr#%}007I~DfXjIH8y zx2uZ;N4Y*1lqk9E?DysrnZwptP7)khhI(JX?QEAQIO=jru_v!6|J(hOjmeMd@V8*# z)ERl};pHsMXzmMa(%C=`Vzz6-z`6w#HoI3ff2FH*9=omV;OOd>mLT^=p3mfY4q?)X zvn=LHIszlU!q9?(IWRWHdMRp5lu9|4F>t3mvI}6zdIB#U4!3biDlmT9U93x}Edz0A z(}t7Q)|p@|?61GpP#~VU0%xBn5T>ba?v!9|d1jk1KNuaN7oin^B|p+yKw@B@v%a=* zr{~tw(TyGKqvtyEC_)n7HrLPAGom&$j5!{2ZMGgaR-N^h(#Ubk436vqrNfYJKGzzk zRvFFEULP?>$N*N%%?;@G+T^KtuYtP-6HZ2PB2w>h{6D!)b5eVdr_dPzs>{qs79y2gH&0 zUwb~p792}TP}x(FAo^*KNHx`$2hewBN4IqhS|9rjZKtYF^GG^Lnk9)i{Xx!d{DvQf zqn7^{VR5r%ppy|+6sBDuVg+T>4hcgRovHiFbo)01y>8Yc#8*f|8*MEl7IdrtP1_hq zwWHaa9cBikGVB*SH1Nn>hh+LAI8fn1Bo?oB0SvO@ZpC~TRVD3}@L!`$vT)-g} z=I7%Rn}vZHw`GvvD0Zp%ZL~bC{zykq5l}S1zU{qlGhb@ncBnA*#f9Q69hkf=9#HgB zcrq!HDkF)Be0`6-v(hxXNW;~MH{%9_A!TJ(UY7*MCXi)uCNlU3*OgCQmFmZi3rEf= zx@LHq6_zn2*TjgAy!wx>{>y(P4gmM=Cytchr=)nTS>2Ztp?#-=j*#Tni<9LRtr72rB713B#xo?B(9y*r7 z%+mPlU#)(+!oGxp;_0*7+A|YG@pP?+MVo6y6sVY|Gg1^$k2U;{vD+v@PQ}Pl7@`(P zmto{*D~y#;A`vr{AoL=fL*7VTt*+vM=)IY3@oc9xM3wrw>B&W49KgOQ2?3bis!vQr zFXtHnfv$QFFu>SoarZnKMLwRM(ENm6JU>4I-EWG$I#T8f0YA|<`B^bl&*&hB*V@J9 z1(P@=wKm7+mk>roZ<>8sgC&cTIqpyxcRxI;Rn1QwJHP%bUGARrtEg)Y|3lSV24(qu;lfBrN=tWlcS?7M(%sz+N~e@`NjFG$ zH%NnYH%Nnk67S~!d(WIX-<(mIhx^(0UTa;oE*a=>XbmVuBD@q+ly54q5#q4?LF$eqd3vjX_C3bDl=3OFpaA>^* zu_99lr*a=MFvuHe2=RNT;A^zK2+ofHmkHZBs9z4C|JAkUN|3vQ zZdC=^MV`4ic{wGN?`98P_7fa2zMmRHHLLe!+{-$G94c3Sim8n~JoeYL*P0zrCIaK9 zkbCCR0E|k+*ypm^`m5$j1{zB6M4i>FoaX+NrR(szB0C6#D}F<`E`H4|0O;NPYk)!X z7HMy#^cvicGz79ooKuUoNo=FIa@8mqm@i|N+Ona4#y0QH4TkxXh90gv#XO-z_P=3X zFm{f>w$s7d1VtlJ6l5}dMsp4;v{&Tc7-LFCFXE_ z6J0iE1F=JawgJWj+VozkbjTXf=Y46QQM8c(^xwJfv=?42B$z$v$J$@B?PBh$3fo`} zScdUoW1Sa%uq}58VG)*^7IlhI|9q(DvixnRv)V_V8WD98p!ShF%U?m>RotEG9G@+G zaDwT%`2Zp+mdHZ73rXkcrkMx)4&C^0KS~?F4xTY}@;GHaJY|H%#-kBW4*&LV9jhy# zM(qX-{>m?V8yg=zL9u^kQy6e@r50RhjldMvv?V|1&rG1+n_FZ~mmepg_eNM0$D0gm zf2Z}ce^$-!Zj(b2@nn*a@lt6YhfkuIqMUtGax3Vw^ddI=yYq&Av|1oL3@+piDS1~N z5wZ1TGgm^gJ#$z_`s)-}gl*l*X_j{MXt=`#I=*d&W%}s}n?LU={W1$^^(dwn29-)@FLdoEQ}LJh#G>VhaVBW)K<%CSm^uJM0`fVzW>bNPtfJ*E{(H zSIKLNLV~q%M;8xdy`4Z@{_v}H{NVPkheDGPd!)!|`#%>0-G7%6Is4~Z_QjZkVH1vi zpFCmFP($5&COKJKq&p=1pMokg7?Ni{Y$$`+*>N({dY}@AB#2B{(NVZLG2-Z2BH&FA zN#ANP0`YLS5rmMQQ2Mt;8~{yaiYZvccLya7)||^@oD&GzHy){CUayL94yP0=et?rF z9lKDpk!&@lkHSfL=$LZF3ubDk-ZZu0KNDgT&P3#mh%lud%!lha`l{%hh+aB8NiG-} zP62ULiUgFHuf^%W5B3sPL(=qir_+i2%ONh8sMBS~dB*LZ@t=8*C9IPfHcGP<=kj<5 zezt?Avo55ZOkV+V0xWB3Zg@ABg6wU9)lAdwB{s{;X==LN;T8TB0{#hpF&6Qv+lXZX ze17O8pETV94eVA3r^C2o)vxyBWg*t@1J0v7u?BZ({z0h9g7%X{lK7yzUL9b;>^6OS6iHPA^__TLq|ugW5&_xoDcBfXf-XCZ z_A>I~4ZY=p`%n!V8WdpB*{1%1YdM;F6$@Q+rLZ$NWGGdkbS6}NeLv6_hh+9Oc$Dho^7 z!Zfpd@3(qe9{>0?Ii7E9C@JvSyPP~pUUCC+-MjMyMj6r=5h8})Ub&=N(S96!XM$@XDTz2S7 z5ts7x(LZ^Nzqho70T>N@-_jZh@u+%;+s>PyY05Wm;8|)Mloas941xBb8T69ef-nz` z*}8=aTT&yw`fz{eM4l%6V~S$}wD?;n#Gi(9?uhbo>sH)<2e<2HXYvj_K7!{ez~%-C zkjB^Qjm*tC%Pf@rSv|s~MJDq0VtTsI&JN_5`aYgJT#i4m%Utxc1Q?Ep^ zw)i6izp&+=KlN@eZZK#n0s2}Yy2zdcqgHdB8{;^Rh z3k;-2@B}SL2MeW%Ds9V471k>;w-C)sM1WFFfcT{1mJoZg;43i?|6wJD%ROPdQN+Vk zMc%pJtb`Tk=a(sIh7=anxzPRoWryB>gul3g!_$IdEMzV`!HTRgdL56N>fDGHN*G@& z)ra?_g#IQVcJ&YTH>~)?ROu%Bb^`?(QPRP})WLw1jY&27Us9CBoyCbTU|;j-EIoHS z{jQkFM{K#T8h6V9L9RS2Yke-|TvK}}jz5kVU^6E4t7!joSS+A|`v|zI7~U3nF>1(_ z>Jdyh5^Ln8VuD8OK2T)MY*Ag8J@)+OAIRGgfs=M@vy-fD;ABS(`NrYyhN0XL;s=Io zEc4vEKguTTVt>t8IOr2|wX|hx>(&HaVITofCn2LvclQ-O9*rFV7I0EORil)(JqbS_ z|Kh6rde%y)AkV&QPFPB4RA~~djk8Bgpg+hVM>hj*G!Ve>@H}2;cN*}Jm4tSHG_;IS z3?eqSTUy?Ob0@H&-Sm+87vTvV{r)tIJHBM)VeqT(@RLsJ+a$a8UpJ(^{SZ`Ek!F~gFI#aI_g%VN!&Gr!YQ zvZAvRN*w{6-jTa@g;8k-1SA41UIJiB(dU}kn#N81q^m`k!8H8 zrh}gE34J@lt?hp8YsZIkAhi!=_XSV3oyWsFXE*2r1}^7t80fk8LRw~hz+qzbLkCZ7 zH*d%>uOY_bqy2Y&$D?a2Y#qvNkN-eXxBn10Xv#&*`+~?wQj$`{F?fYV1_DMweRi(( z?yVwo<5i}(8Qp@GTO_EiwazdJsj^`i+3rf3xdsomssLmZC0gp~of;h|$O%`s>+Rzh zpp#Eb$rKNplyZqpnfw;JpImDU9oR)I(3_b6S+skQ7WO=O1_k~%OT%|zm{M9@I#Il5 zcW8}yF2!8LJ*@*e8Vz*~vfxN58a}Ut4_4mV;y%-(6R<<+I-b@K-q{&CJt@Us5B}jd zI;mN)db}gVRPk`JBkWqMNincrF$?`rqM9CB{O*rVHvk&v(v19uXZgm2E_rR5#G<3Zev{z^@eVbV;t1POr{8~gnZtbGj4 zIWqM9Ojoi?b4!|1G+rnwf|ET;mJl(hlk8TX_es^#;|GHh$5>PDTfcu7d2SBgL?^Ra zcfHDA+4)QjvehY;Hj?1C-VNAYS-j#tG5pw9@-{?#d}lmw{VsIC3d+@mGLB! zksoO}&){QLv@31;P;jK|lq2Nykny*2^On8$^o;G_6l+UM4y#wg8x__Wesj6g z<2^K;i8Gqv9{GA5LqGpGfT%tfMi*hrWIp;)a0T79)W}bFDVSG(StiJ*yPUD)&G35Wz0Len$8n~LL} zW#7zD0QJe!e{92rRwG!MDWxy_KQa~iKQcAFXYpUBv;jXA07}sMgCf`9G^W(oyJ}21 zYwWqJS35sH%S1rbdjV$Z%nwu|Hh-@n$PBCxpkc`QcVH~U;>+^N!{Dru@XWa;=Qz9$ zUa+968~9NnpRAev0}Ta5ayqEl(~`ey8p#INdF=aw7J~3^ggrGBYT`1T<>C2*rAWZ6 zHn-HLeh0DcSz~&9aIeAW0ZRelGEmMB_a$4+?+^PTHWR*^L52%St(@SM*x4L>B`qYd z|l07 zfhrAc4=C88C$GPSc6DN_9y1YG9}BJoqXuU7d;X>DpPiak5c{~mJ9MCeiJ+Pop`tri?=iJ?oprYw z4EJlQjauDVup{_?Fmwm}|6u68Xc^=rLpMXL;D7-8VAr*VwRJ##x!ykkAxo%TiU1{7 zD6=BLP*Z!x!^#(OU)wpB>o#KB)ltB5G$ws%6ng@Fn^2b}EkJXA+L=LlxlO*w)@k_S z1%(KjXLb)xoem*w?{>^WDg))0J|j;tsbqZnd85v`E!dTcSo(u|>o}B+OyQu&!1fY1 z1XZ>B?jN;?*4%)<-X!ig^=4_)SqwW{|+KqEJ zUG7$tO2e0zDJdt%qp6^9Oop0_GYasx1^%W`h}u3}GSAkn`3i;|&JkD#l3@$#=-0_Q z;P0lLtG8cC9`h)zHp$E_)VOCdDI$-$Y`FGRUBhQwEHi(e*d96Ds}Dc=@b=#ytAsPa zWieB1So%%Xf6EMIp|p(6N_v6I(iFekLkQyKI?XA%ent{Z1SR;!X_?U zrY|^W&Y5sxegrjoDsP_JnnqD!FVRHm;>51ahmw#egEgY?K!)#Ck)$UETa(us8Wf{& zuu(b|3}_j9I>zm^B{eTe>UWJ<2=OSN!2+8m?)7c3$K2ECj00p0oPo0w8xoH)t(=@T zA(l8cq6GhR$_tcgcigry!`4Qde|idEzh`s-Ql?+5vcCbHFs)a-RUMJrm>p>|VaD z84zO-e-a0KBRqaN@E$yhzsOasnJKy>zP47)fByr!$$ncI^?TtXV&zp?Vv^>a_1*`G zTqR(*?a1+8s7p!B{e1h@86D$>$NtneJ+CwTSki$g@td@n3nB^V@=^`7hXYykKJzq1 zf!NmB@0?R}mGCIC-T3O`d@!^lNb*W%8g4OKL#f{^p=0ta+06>}qb}^bN!%$ieGG1E zw?4TbN@eKe0h>!<*1zhzjp)3)5yvO4)q@y$Xvi;eUmB=SJniS-X3vehHjRa|=d%s( z^8WSzC)y!OpDiY%ee5+6?XHlcTa(@K&O$mOCb#c^8pKJYG)+>kpCX6+I(doi zZ{0mTCYPni0kE+%bF+Q%`rD3O zE#??Lco~OR|9EHSe)g#is)4>5Xjcn(IFoYIaF?sBnX`97N9=S~BQE&1BSSylgmTU| z5D2aybOTDVwyiUsliJ$q=!}$-EBVvHL)Wu87BYm!)nnPa*LRTl=lpQkX)zw{sd}2tKBIw`MLx5#+rs<4aR;bS?w69Y_=HLGg;usuA=mj-#{syQoyr>$;nl+7 z>UMcJ!`a^M&JNhuD)#b37 z|Gl+JT-4a;u5LVSHJsT3ui+U!cf!kZO9}w~nJU$=Kc`8AtO`3ZpnuZV8aEkRxLV=I zx>$@J0h$B^z&V}$t^A+XiP{S6_!JQmm`8lL*j~kbJo9S;WY_esNfi}N15uoBY4-mz z@$kjmiTee*yKm}UFi>*4q|LPs&%JWOUSnIo1bMu9%~|S^0F`g(dLV$2oLngT0Sb{9 zfDz!sQIQVzZOZ!yJY|W=YPmKi=)fk4-x7S%_6lqnfGP5E3LFyCS0x*xQxcyiKfHon zRCb9>*;i;v;&yktZsAUGT$mDZ{R<^O#g1|lf*X?lu^V*l=I{4xXm7#v1^DSk?;86B z-j&v5aC=LL6;ql2!hi$KcF%Mjqp#C;YE-R(Io82-8wh+fx|QqOg|3pq3HPrnL2x(^Y7x7G_ZI6%EWB?zTn|NA|W#HLydOS-KN|GbmkXUa#& zMW85hs9v!x_o&b!KSScT2Vnhx6|MZw*>!p#*#>C%!R?b2OYI7d5hfEUTwc02qP@h0 z0sr0gpvXVPyF~WlI3i-Q-d3nLU>ecHPMRB>`KXy36=LwNwyZUDuK81^Dr-D9G7&FO zPP6ajdjVC{IK_y&p9(IEMJh5V}%r9qEMG2kg5)LL!QC7xE_dD_N%F{O?P0>8%wM!dWBAX!L~PWN)NV7AW~v{ zJ*%*@N?Te||l&Z0;ATaJY_f4809 zV?H|~a>@r<8DF0sdHqivBheYe*-0bS=bsFJv1(`Ps1Bb4rXCg~Z5~}s7aR^2Fyrh3 z^7j+CcsJI(>M9MCCaX51UbH2Rqr)=ZVx{ps^+1Tvu&^?4S>i6s4y?La}oWQsVvC_h5Y>5mUprA;zE`KWn3nq5ILP$mTQ~~ z(fEvW+oCaZH1YT;!CnI)>X=C3%jOC?`~j;j-B|fmC)d}+JCbN8?$fLJAvv#@?UF=@ z^d=}&pfGmV_Z}K2zol3Xy*c|U*$O3sE|@;OB+MEC6s!np37~vn!NsGXbTwq2Z?J{c z^NgPiG#5udJpG5UvTW-Htowuq5b#OrNm1MBGo!!ak>CJ`&#i4N_UDiNGW1?K94w(V zqk;B7rj?NU-Z-`FDhYYgO{%!9?VX|y~cm^6_Z zlLJ#OpO2H>*LggHQIMHU0B8qbpogO(Ld?R##oj5TpoQ=yxDb($nN@v7PfTpcSG@M} z$px@M;_PPoEnv4UD5JRXS*2?jme9TD9;X&SUAd7e1mBeRWBXplzu5^t2ZS^CJk2z4 z^#4U_!L<7tSSF-ce^dEZr1H35E_&Peep(g~MciNvVNtc{T-ojW!fxT^>t#x#lo9~# z@$OWw`%ZaQYvsT_Cl>T*>?>+K6x!*>*CCYE(Wn0}$X2qYL#iq_W`=_vNg}GNGEb+H zoYr%Gu3dur5`0|Jx|v;r<3{>0dAHUpc3!bL`{Elm6U_niccc4Qz&hdYcc4Hquxw)N zl8F8=aEjP`PJI4~WPv9ExGvC_Sw|LFo!abQ9$+!y?AmTq5ay-Ttjy9~>peR_m*D&{HEaZ+_yz2!-KVPIPA&K94>WI! z3KP(X$FQlsnz`M|AaiD!Id`tLQ6yYV+lQWS2xN%MJ=URK1Z7wxf;q~Aq}$@91$t^Y z?BnOKb^RWom?h-|CYfAE_|vkXR$U4&GC{KFxj^hd)GaJoN_cdM>FClGw}ofND-jh; zAujI{yHvU|Mwsok;xnhC=45V3y~s_u#4$ilL3ID#_7(a!Nm)@WEQ3n(z7LqC;GhmR4An1v!Os&rLU6*qKHpi;n?}q0@aV~)XBR?LE z3D_g*|2>Ab20fH?04)``cUJkmp&_6W5=SCqsd=edrIhM#!aiybPFIG7wl|)m5)<-% zLy5sbPjUrV?l^!U!6Oss^kqa*q_r?N5{g81z2}_n2aI)5>c#IoU;j=sYN<&sb_V(& z609p(6tl$SF5X}9_>=A6dUjNX7yR-WH`!%=81{|uN_+l-#a>R>SJtKinzE)}X;o(> zfz3KfS^Hmm3RPBgKud7+bz+?<1Adl$P&5_)Zo{qL?|&)mK3M;8M%G0Ub+nYJWG?7r znv9`FSV(0wy=PQW>*;hcddhaJN1B-awT?tUE4gxVj3#=Kc9{=GLdd7X?`1PvcRPsC zPP7P8lh%U|X;tP{BCvehaY(&?f?=5x;Fp zl<%Ut&d{mYTkEzanF#2@(HRqJpbYr=H?aYzHk@6oYEDxn!sy30)*_AnJp+|H;QEhl zR`g=NNN?yr>C;HdNFJM%*`03!!D`W0DG)PB-!yr^j&=2B6EQ0Jvh8k7zG5syd}{mF zxh#LSh}n6Bh2TBh6Vz!sT`T@@r5O}pbI68a-zNba$EZ$A!*AfcHJR~2$Z*tT+5Nl* zl{T6A##!xf8q3800X=H4S=ZbW^Mj%>S&cpRseTSFmD)q%`I`*ll%_C@vqN+ggQJc>-#4yR4FfKvfOpe}xxN;k+Z?xIt22OveH4)5b2Pd;s z>Dg+pgBY>h>cmap8@Np%sfGZA=BVqF_I#tqCgR(N@vnjmG>n6k4D0y6R)!x1)oBVi z4y2P3P>>kOQPm%2*MmM689;p;xz5GD$_2b8m=m|65(ioP(cPQtI=|%DEz6_`8+ZjJos&Mh)vKz7H8C7GC;raU zf$RYTS8i2DRBQ~9Bxp2D#(rJ=$Yx__R9rD@HH%Aj5F*I1IRSlD2il&nJ`c6^eoQrk z{s8q*jG<{V6;5OE7Sd$(a<>Q8&tIySGVhh0=&KG(W%_>kbT!-g_j72Kg@7rrv1p*<`kE=8tb z`(2%N0?1Xkf^gpq8iW+PwuTkr&5V=Ai~j!^lEKI=qeLw_tC1dPx@ieT?|~+QFW*8lv!eeOAsIS8PS_aAA0(93zL3hSvaZ7+n27-+nin zNyoq;1;66t2Pufe>wh+W&;UrV(9+-(p#_UR;L4WUiu(?VYg>|5cg2%GW*K^FQUTHz zRdiERJbZonV62j+Xd%8qR|Ps3m8kNuk35V_`F=-#oCG8w?rUD`l>&8NsNI2tkbv+3 zBn&m<`QG|)7)Ir(uic1sg0bIxCLGtGfftI_2+P|3K2q!xo6$d&gAC>AL;~Z8;{Ygq)#1DEuDTRYVki zW9>&sV&&=M{zS(3;!!8+w*+vsMu41V3+1iX%MUiH6VU`>V!_Nk4QiQar$l^8^FP08 zzx<5JLySB8n*IEH&x>7t;c4wQru~OEc}@;ba_vu!S;ocuW>nFs)wOZ(Ma8F~P6Dg| zV`pXOdvY-{FdsM#^4qyiWO+w%_#;heui#@gQC#MY2E zn<>MC;-HY*B^UbR8PES*yTgk@4e<&BS48Lr8q(Kkh6mGV+Pk^a6L$6k>uTe82|?+= zdb#1*pd8wjxpEIuOYipkT(VoelQ9g(S|AX9xePdu!^0L+(1A^ay;*=pEW|pV+q!1u zA4r-oH)DX@h$6>QUB8od7p)qK4VV(-9j5{IJ ztu|NR9KA=Eu!bHGBp(Ct%0;XgU#TG_ak2r<+x(b24X2`67Y2(WB;OXu zdix4guL=>T*nhf%hU#z8l^#gVu@p7HBDN-H!j6zrx!-?G10swUV>LTMr;jA%lKRdG zX<8p3Tx7}M)1n44r0Be^rOoEf5!BS~M}P{(_(V}tJ&OWhZ1X7VZ@cTtxs}(J&3~nGF;oGXRu# zet*(O?DBwbYl`uVE`@=D8%BM&sC;0*2e3y44;Z5cLX1jJtBC)Izzsw7hEB)b(g!K}=I*3FbE~lj^vyO8qvw8#*#}$D6SGhb%BuZ&*t8K#JVy%zQwhnZD zA5p!l`2-*Rb95UKV~DNZAe1(^e5DL@a-bKK-UOTm8!L0zcXbsFmi%7zVFg7F;tuFo z>cA5DAC~c%C+K)acpW{JaM_<4zt#Z@=$#(olD5udEVskK!#uu^gOY3k9@!W1^YJbl zoV2VFFz6wuCRoi0N&)GXTh`kz+vt7@LGA{nShX6{eclz@398d?>7)P2j$x5e&_qhk z%f3fYOMFv>_i%BQ{y#kX?yEv;NlUZ}M>Qe+ks~df*Q!`2~bM_+arSFS2fR9tP`W zz_{_F{TRCXOfXyyt_W+Fl|?Ygy*h^x2=aabOdV)`6!9X->= zPaqA!;PGrc-P8=sazZ?|KOP>25D(Jzai>`*$g?ywsDU@@%~UYoBrNzWvxM4fptryA zq?MQ1@4T7@fK-v>hkBm{1RL?`pS`|7n_UM6f^vTQ{Xe8oOWtMKyaORrxljv@h9*40 zydQ#)>U@MtAq(*AhjDHhb2W@MO4-Esfi1ykZA49eWHO(IGbGW@u7cldH2DY;xOt^3 zlM7ODIt9!i_q>)$R5@ivONTO_DiwNiV#;=U7@g3@=+xp99# z{7W}KNlHN1`IT2LBJ{h=VKckyafeLO$IOtfBKS%0b=4yj?84js$r)ok$mf#{G#+~M z3Rgc0Me4jwTAaX9)@aPJ-{e1Ox+;{}Ni7MJm&cpR8AzHJ06M_lZ>Dw67;Nvq{2wg< z^&rxYu*dHmANj@*N_1|EjifY z4h#pc$QO}8XR=gE}=j!;hHBI4B*$jo~aY zPs%Zl)k2C-Q!Di0E>bUQFEgvaVL_FXp~h3q6V|B%?`2b>y@-Ht-PdP2@0 zf*J_m3!Kb&$%-KbH#vNK#cZ}d2PT-W{XwsFLD%oUvT*!fY3A(J)ZbENWyFltbB)%3=u>nhO> zY>v)qTCVW#S2N`D&(iTu{|1Wa>(@oRdM^iBX1#nLv^(4E5o6W_f$@fgiaP^Hf0}(h z;jVulJwC>hG{4&czLBfHVQ0VR?crsUQWk8@Kl+giH=A)ijQqKbj9RU|g4JMe$a*jM zrtLF8-=g`5vAvlBE=D(`up!?djr=4j`NNdDG~>c6V6twa6Pdi`29s+=4F`BqxQ?$z zBzS*vl&9*nEpOi$$qp0}8j|yv9ex@w;)cFJBJDvvBKiE8?)CzOF{~j^4xbCvoK}a5$y$SE)Os6zL7ZPOztHy-m1off zao-!vs*bszrX^0XolZrBMEF)?v~xpiU(n>ToL$U|L$r60AMU5?#D0glqWEsKF8yv_ zm?Y9xK6%*k{`M=aea+YgV0c0m;R$e-)6{Sh6V2#ffZ0Q!$-0lSE1z%@_Wv@1$OUKi z71i>hEpuW*-3Wgiku;X;DiH;ahe0dWH(t)kw!vyA5}{Le zSD~1$e>4{f??p@Zmu_DFAtEY1LIkhtcVz_pB*cgqbTBa-T>;y`fY+ovZ%aM!lJBby z4c0XdWDG+&FbY$vnD6H&{OKB4m_8W-7cKJo?0=-NX)t~bM+`l10_4&a2x0`y zbuGMOgV8YfV0~IrqTQw%I2K}%)HvYAvn@D1GXig%v<~xrM>oa5_R`l7=w>E!dQh+@ zw)z6R>K0l-PU1$F7neP$e9%NBvBPQ)Hgzmz&kiZAWX6Vp{nCh(Bno?jM>0Fy7PyjA)1-W+&25p z4o=c+tc7N!g+WkJYfe^bH)Bxb8|fR*RIP7HPTB}r{=z^(CaPT4tRg@DE`kD8UCcPx z=yGpn(F{dr9={+&M3JWZw*HJ8$yV%8X-w#IT8E@;pWM1K$CSW(^@5gD#;NSY(S1#- z-Ms`N3lyWPvNzzFrsh!Z?xCO5>ZJJKO`x7QXFRdUGts_F7fHwE+J#Brmt6bQw6dzV z;e5;ibeu))0bD3bX`h8l()q|phNEK-+7Qiyl*OuEuy&~^$qK#)IFkvtxMl0r_832z zQTLw=*^sw_-azM16tGu;s1{G7ami5Ag6o>;KAfb)0g!D1l1AXzBd^~4#hmKEo7z`r zYb*KuSMik4D2_oICpCGrUm$`Ell}YK=oOl8Y#8J#Ful<~YC@Ob{La)(b6lpdA1(mb z{kVycfO4H@s=)Rgu4i={(%*)ZNLQ3auB&Pq_(y(DRUlpK{lZtj*0X!Jp@K%!k-+hv z$8zoe512^Lb8IZERg_L$0#4SEVXNKX=-R_3JVdBj)lyq4BjDIA@hh>mC!OBmf_WDB zJK+MtZU?MNRbqM9ovo^hx4?cb?{^K#eeUV)JtT7U8dt;&d!T{E&nk?*Lj0#`_Kgw2}1JhO;_c)MiM>$m2 zou9eGLaL}?6aoKyZ#yn@Ae~a>C#ipJ1uiUn#l-AU2xOx{s(T~vPizryfx@2)Z47Xs zz=2r^tg=9g^7_*#DF?;0Z3WJWwPn5Zk?kcSn*SIElhUZ%au;;=e#FeRL3Pw)MG0+E zQ7{7^?+w!m)Jk8aIM?yVaRgP9U0f-GSSWGNc z*@FxcC;D`c;9InJ+8yCM0VV>%yb<7bB=Yxx0Uhf1A#|~>j;b#}ytoClvR5PXQK<=Vfvw zq^RNRXoS;IJstf{qYB#w-fjdNi;AnKn+e&gC+gJh2`9UQG6eiBXE>-G*lLu}=p^bQ z9aW9vkI$*KbrPcJhM6p}!fb776Pam(>@E{7>vvx30#1>q7UUAbCwy(ga;lkxRfO!d zlTR?+#0kCqS?m72c9VzJXbB<-l$z}B|v!-*Pl2T5^xmn$(W~<*c`h41hP>2Wp1f>P1X0ZQtArlRyO=fmHX0W=jTWz?c;c57!sfcgh2OD%-JaJqg z=I=~qkfkTx#w>)JVA*u7;bsUKml4;!A*b}CrZ&=HBBL-)B~Zjw1-V#%7?QPLJl6U> z4MHAYEWOJY^Q$j`hB!ZPwvoc!38!Gc)}o?8e2-6nN5(IgiV4R*+C8+WR|O6M3j-a* zZnZHiHdc0pj`es7t8Z7kFlccU+4?n~!?H`(iZS+3BXXVVb)lsFH59`E5k{xfNz&bCM_}gq5aX> zRF;h%G1LY8_=nv&cuaGP?H}4ScDDOUVR9o^&1Ai5Fq>+#RL(DL8D0gR_{2GE*)q7e zV3e@s@k<5?gGAgLw=0MTEW*qwRUbZ&Ta@kaVHNP0WYPZ>4P6;&$d_{N;7e@Ua;2O+ z`#SdyZbVfc0g?s{(uRKG;sIB!CUUt2-nYn+jsD$%4Tgj%#aSvxGCx&_#h&oxs18(F zIocRv=)fl}NIz|jDu&?$o4Vrb$1mizvJyyyd$%Y+U6ru=*To4wNSj~nJ_aAPD0NJ@&4B(Bk)bo}=N zhXQOzd_`-6*NpdWYn_Rfnq%5PKFCtI(Ob45)LNyN(y(j2S9z;Ihw+<@v)IN60{=B@j!|7Ia9%dwOtRtp`hEr7qo-V&C;9gXU@lN^0?=4obIyuy4NN zDDFCqr5$ysc%)-PYcPOi&4aJmN9 zI{8s|KZ$KjBYzjyk`QYX7Pc(qeZ51SID09GLz;U8Fy>_#6Y>t_&5b*2jYm6yrGS90SKyZ2(Je*e#je1^-4}71YA1o+5{QExQ z>*BS73x7i&tH+^o+49(1KSkoK3UM3Xqg%IVS-GvY&@|I`ryz@du`@+JHUqOb=xSf{ zj6QuJ-3-ywcE_E{v_LA(m~ovbd$m^XMTnd_QAsgtc&Z=T8C_6*a$E-kVVBtjC5Y2YkyeXzd6} zYgt<5^XFjHC!RbL`aEQAX0Ol0mcW*p@XdRm?&BOPqw6A24b89ju#aJ1M&WBZ4vkeO z?m3-kHsjH)ENkgFg&t{b`8*33$s2CR-GrYCJ&$HAzn>uv8oY_`{Vji$fPVjIGy1vi zj)o0pXo8zn<2|0huPGdl4CDX^5mcUywQ=xukd7D~*f>;KgbxiY?8_hMT400O>pE-? zLbkQHuzTZcGOHl9no~`@8E2~$NsqmSbF#DEAXwzKIP=izKKUe3=UKds)b){k9CJoH z!0S6wG*DlAFri_3i(s0@Nj&N0JsDvBmEyB(*4-5oXxC902{aN*%S=P7v;XME*j9c* zmJI)y8#O#*k!FmoYuV$6!S)auj5YDQyy@3tXS6-~-?^K5n>ctr2W<#b~Xn9xTSO z=Qly|u8W_v2CD5pl_o-c5dY_S=?H&9KY^q@yEWYM)?Iy`g-^{Z04MFW7H4m9bp;ibMM2SmoI}DB954Ph%_&Og4<8;JLcKu-@^arRX!!+(Y zg;_EMH`4I0kWoWYcoq@$k|%zi4v~*Bn@HTe5(^?N#t0*nWgBn# zQqO=G%lRQtPF4t-GJ=}xbhF4QKQ%2;qG(7#&w`r2{P>fO6V29$|MX4(_?%`pb!FZ!kOt6%&g5c2B5PzA>l|PvU5S7qr=0YD{xqma6_Ouge>x4uaYWHS$Ti2 z8={tzTo9{KU2Sif$WIdWMiUI^H_e_8nKjT2iI-`1n(3JgQoa`QO)s~nO4M~^z5XFB7UveX_+9>J(IOk z$G(ZHW-r$fUvSy+5QN=x9EbB%YUen^XqAG|)r-#R?UH3Qr=3{`_>kWfWB2#Of zOG{r6vdjhR5;Xu8-!iUO#i3lAU(D*kGQmHf#!=`q3XYT)Qu|a!N%Aq^v3}zOhL+#H z7%TICtp9gLo}a)bKdLHk%iP(mIC)+MhT=b@5@h5gn0_G_-jqlyB=Xd9@qhFJzlS=~ zUxbO0d{#jHfa*5MVZs+kfp4yDmc|jAc=mxL)c5`h|AegbW$XJ)&BRSJ?=- z3^9?<-3mS$%$JNIEEYlPM9z#P$G>MEAaId>dDnPe?^-DiI4!}+pi511U)}NB57N;s z9A>w$dov!WlA$IXBGCl3KxbrS8^(QSn8rXV-;hc~?&`Yb)5R+HK8?5+eD=QKv7uMI z!##@IyZm8pWonJk%^2`tQN6-|C-g0iH*NLlk7UP_K#Lo<$0TC`t0G6A;pGYb$HCyo zp&*7a`4PNc(EaQPFWB!|EHK8Rv7Vxs%8*gex@Qu*8ga+C4&O9uebgUe#E_)@N>Ek~ za~J_FO^2In_A$a*JBh*y8DrECeN#6j<@k@{v&-qAP^^Qz9;>mfH(iqwL0?o@tW!~C z49M1lX#av*OLta6sK&nZcywIo`=_DdK8RFEjVJAhS9UZ|0kb9o>zD2N(m{v7rxGP0 zZJ)>ARi!2}{`$dcA|DV`d|M-XGvz~@bv=J`fj!|$xTc7Z{FA?~o(O%?k}?Jk5Q=A} zDz#M+AWZ-lVQIg|pW(iJ66OBLwf->VCd$x90bO`sbTLN@rfhH+)yUuq~Y{TL3^9^5?frX2~}SZ|N)Xt;GE zv}X!_+@)X>JcC==i26dcg!?2!96nzGE*$yPW?z;FSd5Q?94qoW3`sMkAn;1(gQ^8r z48II2uZ`JoWnA|Z@3)$=0&zbJ><#TMi7Z03w*n~4=|lCuK`5e0Ndx={`g!ultH&>W zt*Hf)LIOr4_<8>yO=kgBRsXbYQo0-IMpC-Fk#3Og?oR2H2I-Uz=?0N*>F$;WDM9>x zJpb=qi{)Cz@$7T<-m_=!`~K4*GWSBYI1{o5RJ*pJ}9JBD&Zm*;!lPCIeZWrWfA>N6pv zA~kXnaI#lEW|L9AUkJTN<@FHw&+9E2O!uWyd2x+ zYI|0$sJ6wZh=>B`3V6~Ert2LMd?q2ezdeS zf|#e~tzal>KI zY+eT8i0037v?UTjIc8T|Wq$sXNY}@2Ng@%ZBTh3<47-Gf$nC*D)J(>C=@=E}DvT=W zlqGn90}W>aTyIfr?N+8rM&BKjyJN$CqKe1?$ZP^t@_~y>XC1EvDS_9^cMJ%iD)h7K zc@DV`wxPfH6|2wNe(7WQN?T^-Fo1{r3qye`)$ReLOMX^A@GTB48d{ojm)n-NxoCpO zkgPmJ4Em45x`u=W=$3`0w>uVa+TQHG3?V#p|o`DmBl2-BHYZ);;J!^?)2x0bgLuqXw9`& zrS))asq4F`DWRi z8Ji#p=BWpey>gctL#A*P4|*kCAI=v9p(#jXi^Z=p8akbBY_ho+L}kUE=Itx=ck<#> z1`|*-NVtInB(LEM_f|0{j*fqQe=djWO>hvEb4_KIv5lFifbERem&{ZQN_hC_91D)C zgl&(3y%J~+-!400J*??LmWk7R%pFFGnV;)%bnsOC#KovTCvX1-#a5x2FzD(%uIRmG zmTl|lFy}mG#xv}Z*thn&Qbu4Qc}H*=K=|l1QRbJF?V;N#T(R(g}4Flx@kAcxc zRLGCMm3CEh{QK$gYSN!-$$m}1fuj)OpO$8Ubfk5w`?D2@mcg6l%oqd?TD2Z^{sw4G zIkv%V{~TAqVqg9@A17n88DHF5)fM6r${jBD;8yQ{ok)s)w{J)^YPp%I+l6NCVL|5j z)oXQygr_9*`wb|~t9PZ@*=b|Q9q`<9^iQj5cF&SaeW*L@1ss6v^SSQ0oQDw?WMbaC z{pGFgBv)id7yI&f`j-F8lZpSAC#$sl;Gi=`g2I((L|8pyj-`8tc(-C8Hpita(@cc~ z?pa}CzR91(FyQ(bs22BjW$0OQ4dQLlj}O8me3l!L4QTh#`M4N!b!euSMW+kM@lW}-YYT;_m+0z+7jo{I7%@3XbY*0vc=^{&Tk&^eBFH8FK z|FHn%#IOH}k-zww&hqnKu_y*wCgSrM)*uD>&Aln@Z+9^GA!Ib~oMq-Cb8d%o>WesH#2A4mvYAl1_J6oZn$d;>zi_DTTV@SzZDd>WxqFP8kyQ1YbcRS zx!uiqTGH}mF02BA&!?nt8lXzVT-0cX4ms?ex5QlG6Jdjhn#3}dIk@hK$KJnt0PaOE zmoesddaK?q2O6DT5BRky*?V7C9gPg_1%)@-@+@ohP``tJ++d}htN3AE$QjvFF{l5~ z&^H}Hd?v-$eT*}fHC9ZE%Dn9?npE}Spi z2a{jXMJa|xO~@wKKk>5SW0pdfr9a;U!8ks(`|{ZE^B@bays-QqZy>H5>*Ok?FxrU(bBIMM%1YIPoJOv zq`qe+)xuPATp!5Iyu9t1+Y3>^_I7!M8hpFqdF+6f%+3y?L$7Dc`DgO{2wK|fuGARH zQ2z!jfpLP&KAKOjuHQ34Yjb{!)ehxA50aS6sQe z-Is;K5oV=1;pfa7Jl@fGjvdT)8T53^kA;NCms2w?1}v5M=*JL3dHlK)|4cI1V+mxR{Q4aAC2EjzU{$-JDzazY87n}RoGu%ep*A)3 zMmRzaAnXU?yF=(=VrjiJ@22KDHa8-{2V@URu8YBaQSnK-Sx+=G^AHQ(Zjk=>T!3Ku zFgF(^0Qi}=N?LW`d>iJm=WG%SjM|#x$o+xcYD7)?$rc&4B9RD+1qc^ROi*FUdMr`G zr-3iU%*@7RX+|?mwtf{sj;*7@7W|D)gB>KWX#yHeS#oUlhEn@cOc6nM-hckW-NK!M zkU+CDzik>ywx2eqjRfdl?O!Y4#YP!3_tn^AKSN?K8Fa_O3;LLL_;!x0JAJI%UtKpo1(P2_(fEy;BHy#eN`)?3%dN?^ON%7=H2JYt zbQoG+7c8&#rEh32+Uh6)9sx@}20t;WpL@~4L|>BnAXbV zgM@||70BD3T}_{|CD7c7_3=LF^Z0ZpN=LUOXC(x2mm$L=xz@MZKjVW8t<&oWpSe7tUl(_;3qHcaB;=qTjFk68MT!X-hL zo}@;*8QL?(Qi?Qa%$Z|Zv`Tenc1$`pgsVo=r)LHA3f>!C2AaLa(y#&xXJ>_v-bIhqV=Z0t+=YKxX_g(O!-WF+GveqOEW~MF*li~pKvF3kZ)76XzQNhqW2ISZ4zxIlg*?8o&lQ

H+N}-7P)YchP|YKrV22lhd+5`jlejX0a6cOdhtJp)HyCPw*q+ zcS}TCR|Qca;x1$<#zL9!v5^zncMt2n(zm#OqUfYBmEfhCtp)+#`P~}NtU`G{I_WQ$#tFqM)mh649XAO}SKYn<2 z&2A^4HqcZgb-k~nMkx~!fjjYcE|0O>Y{Q6l0(-PF?I%0V& z7!;O@Zqi5`G3=7G6otsVoiAXG>DC$Ljxh-4l*raN!4UU269;Y35d^u}5)Oqbu=*Hh z9f7@!KEdQO9~D8>^Ln1#uDAKqKlwfUtzKkf7Sy06S@U2}jM2a=>Oi;QZ-)<0(V2@9 zpLslZW*t%9Sc{&ZNXW~s$}3{qtw>=mr~1T#9qokuUhJ)tKwV>`@5b`*@dDDZYN)%Q zPq3(1JrL@a489iEK8Ta^JqL7m8KK_luRnVo3RxVOU4><+mD!xM8ZFgg5WhfdZgxii zW2Aj)TUYU-J+}P3oG!> z7i_zJ@pU}xZ-hX67#TMLM)^OUnsJ&#YU(4k=9MO#IooACaPOGEe3LOb1#_-l>)V<( zi$V7_6a!-T?9%?(jdnU#{q{e4#nSGzuY4Tv;ZK{!XHQKTr{8uPZ@c13h>hGtrwg^K za1+fmg4oy3`mLL;ljr3}6s|um^v*`mem1w!+^f2Y_dF+c;yqEKPyFCHk*nA~HV}BW zGSykY+iIuzw==?Pt=;@;Q(Z9GttAFV96N~xKicb?8|*WYqumelrt5t$#N|<&pf*+G zo0B$Z#N=iVc5C%~VJtC6+15L0{wXB0x@uM$GVs{1|TI40)qtv*V?_w zz)qZwWX9RAC9m0tK;Rauy^t`lrIUeZj-7OXh!O-VYGfxxs04D)=^gv@P``)S0?Zd| z>Al}sH z_hSaAN3EayydE$U(SY8ni~Q^Qkgr|VZRznEqTaIWal%lzae<3SG-w62_2j5OZae#Rvz_>OKQdejcP@uNoz zd8t0-+p1uhB>hJ_3<)Y(i>GD6w9ojs1)fgjiJ&2)v1&Zh{7&=*^+ZX7t^aJND0%2+ zCQH>cW{9N7pP`IVpR6U_~rotm18O050B1O~TZeR=(#U~^kSs@2JN$AX>{8NJi4 z3eS@we6Mx&(%@MNcMEC-xIMirDK~Za@=E6|%cO*=g#FV79_yML31dH_tV2?-n5f$z z0u}yo`*T;Z;=%Aif~1pxc?BG?ORoDca%+#ATD=h*B1e$NX)8 zSzK?+nzo%(qX;xx!vO^pnw9L@*znBz-75dEmd2m^#oQuV>>VV>s@+wj16BrZdAzDw zBOFP>j?NU0kFC%vhI1Zn*C$;O-WHEyG^nzr#Rc?YqMPvX^U-ARe8;SoR$PWTg2b{( zk7ISa9B#Ll{WFj6pSpnUYIrKRn9o>da2IUDhxetFYiaC4_m;{jJbck`4sinRJKVc z(1_Vfua5(}p)b1=(3m@}mmZFr#=N}xG;=rVvm)@OsJY)K0_sV^&bCE++3mm%nSA>A z{wA&^CL=j(0BE7pMN)*Xz)OQ`!^6 zK4{2sOqO4EDNW=k^G33-kP}Q}4|iVaN)r!Fx~8OXDQU`atle~(F75+I13Y4679yTP zgLves3)kNvQS$NnoVQhs!=?3{n!+X^8|FOI51}9~B$s82nB@G(ahxye8~$<~j!BYZbWuiM2?mV$X+Vt!V3?!9~m^y4? z(|t5aBUOkb_QOL8!h;wlpj<}P7;yEmK|>hqa70gfwvnX<6A1ml(OpP>Fn zK1rziSYXfuZ(m$>GQr#`X}b38PHSeQ@Wb{5U3nzp>}g5G-+MSBsAAR#OVa$n?nbe@$Ns-%@vX7yIn5Xl z@e`9@eq#r8{Bx2ZU|H*oriu`s8i8#E-sH`-im;!g%`^Vp$G7Mhuy$@1 z_nFEcsDX?%kYBTeCEc8d8-jdn;i#*qq=Mlmea-JwhRM2kq>KsHOXkGVZ3~GN{w@;v9w?X49en| z;`c{0e|-0M3Jd#@&P7dlw#hW;h`7n3>68a>cxA-t))bO&b!=UEWK? z{TY^*%dcrbik}!9RyT*pJ@EJyvIQ!8Q2cpUR|Ol7L`56b4ip|`_l)#W*iB3&Z7J?gooMrvTQWcqojzYc-*eDkl1U+7VJc6zzAtX_%_WU9F<^+<+v{k?x~Feas-Kb09m z6;+1)aU^Bd_+KRX`$<;dOY1N4!QYE1j}{t1Ioctja~T^)fSMK4+t2i3#5u?pqFE=>~U=QHKXq7ei5abJ*+2mup2cVuRKRb$L$Sv0i z2&a;5xwq50g=yBB2krclGD(0L>C0H~fVi8_EfUS~XR@{{6smwW<2B6DIvWK9ScmS=b7J^ z>~jIbW_MDPlJ}_Tbx9p6<4!|i*0|n|D8gAYQ;*W|_l9U-JFnX9l~YN31WPMr=p^Mu z0136J9?@m~Z*^hWiCHmkPU~D_t`ldXx-qCu}!sg*e!o33=)G6Dp1IED_Vz zqD2XX9qFpnRL8*~vn3AQtzxT<`s zd7XOy$uCkUeIAz5t#WX4qcIx06xxxsKj)uU%mGN8zt3K#axW(5Y#p>u!!i=pz&E)+ zJpb9c$o1q($~xNq6y|#QCivNGNQiF^vXp8pGTq3GnX*ChHp$SlBFVk<-K(bDc=wTK zrGS+-W@gX=V0{XP2j6s#E+4&pP8={#x$YJgZuaV7i3Ap%M9D|m#9gh9?!chv-pErJ zG_;C#qAT?2njOjOGW&ZoSENk?Pv>Kt**~&;fUzWZ&si5}^QO&TN**2NQTK3?H|Nw- zH~wK2Gel~)`S$*%_MUh~xJT7R_21gUE+snRHc}YRa`95qf}7h83Jf@eHe)+TkoHoH z{<+YH`#nXQse4(*{&e#0osL$)^WDXK-lDyMBZ*c}?0ETsW^fYbd~x|5xBI>FO4-~$ zb$K51tvD>4KZpAB?xz(sZ+||%7&K*{p#aJ|1#g3Y6v*^D1LD+wZpYm^0Et@%FBa;w zBjUsf6L5qe7b2*mKqJ$2*7&LZT1Of7b1H0bmDCOass)iY62?X2!$m^;2f}!&qGUAN zG^VXO(FC+t2~EA!Rkj=5vlXRez4g~j^44Ae!A2vo6^W}_>jTsLNZ~G9dW}{l{nYo-DCHg!9XKa}y-hc%X zGJ*~W7OAVWpCMCzZdSo?XOOfQECTD)EHdR zkx+7LKxo+EV(8G6)WlrhfMNyc)D*zoqX>4u@d5Ac!}9=#6ET_7Lsi_@_m_(Jm&Qyl z9wLZ4BOqnEJ{VE6(~2sR4+9?3M{WzMQeA9+jA|5ZSnBqp7{{uj*~8uAeWcrr`%gQQ z)>v%;A0U++G{wg9wE6N-d-5m`30dZ?e@Cg5(}UVbRsXNNKCk*_(5l03H*CXhN$4#c z9z*?OZK!BoMODItrl>L}NeT~744Dy53cSGCAEe+QI(R(EBlzz_H1U@E!+s>o-*80H zwSf#hg32EV+#TVuQE#VhB8zC<~%9oQaWuaw$}+{w{kKcJG(fk<2UmGhvsy$d>h zV)SxP0t~|1Lx8I{k(e{G1Qe&wX5>lNgV0>;PW~L_dyn z=t{z;4$x!(A}mJt*$66}xBzn!k%vPbD{dv7NrSf2a@8NUmih28i#}rwv2TuftZCqM z0viFutbup$;u`@wJCZ@b_TtX`>*iTXR(Mpz-ZxCMQXan_L7c|KKg`T-AzVZfvvZ?-E6jmyX-Swe#d@5 zoVa=@AvSB(U*iwNg#dTkcjG#VHDTeN0N7V2*K;bT01dvnP{!DU1}PkEzq?T9J@hTO zB=^#si@YsX9pv6-d7B*jVV-%M>vz!8ygPC+0>!j#8eUz?9g$;7&aXuw{t8=ft3J&V zGmFb)4S5|w8;ROL(^=Kqzi(!7?Q!drT>8w90~1OVPCKfQdMV(S82vpHIdbrd{+@)P z9yjaw)^%gM36dfxe9_jF43ATk3u2T$+C|2NaytAS^%2yT(lIS4nSPNGAZHK=ys^nO z=uQBl#@-VH-c8oqT4OOOpIZ0F-@ivXoyOk1!r@Ob7B>winxI-O{Le#fs%mw!+M!5*W*&;$6mtR{mUa;g2RDNZMg_1&|FT^sP$FseP`He!wSi zz?)ikL-|K1II=0XlZ>YS@;!eT&Xg%{)l-|66v6>tO{9KSl+5hzdV^(;hU#+pI2kz3 z`3#?5K4AcOM@DrumTDmK$3U~btfME~ugR6hDU_z(bv zDSze3!(;A!f>{Qyc*8B^6mawgOBh0aor?se$LIVUK-G#Rnq2E75+SfNr}g>v}}S7nCixZsD?cIvXt0aod)`Qb+e?o^ov4I z;^du>`>yS)lF@${ZP`=4+OOgu?`WKCajtO#Og0~0%ECv$r%jib-!H&_Rieosh*Zu| zxb}LosCi0?s=)!DG0^wmxe*cem1eIH%7gnsn!%g2$J#CY;{s2oXR2tErT!05g4shn z{cfxA_||Yl$4&y;b}e`X%J+XFGM&H#^;?4q2?>tAp{Mr?ctDC-*iAm+KZ8 zP#Z0Ees?VVpEG6iUlKgf`i77%2wpouqpr(|MM;NrLjl_?1C9>u;Vj)X;2zTBK=KIX1XxmI z2&a4u*lGYpLcg)$I00uW?BL~6$lO@6eTQJWkyq}C^?E7HMZ>B$H{FactmAEnay9E~ z2nzq09{A~@G4>&*EYvTZgX?N8Ef8%6k^-(5;%nRl-!X*pJTA=~XvOijGaJb*DUa;*`6#viVL>&6(&wXkZ`ozI=hpr7NHW5kz@RGP+0o@{z z37i|ZTARf6cLG|US<0tTpn@1FE1_LSyo^;Y4$JOiq(rQ0bG^Vx);zz8S0ssm5YX+6 z1AfHb$Uc&HqnBO}2kAMRl@P=lYqJP#Od%kYv;}>r0)av#c*8a^ndWP+iAn0dUvQ$Fs z_7oz}lIu_wEAdy2Xo6i?C?&F4k zd&zwMR*-UgCqa59XImSbZuNK_?Z-oVB9>%8Yj zsbs%A003KWv%cm?^~j_fh0c#I!3}4`Kna~RaA)->dl3i(`=tQx@TxDF9%eo4se_6J zm<=f$99g*nwBN&eZ;_wBBKg$17mBb>7YD@v6G>sy zTksTICokp(_3bZLK`XOz50AvWVo1KmS1kjyaiOvQhlrEY&})&c=|?ry#jc_5+`lxk zgpA|LNCW ze`JD@dB-K0L7KV$<|QXY3|Ug)!dOLrvMB~ECh_QLbsu2PSCT@-Ct&aYEA9tP8%$<@ z(-EQ83*<&#o=D?Oo$Mp`C4AbAn*gg7fMP~ZnAW#2O1M6w^4TH1OGH^|<{kW;o14>S z2cBidqbMb@$fN$h!=H6REz5d(waJJWPf&q;AAf+Nx4-J?WP11c_Q)ARh}WH>B~D8w z!c?VLTqNlML~FN)XY4#7Umf^Qoy9ODx&rC0`s;pyAPPwF$?&D#Uc|`b>`_-}POO+} zVk@7%4`U@DAHK5Bj{{E!Oic3Shk!`l%J<`-+p{P|<>nbEE)VC2t%ooSup&{HY=Cb z9!FC3qv9RsqFN*yU5))kac7$(T1T@EWBviXErm0eN^w=)^41~=!bDniXL)K^U-%BI zTfkIfGi9_148bR|gwt;)#=XFo8O_o?Muhp@&gJF~Ot#cltN(Bv2mYu(WZgzGi5eJ> zm>O4dKrAa?&;+zKNtTNu$XZD{;$X5gJU_@d^QM#$^m#vn@jSGddONvA;T#bmIh^j` zw~f4-HW4+L!PzFU+-N1ch6k9ISe>g+}@z>5q$X2|(_C)CDRP>x@(_-jlo z>wCi`+*=olzB3B`-agNk!6Z~bk_LG1%DHG@Ojp4ueZ<@~$^VO6s<+~8al)+sb~{=m zYy6+51qlZ--;QY8A*0HD5j^@=$?`78SgH!=mV;apzfWX32qdSt@6YXek|^;15-E54 z+s$}MghD2wvAkup{!1PeuSBwWQZB{c>IMgu?O<&Ru#vSh@p=hsQ`g#k>quIf3Z~<; zod571nhjy#Y#?B5H=6wI;9`w|_vRFl5LtkGbRn7%(JB0mH-BdGnY^r^=F0N&_BL2V zV3#AzE@9quAa6jEaS@x1Y+`?Bk-KVd%&|sVgdqQ~(~yt{!Egk?bUtT@H}EdcJ>_#3 zHrrTgj8Jl+le`%&YloEZNNI8>gi6Bpbi(#OU=j>LQcC}nj~)HxHm*iV2G0yB#vk-} zfIk2eZS0O}7$aQ&a>9tKel#I#c5vyB%5|{cRsQ=JkfX%``r}B4#vWJe*aE)U(0ed# z>O!b2tkg8qUBh9aW5AYKS?|8wlh16cCZWDkgVEP6%Le-L;*0y9QAbGNL>TY~ykkDT z1k1`sIMIz@yO3Mo#4t$m0mCo4X+ITwd8d`-hYQX{^o|bm_U2QSx=49mw@ksm{Oc@k z6myAtL;!{z{`t8iOz?Yc|ehY}n zt@~DcK;)3cS;*)}_Wg6kD+rvnj{=i0H?{KDr>YY)6WUt+R zdd*lO#gZZ7hVu_#PC@C^AfxcY(j3FprHwW3#9tYC%+Sjwj@+34E7t$lDcN@g6-3@v z7*7&&P*-z?(I7-IO8)XEMkqXgfbaJ|{9^|I#qPX4foK(u_Ja=^t2TmdY_vGq zKVWLJfc`2;1OM|Ga1D(FDYtQ~NvJHuV4=!lt&x?x6T&g$FKpmT_+YFIDQIU-5IYDox>44f{JNe$zii=#wgf|V>b zns2IwgtXQ zhSxX*bl>OaicyePt|ESzBK*-3ZL$4L8zbRLo(+Vi5(gIH^(NsRB4KuaHc+Yr&`UTZ ziAm7&Q3g}+d{{D2Q*NSSsuvTN$CS86g#uMH8!vmvK;MnFz{hDFtD8q>T}dJp&0?Qo z0~Yk4e_z-jGd>|GLb?6p01_iYE_L=!( z9Kaj)v>U@3db>hHpfP@#h>4K*YWi)K_hjzn8|- zPOF++_H``ytF;&L_p6eiiKopPhv2-osW0zb;Cl$tAsdq~JQ|+kQ#v_uu8J&NCEay@ zJp~QQNbBWfIzbMkuxF1(RWhn%UwA#ZK|x&$(6bK_o3(~xF#~Y#x_$&$_MHJth=0amUt?@Jm!DQ**SSs&!nE8KataLyt%!MzNN*!Snj^%p+%~s%q zz{_C4R|BjZVi?@9Vv6SH2`BK*&dYQUbg2yA54RJbx6i^4;G*&&~I5Sunu zPV)^Beev$h1_(j119jzUL1^n+3jD_UTlA-m&lngal}Cz4=EJH>^I~VIHbbEAjn3Xm zO3LDc58?Q`B@4Z(`I>PZ8MHVS7Eieiil6Ua%>_oi z{1b6)Sd5MVF%iT?b|8+qwY=?+oU3G(jb{APDyuze1*prEDbs$ z#Z5*#e%m~=vAcWVTm;~X{_Fx?P`)8YyOo_B?UXZhFlV~8(nq_! z0-9iMx64IG7KI(yR}GHSOy@H>u?PZxk9w2#}f@TOu*h zBFbxFJ0()(3#sG;3Dk@>Is_zPOC5l&2Uf`S0QbUG%t^XwgLXnf0u?84x@-QyI+ajyL=y>yo-Xf|eeWk6aF`ZExmcN!@)MGRG zQUhn)In`vD=5qd~nvDGVF9pRVfbYIVQ2>pfB;C);YMaPIebKr7E9d0=1u=jmzT~C9 z73G6?Z_@oYjM;?nR*0MO`oDhY5Xk>st^~AFHdLX4lc|ilvXOK5n94MbFffzN?yMZs zePwgl=Ngi@9nhl?e7z1R>2?C4L>|r%^El)*i$ZJ+S|SUmHdSGY4v`gG+U~w^ulNXv zX5XSIBBnSmcQxb*5|d&>i}NB@OCrgd?;emhZV^%QZ1X$I!=%&44*{P9%6eDu03N#i zdYpk!9uwn+p*8tj(a)Rvnxv9M+Ply z_&bgeU@?LK$1p#(tX4F>RlVHFUSek`Q@DKcwWLd}_5@5U`MES-6yw}{``{%?EQ03D zP;l_t^EN&A1HA>QR*o60WScp?ePQcMVUNU zzDVH(Z2Q>k4}KoQ#@3 zez(C|5|sH_F`)UW%fK>>B^1_M{BbnfI@`{s>qsU7jO@_iw1L@YvhUP$w)nX(LHVH^ zQ3fN4TWoy1t6lxE$7o55(f}4x^i2%;ya1*c#%Zu|BBM z*&#o6$nzyc!A=s|t7Q06hQNSL>;mRklhH z==$=ZyTu-DUx6uBQC>~|9k$8!=XArKpZl?&V#f~=X({2MAZ1@0yFt#tNRn&$Gcg1tMrrESY z>dKk)n(h3*n}@*ZHJiANd_U?B<+Dhfak0~QomiKAO z)gPo}Sa8-Qy}YNcCMxA(dt8+khWXw%R=HG)_6)WtbLE!9au{8Qm1B7suQK06r=yVc zi{r3=Fy+!fJ3*~@hbkLGSodpR5DwDoYT>Q*+1b~+r=1^%mN>`Kg#SRJCZR^hLW3uZBs2|J^}6bIT#t_N0*5zeQoCbtascT0|KS@@}fAoo8JVH z`KUTR`Zy^w=%S=*Upkj^5`=r~H``zSd_<1f9l4J?TykFL#@q3ut+@PA?--%cp2Q#!rp3mb( zCOK9Ki%KEg|3J4N+j?2=jkNI^PZcwc?Dfm!==%PObuMqj#@^yy^>l4)OJzw>F!J&t zTror`GAe0nze-n(5sAXUF_I{O!4XkyH64fd6CDUelgFLhPTaRGQkAJm5u5Tla`dr^ zQXsKgt~WoC8+(J+Y$r)S_ZVZj(RvMbezYG8-rT3<8ej=L;Dk+ZT3Vm}I`H(N`*n{u zY3$}fgJCM7EUxAuzhGzLd)5X?y3f8iX>KJ&D20Mb3D*u*bJKf=jNgu4!(H7liTZEW z#Y7CMil}&5{9Ukm__;P}&(cHang!aD)6~;$Zr8S=kFw$ zQ9%yrt?(}W>@S=t;PCKd=jD#LNKrENwI`l(SQ@?UlcQrHiVYsUTjA^ebKpXf$sR(T ziu3nJ1a?cz^$$Nkxdf_o`4G5@X!*3qwLND>eAUp!Z6haDOqMf25m!&N_{l8U;MtMs z5?oYHPS^S-ef9?qcFfRacP$ODx-`D+!mK5RcF~SwENGH$*far^p2#2Brm7wN2;x$h z|4#Kw_6HSRIl9Aj3P?tshQuss+xH|oIs+Gu`er}sUOu}lPh)+Uni`-U z>-2uWhm<5|;Z58y`WcFvhcBGTKNQPa(0BRUjU<8MEOibHZCYI$Sv;h8y6=UZ`E^2UDXfutH5 z2w85aNF2_YmDQM`ZL+e811ELdA2Z<&Yw+(WO?{w51r#F=mhx*^kWxtv`Qx89^(S^K zxi6OlP=ua;9oX_Ltc}KW+`C@rF+9u?b7w)g-DEVxPR?xg9n2E`o|C(_p{Ilzr>iD_ z^#)!3#hVPiXWvZD5cP7`SyC0|rc{-v=L*WfiuBFWJU^;$iRkbnAI4`^)gB)nRA5rb zVze}`9)ewRb$KEJH^0RJ7{vYL8jfy4#!}7zRR;kf`|Q+gw|s^50hd0VQH{4a60C#i zR0NBVn-KBipv$a`iO3H$$OfmH|97E}f(yOIXQ1YSNsMzc48xp^aaCrVfq84U{R-EL zc*86`=FWgrNlWv~SYT&>yqmvsj=lC!K~j*ObgE0=Wl})=W5?Jc1wt1|1S|4lp(lT^_V;`1oBfQi^@p6*-rY7Z}6blACqZFoE`9BsX%oIeA<*$3+d7!7m= z?hhswlngH)f-mV%`s@Qe^`WP#HwQypExzR!qvKSEZjA1hYV#D!80wK0m#vVMPT=K6 z8>J>XI8Xf^Ik*Fi8m*pbA7W+6;o-H&BZt9;Z-Ou=%`Jk{9|yLja=k}n8HrT;H`=8@ z^micDN3;@oJxG(rH79s9Vl5TkjhVr&+0rj#7B~l2mG=Aybng^(hSUljMQ%5i`Gjun zTV&n%$}fIV^d9fE8&f~v44nQk6z&Z5M~Uln-Qz(}q>X3!5=KRZ#RuMIe0`SYdZnP~ zdFt~RG;!`?fq3-lz!a`V(!mw7m+(bIaD|w-78=-miaziHG(jU8J-V<$jVAg12*PxVkc_;?GadAee%)=Ems#A8+4?%vZdwww~S5> zo~yr-Z!3jL*&Rz3ixnT1Dr}%ggga*r{!QM$A%0|8C36c==K2JJjEqS^N5;di{0`)d z!AynrvhY5s0Fw5lrevQb$Ve3xm16_hrdJ$72|XrwJgh)O^AKIsEG`igTszeiSLQdp?? zLU;=5`f4xF%xIF>%jTs2X|=7{b3S9uqG&h^{&yx`#x>Cx2>g^UK||!PUkC`eQE&_; zS6}O+o^4JP*Xl!b!n}16c(B26()@~d#9bqezwg3{XJ5i;COzC#7Yk5is-O#GirUfQ z32R7`xxUz(U8&4&$l5B^5-_i>oKUKvF>%THy}=Gk_aHTjf<4tX7l8{JV5t0}rY>V` zaY~>^#z<|oGVo#Na{GglQX$hh~vjr$WM z@wASIthzb>HT^Lruv0%k82o^HKxsTLj*3-K;GBp(7{n)cT3Z<>K5x4h#ua}d61}!u zFe4|O@n!^}2y`N|Ah^+;!SM;a@5u&|*ddo)Q4yNaZ-7Jxzdp;i2o5qGiTFkxJx`4d zoIeq?{qA~f@UnX3b6u5(Bo?Xccb$4W=4E3HEB|iH+dPo-n1s7cR@V3gfLba-td&aH z6!?+G{rKV}rG2r(Vw|j@FXw7u2t!Hw%vdSsj#kB;%Fqt)-c=L^n-x=r&OmTL8==sG zAosnNZjIBtQ%C1$%slzwfSa z-Ku2E)KrUw!q>twGW85f3Y;=B>I|`%<61@pFrO?6W~gIwzTs{U%*20E67EQOHz89B zQkB}y7iMZ}`VvUi@5O6&LiU-A5mZ5e#N5$2hrgD!NJ$=@7E`W_fA%T|&-QX#D(uVG zcCB7Ksc9Q-9k5}%WV|N%%k3uhy&=_=1{4(V^B-y=*-tuJx<7GO#H(6QhThlyKc>Di zstT^_76lRMkZuI&?v(Bpq)WQHQ#z%)8xAGi-BJe-kdTxT=}zzFdB-=#{pSw`9M9f+ z#hi1^wRX5N{Aa7w^Dcvzk~fGhy3*!YHsXv_!bsR)JK7B`jI8^s+t%Q zvPp-yftrrcrOFkbYwH*LT+&yl;~DkCbT73f+IQCf5s3Xev**r#ogswz&wf}R2bFeH z`D4AOU%aeqyT@k{Ub>SsRN^ic*N)p(h?NR*(8mN#j*j4^uZmA@>ntC-+(;CD2|nBp zRb?ISQF7D91I2?a=WdJb1ws!?gV;Z4tzl!WkTVTPFM%^tL0opt^kKQJV2|>UM0|1al~epdj@$F-qCbVe^jj8 zS2eD$KjAU)df6)nPmDb0>Uw%|=KAn=NS1^qS7`mPX<>dEE8Ku}0M7$WR(2h&{ffP& zC{8W)qnrj?$;Px<5pkWFKY_BEEIV6^nC|qb zn=WJQgi5SNOlG8;(KU0-$7=bvp;0rYPYmO}6ryhs=rUqsq*87{j0ONx!lv7KCNY@v zNlvzuWQ!h~`~m4lz?PWy)udRZmmG`+i$JSQdCUG!3jizIcmo|VdaQPC{jKr#$e=9) z)@z$k%srZTde_Z43aPILoM@VQ8marEd`)UCuhw54odxsu`~hi<#&NFHhW}zRGlzq+Oe>V=KC-%GH5MQRk%FR zujO+G>{pk^ti!&-u+$r}=!X$~`d-hxcQSrgWdz-bN7z$NNEsI!TTo%|(ONC|y}Lek_=qI$?U~NFxjbHAUMD=R{4KA;f1RZ868bS9aE0wN58nGWKAYred8;a0WJTElTAAlf zs9m~T7-5vBeOJGvMSqip#4 z&1QS_;xtD6qNILqTcXcre&p%gy12=0u1GcQVHSM)VJ?^qRgO@SBkM)K{v!7`D>SJL z9cdR{&+dck{$)1=5&TzZ z2mP-iDBf$WHv47I4)^mj3oRTdYiw!+hpDNfMQ|{I*r=8;8rrQu5G6IW_(?7Km`P_$ z30M737aC;o>2`10lf56FSU;@4l0%t`C$)cqQB7)Gbd=R>PyNMOCU@p>mHt#=+egrR zcD4I3g4IE0+ixmBx|ayiC$+cauW^PM-wVkj;KOb17fF~MwHZU!lu!|(Q(nW)*02+O zEn#{Jp%W6a<5)nUmwff)zDv?H|08{4IV`iUCITSfQU^^A2A=rrNar3xoe8tHhb<=Q zZ-VN?7Dh*us5zE1_AfW*&Bd^?6ah{Ws;Rq2EP8UXlD>0HO3EE+(A|9BQKJGtlkSy{ zcQ#seV+ww`QWFMt-lrrLU-U zSRFP3de)+0uqZJPWmZTpBzhUgjOx!*Mc*iz;~Bo(LxG=|>4sGoR=HWyq4%&jLVoVO zK{!70I@I%X+!7T)#Yd-X%i^JYgH0o&w7vE|hW(@c5p8C$@X6ZoVK3FF?gt+PL`2a! z_{WT2fjMpD`C_jka+x5%Gmk6T3WKu}2AmfrhG|FP)I0q`mE+Y$gIy%sBm?_LjnB=` z2mYX#@#@v|_bStnIMf9QNKZ85ih zjirYDTd2eI&Kj$aUNbEoHCQ0-`96-#Cnuwj*yvrVQas|Q_pMA)%ZCG=s^I->W2tW@my(gH zVh&bnpZH!fa`WN9MN(@?8_l5$-=hw;G0QNS=dy><(G}LQ;U_2KH+8g?hxp^O2i}Sy z8ViS6cGLmCFz77zT@dQ=;1^W1iF6qmZMN7BH0VaetL>4xw<-EY!mkVYqTD)l1!@hs z`e$Z;>gx=DwB`==tszb@5o(7C0feqqff>#H^7WOf?F+4!EvCO62--hOiJE$Kg0Aky z^TpRrS8blVxCS+n*Z@>g0^aB;1rq;3GtXl$SIeYcn?E( z&EiH0ftUrHm1pa&R~>=$l9sC{O5fT z^TXB3fsvXOOcU%6l|qb;11Lk5lvOYw!q|w3@Y^T%%XUQ~VOgNU<)MnfvpD$EBaE^w zO#_K6=w=QzEy^Gf*%5LjMZ!1M8;g>^j$%#0KMq|UPC})b+mtQKugI+F>YjPw0v>=XogHuDbCPXakl_nm^zjdt2!c>&bd zYncXL87KoG1%#Q|{-}QzR<-fXqW2O^k1{!vSr^l7%6YAK`i}TCl*r1`F1P>LZfaH& z>SJj<^*C4JK!a{e@XxFa4Ih`*ZW%4F0i0(NRf(lt>hm@BKA!G7it{lRVsj z@qJ_C`9%6hfO>!&BZAP&+-xxw{({u6&XGSME=?yX7J~M-f6T$^*y^1+IX5dtzLY4< z&eBFQM>O>yUa6Jx3tiOoha$>2vNDTgW=g@TewGG~mLLq|;?8dDK!~t(pHD8Kt@Sa> zL1o9jV}z9!$q#=`=S_~`46%Krx*~OkNcr-gkW|A-1^IP{k7EHxqk}a42OFR!@cit@ z8>OKh0?Z~{Zod5)umt!{s6-XMSLYoK|2x$)jvng5GAp%YS_77mDK1-5P3oaH2|}t_~5(x1_|J)eriG)k>kER0D&d zk4R$*H|rYec1*+tHS`uo`x{X;opLhTbX!hri#iJzlJ;Rvg@ml-4jZg2K&Dkgw zG03O z@#m#=U6M+u{AJh8lB)g<)YZhJBRG^QBN&xJG*5av1*2a@{pS0@*bTTCCDa2#z9oER z5SNQ9r?nY%AG_}!)x_EZ02Mg}h!)5a@CE$}j4Jg5sXDMBsP57Dy~D$?JL#7?OtdaA zX*#+i_U=cmHqaMPu_w;*U|DgTBFfsLy1+-U>9WN-mp84`{mz6vdno(_qX)NC(WEy{ z^tr>&=4%O8(^sVcMa~8M6e)6Xo1P7iy0K`O_xJaw2FvcJW|uu3x|32;8O)FCa{*{* zQ`sWzQ>CR5JY_WFrM{5de@jh?l&OjBCGuotWxD)DX#oW%2Fq?Q2z=0coC%vY7vyTM zIK!$6FqPFQC4w`1Bw@_*wbz5T->HWLK*-*PQ_T2Ei;~GvsP%5WG(nELp5vBO_uG@> zXST54t$8=G(-d!*1te=MYlrL-jlfd6<&d&NzKiI=5Ti`vdym4cvB6~1)|Eh;?& zYg*;;k|x5(T|g`w*4FmiJvn_LB~8m}pX_IDdtx}2!lbZU)PwGIAVy?Gy<>MuoF3?K4ro_uy0%OH^qaCJG{ zons7%0jmDn z5tO2~U-`X32+6YzvJ%BM4kZ5`f%E%XLpGzi6^J2P72f1N7tA&90ze>KU&@#8@jo=1 z4RCg{Lq!+t$1UH$w_N$)t^Ko3yfiTw4+CxDS0}D54~-lq&?JH-u7=&v4Xo5Re8^MM zuxeJz{Gk4i9nThV)V9*yi*tDaHS&-oASL~f@n^_v($%eY!v&>unNNPt0X!OjMRLE*R#c)z>{57eKsf>xbsAyb-!VMMinCPB|+k;++ErfzoLs2quZ( zrt;r*?eRV~t zdYNQ|6wBjlirE?v^&N%Faftoa0;>NN$1zX>0K4mIUY10^w%Xw4+s?p#ndD5EF!Gwvv{c9QUdtqu*&ZWXv*!Ss%1-d&2Md)m!WRT2 zqy_k6Qhd&=yaMsw*W?Xa+x-d?KE0SPg(@7ry&(ae##382pUoP`fdH^l2|58gc3m#+ zq@8#@sXk|VsH!Ksqvoy;&5*;r4cQ)6WT|QCd3dmqqW-Yf5!AG*zvSi1P|i>~hP&RC zTu}(7a7K(uUP~#fYkK|m_`#Q(!87Ed=KsxyFZcF-+2!_8;pL1jh>&*F1qHxQ9{hVJk1i$uS?g z(e7-B4!6*D; zdh$DAvHwI`$nh>a46GJV)8WrlT+mOrdI7ep(uA{2+FsulMC-NRv#=7Ex#d*s(h6d} z?4>Kl z&ei-S6*+XM96oqHRi}@lPxde5WM#J)5wZ$vHh z;Sd6YDM%{)Gj;3ajetGH(@9dQJ1QyZNO2dX?0HM8=WmA`UFFF_`ii??w5hdk0fMe5 zMnk>vbn-F)HWz5|VJy{NX1==-U7&aQ73{Zbn+X&J0CYV2ALy7s8IrCP@~7ikP^=C_ z865_r!q~}dnZ}{7)LjKzl@(D%k>uh1&kkyJ% zNfMJk8KMy0_Wjj7Hw&HIIgP_8rh7DPapywp#}t~0XvJ)BUmNhatd{h3xYzNaYO!+M zJe4~d%Wi;@c?TqnCLRJS+!e^^L{>JN8`$aqwV-L0i2#9K0hsv!6@trcp&(&p^)91e zr`aHKMcYGuZ^Flfo7D#`AN#pbmYb84Ed22WUs9$|<#ybbB;0^mMh-$nOH$e;eT^pN zt0aT~Zg-Aw+(B6KdXfo%H1(EQ;CHdFK29B!_|!Dz2& zBfx(c4}$Jv(?`=`_xfL9cLnmzNncZT3so;?zbs1(X0Xac&zUMG-RltIh)Z94R!tTY z4=l(AtQJOX)#>tAXV*$W#|-Zhh0ltPFJ2R7-t;a_Jb2yPfjA}d;pH2(o2^yYjv^_{ z%i^bkS4^J@+1PJS%JTp6E%)e1!ROS~AN|HBSwIQzemu%(ImtJ_Gtu_;x_hv5ySVc_ zKdF^_Nz4%6^0M(uOc@oyH~9Y!78yjN5q8|7Fyzl6Ntnqx^ES8atp({_54 zQ=$8KZQ`x+dov*lfmrZxT>YrV-4wUuc+PArp#c>sPGB9d$Js8CR%&9*4S;W+rLGgZ^tR+5D2JX8@FgnFv4Ak<*yQAEr42n+yS4 zgRjr*&yUW(xtXftc9I@p4AToBI)~$nQ2`-;oLH^(erLjFm4p;b_Yb>;Z(}nH6&P_} z5r0mX8oSVYlT)Yowz1yLn=17LJ4Zm`JjTeGrk zZ0n0O#)oL-1bia$#e`ML@C4E@e|ejTo55qfHmxt-vFQeYjC=c)Blz2(n>Vmu2Ka5O z{S}8|W48b3qI7ZFFSWP3^&4u3re9FGlTl4KpcLosT9`%N9V+F9%hprb8X>4}M7E)# zCgdtv8GTa2S0`hPAoJF}A(nfD{iKE!gB!9cv&#aGcAq3{`>cy8=<`WpWe6 zrbd;F^hwu#S(r2qny_1{kPg=yJcD#D4E35UfO4_zkq zkW>0#kdPB94s+u&%FCF6N&svJ`h~N12T&Rx*C9&8j|sGdS;IO~Ur#}o8?)qh88O?T z;+wRwZwY>5N&jwPbrv`^oj zwNDzIOh4fM7F<_Ai0o~RcNe|b1W14<-u>DLMNR(~F-(`cmw3cRGKj7n*y<95K~}rF zu6(@HGm^^c&lss3UH?YV^q0e_=u_1_H18|tx~iCavt)Ag2h%lj*%{S7GYH6QzqM4= zOvq29T-r>@H=xe${JwrqI=R>}rQjZRb=%e7-iy)CTP_$q+2GX7R0na*dc09&6U7B1 zWmMx-#`~Z1`^B8ig41~kyxjV^>N-}_puM0^;I15aP;`I&@E+akDfAT_cJh5{RTm|6 zL;54qnnCj-95)m1#jeULFiZ^(M+^gDq{X+>G6SGA2pSuXBe;)Upq~T+mWM70J_}kS z*025B1NcN9s~xO2XpI&7fHD5Oun6DPtnK}j(bj(YI&g;ok-$fjrvY6iFxL*TH;jD0 z+@>Yi@;xrZan&Z0g6<1=i7h6J(IqzG3xGHfN7s{SGm_!~#LDo^%-X8=VXL@DXXP=Ro zB(su@6k_nYwi<(^G(8{GR-79kH8zO+bA8Wupt{56#P9%;%1UVJ5~ZG8HeBLw2*B~jLqT9g_7g2UDI{Lp=Yg^KxgGXvVs#{1156NiOTC(W>P9In>` z!D#W3rcC%sAJ!gL1FWhDwcXE<0Sb7yI1ARVKHcGTx9k(tVRE2_O7zQtk_K+}{QQK6 z2*_?UHDwyJg5lopZae&@kR9Q4bA84=b6V|~m{*(j5`I?=Cj|E#xSTCKhJ6+d6E9`A zTO88dNjUv(sgDQ(%oz_+k=Keqy`P-?-ugG;FsV-iUtREW6S3jBB#(`jGbQuID9RnA_GbUWrxVQTRq@8GM4gP-vnZr3MZ zN%4q`JoM4Ia?UrLfC@r)qaN~#N&?JKc6{^29c98LCH&we3?F@*>h|6e!9zz)x9OeoVPu|8~LA z#p+DAudkFer7-S1^GF$uSu&q{xc=teX+wQw>UgKjcL|kt9M`l0S!!fMonv_3pCmp^Z0xlztNe)LKxt% zj4qZwZ~apCM-8QNt3*%Xv(12NT8IuDRC}e_jcds#^4K54|`)005*+{Asz2kwo872@=w;oo9lJ%Q% zV1wX?R-+dP4*Y%X6kiO{*(2ow0->`^YIX$qUqW%4jyJbEUo$Uy8dxciW(^0g*sPWd z4TUC}%kO>j81(wu*l?Ceh!eNzmOd__(ul|n`i#=$C7DYvX{XTYDB)m~?;!z-U=u8k zQl3b}o5~OBSf);daw2NV>JJdGlA$pPcSow~T;G;B(WxFo6kRRZkG$puX-;>(Dt|K` zL3(;`M}p`lB7Xd)hx){!(Cl3G=MEG!Dp&V@sDc&wANJ@FU+yCgaxOjNqKp;)iyU0X zSf2*8CyVED4X z`u;x+PgKkMcl)>FJiUxw*@gLx=D`Zjjk52e5L0l1R=N9cjSwIkfAsMZ+1Ze=xWMfF zEp*w)8-OeMy$z!cH-=VSp4X4NzAUHlI198Vl&Mi7IAv6Fs^e#^L6}&56mhD8c0;D- zUjoEX6o8U!Tilv(myZuWD{CAyWltXW3O`(3$j6>ZHEB@*%6d3WNY-I?@KC0cTb5Vy zI%c>-Vx7hI(M>3;7>XshWAVO&!v{62#nI99>YQj$X9Dz(6au;MG{sb~e!A#f5IyCK z7gQZdRnSLTY;_S#?cj`pKUZjo`DHwER7mfR6cD})Zy1Vy;-j_0eaaK98w-j24#4

?Ine0H?G*W!;~{pC$uLuTfK zdge}cZg?Iid_+lRW&?U_VByQ;cN1e$Kb(B_$mVfLAZJ~yb1nW~{n4ijy`(zjqkX|C zY$-{wfJ*=Mt`b;--^MI6+6Mb2gyd1y!lhED0mBK4S< zH;+e;0&Smv1#E~VED!#grA8@xG>0_J_;6iS`uaoo)Pu~&6pymGB3d2g`K$Pr9ryG4 zlM`MLKZ`9Apn1v++f-DNzhF}-x1!@c)(+9|nmki=>*5gT5DmPo2dRQkVY-)F?Rx;# zH_G}^)hqCY!dUFa|7igT-ci^AK4vav;-TjyaRXaU{i4s8WD4yzZ(utl?PST`34}R2 zHTM<&%JX1*)=<@kIn581>XG2jvm^HbsDcm)Ia{yeT={oIpx<#R8Cd2)gc>=ks-k=m z&Xx=~elV`{V533>w2DpB#YDE2+aH>bH}5v6Xv%1;5a=w5S*Z{c5`noo+eY;%3AeD5 zg^b0HPUDYabgu_rn(0Xxq@^?Hl9vQxeyAZw{#yath^yQp+zA&s2>ulsbmdon?arsK z9L*XfZM_#V9Fl$-7*a4@DTI00eOP6ad-jE1;Yg|xO_#;}B>pl*m zzvsI@t{z&oc8O4U&Np?grY8SYpqs9rCsg+ zGXHn#2G*bNjMFCJZUZ&&V;$ijii{k9$>^dqs!3UVEPwzStER(KD|QTeR!FTW)T3JP z?{A%G7_R%_7AUC(^sWt!&+pyP;OPL?sXTZq5@uk(M$Den_G`hqX%W{&`r0GyW7dZ} zt9Q6Bi9c8Q3;lTm@980d?HqN^ySOw2^g;hDGy7 z3QXQ?^j3tJk|%iG;v+r2e(e6?OBRZEF+hI0 zrO~hltFAubO@S^gN>v7-N!fCiUgGD@qbU)KrKHSC;7UWIfsnY>bmnF!hzqM{Sq%%AtTGPKtMc?RfL$7{temna~3nXf4>mj!7Km!5#^Zk{pvxDIln6d7+JX6jU%T%XvH1mI!z0ZiX^kXA;7t&V|Neih8jvJXVhG_=M5ohF zB!9QsMW=qn*&*1;PDlPFfW$vws*`|_F9~IG8w^pD&-3r5e&l`gMxgOq;NZF0DQJcq zH~N9zeZybK2^Re2_z;8&h8NoA`oc-ffS`5PZFbTjZ#78=GIsq%gNdm2yBa%IO2K6B zQYBQKl)rBA1nE7R8d+O!NXxOqXlXttxd-p@y1L|R*VQODqbsnMATt< zPc>3CaZ5C;6endCIybSIeDK0|hL|VpZ2m`Pp{s~f zSkHd1$2_{t_SzW&cP@+gtb7Fq!lM^nZy<>Llk>rY20*U z0*N>Uyd)H1{m&Fmr2Z|z=w?ZZ7U|*z>^_7dG$Gpng$KVdMnIW0hFNh=6~0R9-_^#J z8Y*q%e#j3(ZXSg>?@iC_daMGTqq;rnrsw|m9!jgyhzUv{H|x@KS8%v zzhmtK!{^{ASyA}A$$ai*EN#07Tzwq^Ts{W<#+?3`iE&1zyhd)nr<*~#>3zekeQlsN z15oeD4dil#%L}fNn3%^TJsy99U4x|bQ(xV?KJ#@G>r7s6Tl%h*x|H{)rJb)^0i5sG z=&F%>(0qAU#^?FoMk{ndPCuIH6u`6>S1JX^s+P*C6!c$n{$lYxoGi7rZ10_=pZ?Ye zd>;95%oj`jVED-ZKRI0_bU`7qSe&fqB-+K#VS)&vnA6Gt?cH(^uVM#WrII3^So_ql zzs(}Ap;8hWL?7runk!-xlh>)#Vz@bkQ_;&rp@Ex#{fK7z21lv zE&%0jSIkmp?i)Xo_@wH#8%pl0ft94Ci*2XZlK=c3uQ~+*t>xc+J$B##N*^b`wz7np z?#6^kgrIf)rw8qEE4F9&j!-TvuM9EwZh0BT#H;hcW%?yd*U2B%Hka0^pBugEt zwqtu2EnktDajPLj6{bF4(!a5_G_0n(la0y6Lp}`E zAeT4!mg-e%XHWxZmXvCKy~P!J)^>Ft)(n->R0YRl^M5A9{xQ3E}>e`4qO>)OI*l2hKB3zrJ=TwpyUk zdG@?7Oc|u$Pz<}Q4=-5rDnCqL>QL+h8aB2>Z5zFXCtm{PxG_uLV0OYlkq9sFQ=5<$ zJ<(Ut8@DzqqefqMaK2&i`V4kb{+d_ZhjB2 z+EfS*v#aYAeBa5AW$PeGQIix7t3)Qr-nR(ihMj?$QbwgRH zP4wjTLod|cZ|{hwU-{Y&y`2#xfywDMdcnw=b)B6MObMq{vn;N2{F-obo0>WVALr!- zT;PRxT8f>mU4C)t>x&UAEd)RR<(P-v`D~k-s(1XoWBw~XD4r1!ZO76h>cSXlq46(! z{a2k({8xMfvds_hbK)mwld*qOzE5NR`0kfzK-c(B^F0sB$8wb16>f(>;gq*>aDHVd zqbDBdDMl4Ai<&5cUMO+{nX8hO=OQ15a2|!G7)ID}r|3qu=7OY7UI$U~WS2pKp*_|8A5imi!4>@ReS-{k~O>W@kJ| zAO!_yeNB2h{0?)cvo;mw#y*NTS`qqyid+1+fUaKW0Va{;a8f|2kqrnp3hL>xk#Xb| z)buSzTL2djK-cvCD_|~r!4%!IZt2oN*ZS=@PPm0VLqzgEZk;<9zSqaTIzhz~;X5>Ue9E zlkl0EwQ3#SSbx$o#dW^QX zBqEw`b`=9#5;bnm$?1Mi)z9a!L+JW^s;FIGPquE>w6~bfZn1a4T#+-i+-jtal_HZ- zJ^|h5b03}%ufCGKa#b$A7J=8d?r#nGk`3?GD4m^+nArbeq%^>jqeF5;$y}IW$Pw(P zH}T1$ODGoJFxKiLrJ^#Tad5EOIxxZ96K0H`V+TL&kO`|&1(l!dM;POW(?yggen@68uNSNVLRH`4cgYHfTp2fpOTlv=A`Z>{!#F|)LfNlGQ$Z*5uX$znNbG64bb zw#Y)5qKq!f@&G7QUTGzGT-|xDbi1G^Rj-Z|o|`57bvpagTWB;j+d9d_-e=_C|Raei9 zP=zar*Ywx!a1u1<=S$b);X&k+j|xBqGGGs%OL!o!O-PLE84v78V_(Fj17b&=n%F8% zbFB_1-`tapt5kzdll#Zt!K^Eqe8E_ZRS(~+kh~8F@e-3|gjM1wF?PI2)Uee!T}Ua( zFD!zUi-e&Zsk8EZ4U39$gpq*}!57l7qij+5OJO8TB&}#_fnHL&?YkTIECtvc)PBY# zN#9m14}^ky7)BQsJb8**WHw8{CprU_yB~S;25j*uuNJFVSImnH_4h6(ujC6q(!1O& z?hudgx!|QIPr9d6?WkGvo8G~o8Jd{!#ZKf-blnXBy235HVi%|;3MqK}x;*)xoALQo zeZN-I*TAS%o+wGdSHnGRt-s=RERYZK)>ykyC1s}xr*I3bbn>I;@%MEXgSWxdZQ!j@ zfG-Gv>!(wqu~62ikj4RK&bOrsu=sn&Ax2;WAQdM<`YgP!^jb=YW;A zO^4JF%HmGzZx5Xg+AOnF|0u){relDQ^CBF&&C4|Zvmgl%pfA;=jPd^j4`l&%-MJ{{ zR;{%z2zFue=-BvG15U;o%$EAxQUp3YGc)5kZIWyqcB;SZI`2XqJBC&p+^0ryCLE?& zc6=NrkyA(od#AX&htD&c5;w932SlbZ(9Gx|@RLV5IPFf;;n58&->yJuBn^ZJ9~-R!k5&x8JXU(18KS+0B5&D#49a=E6%|!4Fqv$ljll`aF zDb|dhj_|}(%GRir7H?X;3{6T>S#?D3D=qAy2irnw{qtIfAhSsh~ z&Hk=1sKG%lRS(#zIq!N5a&tI@8hsRhKC(0qO9x@(Uwsy(m^AbvU%#?RpH!!CIBtfDw-J0E!z&qp=wQ61L9iAWg=(%^=H@{jV5@FeiH*>F?jN zr~xbL^rlIKJ(f(A(kXF~*A@7tkil~!Nf8neiB6h5Lvh4G&|7CtWtpC*D;G`GFHD#N zvV-pAA=K8UT+rMPXFuvpM6G~bxS9Qd|84j=l{yuSktA1{#VX3(CQDDA=0_|Rhc8$4 zMmrVaLj8uKGPVvi-kf{3VWx)rx;$@dONfIhgIlXkP3VIuXyw0&3^)=>6?`~Qu|*oY zr3uD9NOD~Z&t3Tzka|tDId$KVlvkseDZU*?5f};o>8arw-Tx=nnIo80AmR%jlT$1j zBlIJE=q3Atx7HgX7Ii7u&nXsld+=m6+2DS%)LZD-D@Ma7ylo*z4>Xo76arcRzZsR0 z9q1{fkImjJnaRh~5G-43C)MW;1DeFG$q(H)bCUjlepEQ>B8DXVs$B2Y{G9kd;&|qN z#BnHon&}&(5(A9fN+HLLIqJ;?*4SWB{Y-Pa0Hjk(RST$yhPl_YB*KnoGUWYyR%niL z1!G9T&Vbbu@;n-%uMSvKCtfmc{DtuIVD;HoU@T6E%*Mw*```h@T~e9R>1#ZWH7{qg z7?Xl*Z z8GSEdvNM`P1MsLlLkk25bI5M*!IHM~*U9i>A3s^Pf9IC`zvz z49BwN8~U1aPY4RWcY%_L@-8!xyM(KOi+d8yA>2N;79QaCFV$tCLT>c*Jq$UIq5K5l z2^6o)nqCVNL#@I87*&V74iB!?PcsgSXghWDmj4LXB>MVqdCD14;0Pp;<)~`&84NaH#D^#tz zfCnF>l>_?G(&~zesY_#eK8*h{a(bSN>%H^pH9s#q8Gw-8An~*~o0gM{gplFgcDreP3$73EQ{-WrvN__e0+@OOM1~U(J8X6xZa)l;q=C zh8WWU%Bq}^cf2SV+?U%#e+q>&8nA?e8m2yRxOV5pas`!lN3iVRPYE~0!=swc zJ=GhnE+TCXpKz|95l|hkuU-r>04O}|(1Hy_1!HcOdw(}i=MD;&@KbVz5|asBZm!1w zm$&5Q#XC2zn4g3756m0dG>Z#7u+xCiZ2)^4jq&*i@%d~_dF$9SD+me(Se1~ZOEX{4 zP()jy;q1{cpap#EkQ2iW5j}o+o^80DaQE%QMV9t-5X&{yg(-yWLa4cc{EeW5D53$A z_`uq${Kj__erVZBziaWxU|&K45fIrsk@E2!yBe;^#AZ})_cT#tkrfp`54ZC9HH*>L z>cl0Olqd&Rw@&#CYhND4Zvk>Dsi^qd-;p=7zum7}y}B;$X38#gyu3ygejtlKdfom4 z6FWWlr^8TOHK58g+SQtXakp`MUq>lVgB8fIG=)l@|9w5hPvtzv(4pvm0W%P-@5K?VT}Hh>uLWd*OSxq{+@@1 z9@BP6Q8`Qh<_qP4o@rF2Js#HlrB0tc_K zl$tGFfRq@<<@mv8I&O%W^7uTz29Vu!p{_mv%zlsZeRFAp>f!S_YS+^|B2Lm-#c&Z^{el?46?-5En97H(fgW6*h4NdZRqr;htA zvN3Zi5CNmn=&qL1+*~xUkOzOd6>02JVoavK7?y0m$B{LXbq;3P3(ztZwU|n*L{x*_ z+qaeLOF%cZ)JbW`#t%&*i-VqhP@B86kweJ0u*8U*=DNFE+8wg)^RSDlshR%T8UZJo z%-~Od;fwg#GEvjX@`cE+tC2Nv$7wsVcLb6#R-IbAG_mUg@Ad3dg9vr)!ZxM z-%9tW8Qt7&m&c3^&0X<2uh(BaCsgN!sbOLx8(tpT2x|JV*4>zGj_cr{bD&z-GTxGu zXygR5Q>ZeVpnJIgRYOz=*JR6J`FsaRi9lpYVWgO)jn-=3QBnjhn zsoEyoV{;4-BW8I_@U;*GSmDX^SmBvq)3G~Lwf+A74p=}5Ld{$gODQ@JL8l=Q0twXcV8eS2ZjeC7R_QgXA*3dT= zMb9WUJ_)lZsYwOjTb6ftaQTQ>7%a?ih^`d*rK;&9NGW@P4rCTFY*51j6?FCnB@_Ze z;aTaeIJE;Iv4g-Jb@FlJ=^PoENH?$e=tc^CnT_2bl8e0Fc$TcIHaXshvtRB*b!rs z)}^3K7V~fx8o9Q{1a6#zEs^Go?eKqPm!|VYSKLs-3x~3dqXDT%7LU!c9g-wV$YHnCbkHzJi zkbNCGB@b~?i>~j{^=ArVJ7T@>-&`h^H>%6Ao(C;)O-*;*c%Ew>uirdU83p|_%%zbt z38S$z-al6l3WYvPcF?bva^ndPmdzKWv3}Mb#7)j4U^~VRF7R$Z#LIvK-Ztz$$esOa zl;q^^5a_h$kgNE<2+tJ06~+D>Xc3S4FSqmhYw7{b@xL|a$*bjdJC);3?M^u+r~c4j zbTZ*UF(MW&yaeXz09M8{Lw-0SWIR#C+b=OuHd;*?b5s>_DU}ua_S2nhuJ(&gJJ-Xs z-jheG6j~?;KKe~ZN8?%PytZyT>{I8S7ZSc|v$3grqDdsLD(t*1lwu3C9@%Fv{lthO zN~kL9)gw(oKDVFI+qqqMDPOUKg&Mh1x9+8-0UwVR8a3El2tJyjy<88Grs@DLEG|D=Kq~%{?8Hb{#Oj&xjNsSmi?&Wl`efSQ?qf0#_x6Kb z4S`YwP(KqylqAJiYHJ@LgoS5qz#BCy$Bq2JFFf>GK4+8)E4q)L2{rX4v$?&J6wlz2 zzb06oOqV_inw{%&BxzFiju2Qp^bFUx=^x}wcdGJ*$d)j>YVZ+7TE{&Eyb=?$)8)9J3-BbLl`R5+WC7t>-hA)EFf zl292v^9&~(wiVJF+?ib(cpjVr7$V@8_$F^u^8|rI+DnF!1|y8PdGdM5WCM zDG_LBT@CDfM-!GpE#aBqCeK-L_%l*i1{HCb8vn$3<f0t@g^jrX#l0mmsH( z^D-ddX$E7syM&w?j)>c4LWQwU81`9}~cggc0KK9ePf0mXb^zvECx3#n`MqMK1hBz2Q z9=t5J`Sf^{f9j$Y{dzar#5OG3;M=vN11*UPyJVbzp_}o!Tc7wG{mv03P#+EGCs`FR zXI-L@0+hZIk9Is^&l`bH`wnHX<&glLdE)A}{7u+xa~1y!JzbB=)668)`zhYFh8?k!2;PbK4c*)J|^x zpb&LO7r_e4QTEMdHtVc)b2%eA-|t5aX`qtq#6&@TPRi;2@tD4(N+(z3;B+nDa4N_6 zDvltPg|u>|8GYwgS|On;JK5AwU`a6D()v5mBc$NNz33mf95sf538GB37pip9TY8)Z z80s|hGt&$;3;FGzX+$aGy-G|!ZYHKP$)Nkcm!S#SM>aYLb8J}5QE!G~jmIMB{1UC{ z_Y+EKp^V^~xEQd|{XZ>0(r>?mPuLM0{GP@~Pu%X3WpAi22z?;3tcs!t(&WL_@NB-o z3*xVou{m}3a%b)WDv8-fK-b$M$JhMJOWz|4sa0p(HL?#9JUpt-q*LSViN2vhn`#ZF z+Lpvqk#L{iIauzzAQ*gTKs-N#KAWk*X_ki8)f##Wdw+KO#u%7p-c(HYw|I`g&>yug zNa6$tMcgk{(xxr_QI*}yu=C8P2+u#Avaf3Vv1_cI<=^ef`YKZ&C}<&khs z**23o>$3F3t$xPXnp2&*-xl*jRc|*k7in}Cu;G71rmDDNf^_M(B zoWfc$T#XL&nT-SXQkFl6@u>SOwMw;@x9|GHPU4ZG6+ag+5^O!00%O3vHbjrcGlZH? zBr-B+o}B{j_Y`%He)9QJ{FobNDBp#pgWvKQeHmS#!a3YAXm2SB43zmO9q*B?{ud)6 zx=le;bZJ#P9z9Eq;U$?$voZ(rqWl53Xd^xi?;*E5Cb^LiIc1rscD4Pet@eA0A>8wo ziDq}pZH$zX)}{r-yIm6<@`S07Wqftr5wUt#)qD5$>vQOL*N6V3Q~AA*!E|p6GKNxS z)djkZl_DEg3cfsQvf04clk)bC6!_2~zbq(c@3Vf5cE0&Vtn*Kzix1}H6w~Q?UkDPe z1~K@@W?PuhMuU=?ys6u=UB+9N0po_@&nxVX4F5W>Va8BNcM0oDSgVWjG{8bZVCseY zF3fTFgxu>;lt=zjAsAW0Gur`LnJvWrcEkVBE4IThpwuoSi~`OYY>lV4uikMd)!WQk zC;V)-^R)ni;OBKfarE^6$a>45AiFkP6p#{-mXdBHr5ovxkZus^?k=UfTS8j8yQI6j zrMtV&;(Pa=GiT0>|NH|yYu$0xdZP7kUREJYV~Ibb@IU04t)Zz`RmMrkNQ}{$ospG^ zsoy+^7e6cdNS+oe4B3iUrMmo8P%T3-?@9>oPFo~xSM);?7X02q`B4wI@G(UV$-8x7 z@{HV)x6(CF$0ICj_DdAO-+vS1{5y^oCNTAH2N^E~O&Q`N9MALSyg9z&@<}T41N}YQ zI^czt5{=bdoaHTY0*LDw;K5zB`>gx9)d>wzLX^5%jFi<`%#Fe0t?lBNARXS+=zZx& zpXSd@TU^WX%CVI{ps5E3{s9i@_Ap~*^NzPm z1c9|viAImPOjA;VhJYJy+r)B@ofv~bS%I6b@G}v%j2mg;9v%U|{$q?nmiZU6fNtM$ z0be@yXSIzV0sK0@Izvc@&&3+`zGvbje3+4h3SlY>ex9X;9$mG?Ajt^)frd*;Fb`?d z+Tz>heMHZIjUXhry|}~&5y8%Cn^oX%oJdza@jay{aaFDVX4cv<3PrBD>a8;Txv$_z zU0uHCDAv2f(Zsxn9sjfw^%KdygYL3I8WIk7q3=s_S_RO((~fZ;|9ACm4gY}_uazUc zGvx2K-UMB!(J`~`J-GLgG7giaBn#}lD}TXLh)W!fq*V{`&XU|79#b~QmHtR9{g$}%3se)KEWG$! zKS;J#jYj02yFXGl7~hrAXlA*0FLGKKarueT1up(z?fph*-`h?glnnGv;qs4G4R$!C zM$ivIEU>cN>it#w>aJMG{^W#vvXns!-NBAU8MSt?9-eO$2cBX27e?b@e+7H&YRLt` zLObAMvyG`lg6>A!6 zA%_l$Q{mk34LCJvOQrMjnDl54@hRk7^=2w&6v@)v))gIfz+yk7D1V9|*BU;IpWA1z z3=wLt);DB+B;*@9{*wh|MZ```@#1|=_|L(Y7B}V%_{`z2%j`fL3VXe?rhG8x zU7F61O9I|^$67Oq>s7J-SJoT$FG9~3!x8uW0(N8n5e?~hU{c;$cP{N8Q*(VSNi?0s z@5)mBK9HX3u7~8_0JS5T3=tq8uN3b*#NBUm9Yqnp< zJW1s=O#Sukvuy1Kz8ps#`ZOzZvo;2XQ?+=u$ag$)SBSY$vgPMiR0K1rLOxw8-NsHh zt2fca>=V*oF$#CJM|J2C6Bt4qro~j(SY?!I{O;zPng~n_QK6@%Sj-~3Z*4d=astKW z`!5R~kGjT(jy1i8qmZF)!TU!@jD6yt9g4?*eBT?w882 z5QGJdaIx5(=t_7IID-TCwsI4c)84ifo|CrN8<%(TAKzp88-tFEt*hJbZLvDsD~7D^ zk&$H;$p{bY+HyWeVNdhPTP_yq1+;yztFb|Wsc$VyT>mD094~l+FjT{A**P3Xs&=*4 z*SdrRKluE)!HqH=OED#Wx)YuIJylA|-N3IRSGRtsdn3clUwBkz@_zr)CH28~%yDE8 zLPMhM+o@=nEV1MA5&#)y{lR%gtxZlWbx62tquwJe^ zGA;W%&NEiBMUBVnzIrZt&yKVV^Y2+{z<=L^nKON%{wOA7cnjtY_y}+g*umaOyvH%q zo;32)c-wM(w}U7HgPFP!ikpo1;kK>Irq|CIAeZtW>Zix|L!0#!*^B~sueu!wf#t?n z$Of+0-4bFOWp{9P)hp1_*t|Zo7@Eewhtnp?rbl=3^ruLWraPxhm4&MF7(%`&3tf5Q z4Sf52g3A8F!tHi*vh>GkHm;ro%rm#G?pTIIbYaAE>QTFZ9EEX3|P z5NNk?{XucUb5B~k@u#Z1>vO~h*Y8JI&w6`{MD@DZA;&8ws_Xyn4f790jDkL-AE~Lc zEiFka&*Xge@_Yjbo=xkX@Z&WJ;Tr5?)^euuBh1VcOE7a_S-LrW^7K%`cw+AscH!kUDp2VMgFLai#>0G(zaAUNs zisyS_ch__H;dZVsb2-9L-AlKuIQ98dhr+cTqVVhIXcfN@x$qC|gK#Le4ERPiIuR>Q zUJQk(4n5h8zkIbT$BE(mq>~1IrAsu5y-`tT;r2U5fZ6l7b3B68yX+mB)UI$i7b5m6 zr}GVAMn92vRiPx3nNV!d=(~P+>*}7KrH!zj*;qqQzow89-@Z)7aOnnrO!&z!O_`03 za4JJGw;R)6Xv(kCf?K=05hK5em$~<3BVtV$MnsG1ep;B%$TMB8+&greEtI} za7hh2n5SiM9`;e+MpuRY1$So4x+k)hvgcuR`cvVbuQ&E$Ec|gg&{LGnAg19m~Fh}wld@ft?YB{G)XWf2D zVJ*vTz52rlg?{v``un zZnp{-w{iSA)u6qgEE6-LN;JUT{eUQs}w2IXcr9x62SyBf&zroPDOaS!P0$k@9MEq&jh^VtOuL*QyWS5|5}d==&_B zgObe82nN~yg6#yWU^w$7wjLIkG|b0qAPQu4$5R}9P%{kN++i-V=E8Wuyf{oCpmDtF zANmIux)X&BuVzYyaIVh#he$xJExyFK(47~BMrKde^ut50Ap6y|*?+t2Ak6=ESu#fg z(h|`uT<;ZlaU1!oRaS!^o&F8FrB)4Nu}(k5m3M(xkeHxjocaV`K*rUHvJkZ^M~oA_ zW@>A=`|81QhihW2&r(aRh$+js!FD5CQ%6cwtyI z-T?wfK1q(X)V917^2ewg{vi0QP)v2+FmkO`ccWt8Ds`=}w$x=+L$6KZW`bhJ+;+NX z9s_2ig#ra);?->XA#ndg-}wWl((2zn9}h9C_dapR=wD-kph%8_cMW_*Ar}MQ zf5~rGiDa5`p%0Ny^s||@GJ)lEnHp)>ddXN~+H&x;^x_8somUT8`u3 z>SWjk53fpk@?wPiQ{}l~w*IUOxA(0VtVwe==SYi1X83zI(s&2N1k!(m)}Bpc>vyKN z9J(4K=g0`UO7G;UNU8-AvBzEq9R9BVwqMr4huKUUqaiYx*l(`m7>Tjr^q2i(R8eps zJhJ*69*ih-^Upt~6B6dA^ZD?|26wuPcE@Qn$k3SIov*#t$17>JpR8!_m@T2Z=M$I! z8fj=}Dk;^_SnK3f;fcaX#5qLJ3HQ2Xh0)QK2Vq?>SBqtVRpd7D-O2U6)?&82T`X4D z1Ov2qOj0V}a6QUItJtL$-rN5DE~q^q<5JG7*YTAa*Vdo zS?bDw_rxhy%fF4~kW;cn{eIh?+Pa0*z?Z0dGAHiNIzVQh&(cs0J*=kGo7;K|m*%60 z>4_g7wYKK-_-eu};af>-QoT7BMZ2?pLsBLzCiFgwh}!;PU( z2D(#fi5PtQEx+g{9F$3qi*@UIh#>cPC@V5=R07 zHYai-BEGQdH)4$CkA1e>-)##uG1kJ1H0377R@yu5^W;;}HZ8u;E*6^2Yikegu0tK; z@%wF$1Px0`qimRpucp$aH(6GY$p{GiTu0@YDf{6{Ka;^Z%&{$fP&+zFD@D-dE2m7K z7Vgk@euty2lq94=PDVhl*Ls!5=J&t8Q1ABNkx(F0o2x6PqY4UTpZ0JXL{2~=kkFb` zbGqfU9H-W7Bu0S%pWn-UmVOf&%ERE6`1$PWy)*;;nusEc3_;qXx3{f(BnggSWnFEl z=FhTGIlRttA71kc{K*`BDmq4--_)3m#J2s#$HipOd3;W00Zg@2l9U9+Z^GLW&K$6> z9Hs+WN*R#l=p6;Qj7kqHQmat-_*An)5VUOjL%SjhQwG5sl^bzqyltM0=3(%kAjl9e z?m(C-G%ukFkw8DA^I;R+kuGwafgkk%Jl90l8D~X~`P|fef5Wv?z9`gv;GeiSBZF5+ z=7(%?Pp-q9GgmkidRzvJZi+(=y)t>O#9|V0tQkpePnU5TUH`dT636edD?+KNwiKh5 zY@N&(eRU3Fy`F9Q>fdb zvydqlc~V1TZh*=WN{_qn7f)jU9Zu^Zxf6SA2#)vByIgZ&>)u0axzXw1yzMx5)N>bV z8HHvz&gm(kO<@Lxc}-}(k0{^|{6L!Kp!3jc3%}I*A6Q84 zmIke3_AbCYS+XNU1$Imc;sYm*OFX)S;cKy33 zOhdn~{zAgyR4I9x)EzdVs0rA?uB{|xf%mme)DnAz{tM+7X(q>EpwXP=j>9v9U%ll3 z;aw1`e!*QTc~jzlvjHv2e>iuB0~dD1#}NGqIBYpy57!0qK>rRIU)b`?F|-I)R;V!! zp3npdzn%!$q9N}SuD^fQp>q759NmIO#{KUi`eVz3^C_|`s(fz_Mw}PRaNiqhr_=tB zyZWd6z9$hA9D{?!1-gzdWM%4QJP2wb63Od!ND}CD!ctG`h0hK(WOiJm5~=?>fUK!!jx7g*0HAU@B25~biEgvF&Ly7fb?y0uj=(t zf$mIHl+omnkC<3UyI%l?5W(ufMDd*LIhZt-Z+>x66z;C$8QSsnuZEJld{hTH?6~s_ z+P!R*vs;H!b1Jq)Cg`|^H@6sLieiP{{ zPO~ju#^5Lp@&B2DphM5sE02KGyf4S=ObRK&g{pJkU+{EPP$ zueY`FPC&wSX}{Uh(&RyDZV0g%Gfp zc~IAIMf&mbG-v78jPSavSsEA73=VAW)X|Hv!Pr?QEtjPNe-jHWja1Crx5Zpu1JPKM ztn3*D->up%4P0e7E&L0Qk@J*&pKi2l=?VUK`BQ%WH{Uyuow`UyvDWd-&h&t1|D2Te zyjlgC96voA?5hJiImE^TrhOjUQ1T2D1fi&*)eAyvvqd}w>HK?uW~Nn8_&?sHzp>y_ z?Ec$46_r9TP7QbP!DS1>c^oUe@_7??J;mU=X~lbFREJRb$SkIu(DKy&IgiGV6*e}u z{iv5N3de$?Dp@gz60uc_V#>=J^26)$`>YLcAx) zTW5JlV0nHM0}EE@wuOeo65~<}wUMl_sP~>7BJOlz?&$r~Q}dGA?r`7gsHN0MA%d4} zCf)vO^=Z1%7{#=nVyk@baQo~GiOOA|mn3RHH=yBp?1jf;1_~(!cD}AXksEgfd01ZHjXSor zT1<|z-fdaq`8EA!w^NA){Z#?g(SdBLyMaqdkqtbZ>AS^`xtspW4}XfSZE^yebwCVr zP#2qrWN)4fAI$+6T%C^RZSThOBivW(`Wgb<;F`3FLcc<>BF_J>lN3T^# zS=QRG32FUQVv)p~Ev%gfpkO05!p-tjKJllkF#%n~5Kx(YV4%JGwmx}>braqv3oWr(Xm;k`i^)s-EM0`tvgCxyeb};A*VYxE{q+LUk0d-_m%nPy#$Cp z6!aRs+$Nz(vpN}Zr11};a5N7Ry__TSh6p9By0@>#kXRRj+=X1i`Ygg#* z0eKDH0F-(5p_hD;}GNbnuWL#G@k1G<E_vHUszF+tSmY6zf_&w5} z!9k2Yq=bv^`WWuVq0WU_+F_h9FgWBd$!o=VkHtP=UB`D=K8(8s}|Hk=K62Qt2rd0XAc$Pg|R zCEz@lrg_S!5kQ%o0~7iVWfk)+g#l`j778S8+%CfD-gW?u&vi)q- zU02`YSJ?}aQ}tb^1uUz?hq$$xBx>3`SX1RXVkhCcXqvqv^1 z>qJW%lUyP*dC<_T)6#<1F(Ewva_&r^$r9~hG_6jHK8ECnTqHsNm``)4xxiajNP!Go z0d5LU56Oy8XtQOaUyL_9x+(NOF~^c>l4C91b5I0(j7uDH`{-#Lp?XUAO|r0I=8OsN zb1g~)H6%ABZntei^H^DTuAq=ar$}EyY6t7cjBq$Ppp_q8-u zF>?wUkb7-F3rT^%h#p1byTjXYmDTI1sZT9gQ{y_CtYVt1QhL-us5am^Ka>)!9by6? zkhmMxuKR5|f!-(`xb!2#hIK%orR6%tq*9{Sll>$)FS1s3rhNQ=H6iD}HXqF#Tz!1f`b@enw_w|U?VhZpF$CmIP`^3Je4}Oue>dYpfY;Ij76XtC4CG0o)l##Dh+nL` zHL%|82gWrv9RDHBpZlgX>2mc?Ph7*)2$p3M-h<7-;XFm&$w3}1cJOk!C~capF{^2< zsFe7W+J{7}%}q$goU=qDAa2`l?0j2R{g=ezrf%Ee$b;SrA~-gax#pd`8hO^jU#vQH zJ}*2(;j?rWVnu%a44**2-E(q7@K2m$B%2tMJkJy)6oeEPV{SQBWd!dGl8ZKq|J{&m zfO8EqV7mIhT7aJrhU)4ChRP^uX9vPs%)3_#lX%-l*;Ej(H|-2y?Yxepf0fCIr01R% zpF&cE`mSKTA_povd+#ETnivgd8v_M{u3>@PsA7qI8R_zC4ELcPLmFve9=eEKV;TeI zHKwT=E=B(0v!~0nTSqkf4Uj#KWuJSWDBGQwTVLoBwYhu!&XLvEIcb|MqQTlyRjoZPm#Ie{TT3&@pd|6 zjnwvmOdan2z$D5B&t2l8NGAki19^U2enz>{#g5lChEsJEK!ljj{W&yg;3a3MuN%H|3!{Ui?X8}v1G(3BHf(w%ZgVV;}{~8AK{~893 zyTo=WKDoo3dkEjy!@e&+V=_OHuVqK1>(3uGJP4=rZ}m@U5b)5IsUOcaob9SJgUecK z0tW73?PAw|_p*h1);Pu)8ZmPU1Uiq-FtG|G3YvCNj8<2l0|FilJ&$`&onapbs5Y-E zpDf-*j{edU&4YJQdMpf7f0`(YrP}zs?AolIY@+$$r?z(YRCnM;6Vnk8)uVKr^(M!v z9p*SYqb+Zzlw!ZC*lr$>Pt*LX-iqsc9Bpv~cWpd=JJW*Q+WPvzO8+3)%nFa0Fa9qR z78jqx^iPX6#w|jFJI~Eq#itrXmN#9E$-CZ3QAaoOmnwJZ6bIo7Ps+q1zv;Z#?JqD|S4U)h4eE$e)M73)ttQv53sfm36TmEA zN^ce#BMens#up>q%ZRlU}rgDzbm_7Qs^&<&y=!1!lVpq-4Ek8*MDqpQ{{GoV1R#D|RclD^RVi_f zLP=QcegwV8h)i(0vqSKyTx)!YsY4+6i7{EztC$@Dxf zJGTYZIl|X|SLrDN`=tc7M41kY(bw zbV+j8@Mtq`!FniU@z(!GWF+CpZWa`f3hwLYySNQHz0yM3*9Q$eSd+M+_O~8yLcZRv z$GzQzeWN0$NhS|wMHid96rBunch;0jVl1K6cdU$J=Z361tto=HyBM}RG0UkReihJ6 ziP(MUznfV-USuL$$`@(Dyw71rk36fgY;xI7fMLby_?74S1fs)*Glq~ruLPjgH`pCY z^$?r{ya_dtD|U#!ih#V=5f%fmDsg49vrl7X>~|oKnV-cAGdDSzj?cjlEMc0S_rH@C z+kE80a7T_)?D1vLcbcYxOqnUTWyI;yNfU4rW55Y8jqC;xajJ<07p}@^FEO ze>F%~xxw@lqLs}Xc2%Q_Mvxz8)Sdb6^l*lN<|;=RFk%@kO|+IPDI58>W*j-f0kfUH zER-yna%hELgUs+igFAbS;L}D&-~g+FJ%*TKbcv=to1>V^(LX&MUYkFvIijk573n*# z;t3#>tod&)$)SU=#E>*^Bt2}R!G>iCXbP6jNNnYrSHTR$Z_D^3>Yu>0F}kwsKblSK z_n8g5wk$&jflRRFul;a4`49+E`u2Pcgkv^%&V7TRjKg}R z9j`w^f0Nt&6*~+BiH}YV>L1QG)0I!uv zL#y=EVQ$u8{fvW$YP+E}j3sMtYIt8Bzjr$E5O}xJF~1yxBA~^ah<)6c%rb1+p|3@J zE)3~Ih=Nk4Ca3MrBgc>`!&-WFyC41ssWr z=O^*o;<>ztG6*?BEZQP0+=pj>b7l z($KtW*`6X)nIkyZCvhWVi+PFZ9!!jh6c=SySJTfG7k59lIa}t?>gCls?E1LgWh8@qKK<{BzEW%9%{9a^n;vgy3YYaN9kGL?b(cm??T;ShZDUQ4-p4{_d3p zZc@q6UK&Hs(+_@gU>I9pJh8~(!|OabL!EWV)RFM3g?<7Vo1SNciR^sXnZK!jn+8CX zP)3KyuBc~nzbk<*%R0S`@n5>>ky0Vx5tXOzGwXauym%r$$(n6t8XZ-L8Qd7&-A3w9 zLSEcdmV8nsiJWpINfRw(n~EZVDOxe|g^JAKTrl2el^jjn8R^5&stkU%rc@vF+Bb&g z$p#IBoCXxQ{gG_R{#bL4i_^j@noZv)S8w35=4bvcQPz=r?dS6~3cykH6C_x(E*4j? z0QTqSvx)M=!lr$uwH-en+}(YUn5~P@dTGxV*>L3D#X!W zfJE2tZXus_%j$w5G(~(zma457rKxb#cGu&Lqvg@ESZGieMJD2GR#OfW%s8{BcCS}m zXErs_{c!H)1;};^XePuY2{K1-`qZ&@@$S*4vuP?|5#W7EWuvJ=2}dc{te;f;W9(2W zJ-jO4JjYc6qE#o?*m8Orm8m5IKvSMMOyofG1u}2Yf3UnS%Eg{-c9Mch$4ZL*quZ=|oS|W5m)LpI4njdG7SIGGO-Zn)Rd8~| zC7w>>#@_Yk9nowGpIb zJZ7BH?g}_=%U{?VUd1jMZ~hZAvCO!Am$JZFk@D;vT(USJ+1E?_(>G!uW%?H40!|4) zc72zwG=oDq^GSb1*|gU_!?!2@d;$PPkJd8M1r5D`AqJ=>`yG}^kYs*S&f7ya@uIkb zfY?SiiR>ycvxFgWr~W_Y$6VHa;8&wU`pck6x>qJuePw=c7Wd$fwa8{dr(o)Z-lab?^DR`aVIPAX5{8-X9@yV%4<-f*m`Fd@& zZpN^0+4pQsQ&#t5u|&U7XXxTzFlCkh)%b?sN5-q}MIEK!RgT6j|6Mce1I8*4zTaQV z^1`>?jfmm#aMPi&@$4^f-}V$2NYl^?3ZLs}gXeqO;yYN6*qp_v2Zz?1LXFYBVh9T< z=EPnc5iBDpQIg?@=PB#-Iz9K#$`d2WJ8q;bimVPH>ct47+qbWgGtzlm`!0@Qqe#Ze zybT$X$t;mkT!bw)x-}rntsu6oB_ZDo^fAE6`TEKXu(6*tB(82g@5T0=y3nN|FPCYa z=D!I{=J@T?B#s36%^|uKzQpRCVtTx3+)+9;r$_6V&vAPoBnT_FK!dqq)EthqO8t_z z8u*#Y=@s2tYI5ji6X&0kPmk|M!5VJQrZ!PHH`_u>Vf>o=V)pHu4#SgOYSSp->33qY zOnK`pIh>I{4CzJ?MnI3S*PX|26}bYd0|ZdArK?w>c2nY+o*{s3${%&cPb7x;Grg^3 zDpXYei8JrJVB?r_p*i7G%6-WoQEu&FOiaJ;Qo|_~oM~%R!=HBR+^@&y#`_gHhV^Z9 z7>qSF?sn>C&pS$Q>YdMBP#abJsroG$CQq^(Sia+W{7Leh9$e7+O5@`jEK<1o-I4{e6QY5-WsZK0Ej^da^CD(Ig=qG zHu4^;3CC`@+ly1}EH3t=JlR)C zI#rAttgA;kU5WF(rGZZ7N8u?n32z6V2T9?lE)$NRK(oRyHIpCe^4JPk1oIK=q zHOA&Q7@q#9#?l6A+qwu&dNcC!wN3S79DYtq&&3fHMF0t97%%^gWVbqKTw@iOm>6hm zX5jcAA)aLn;E>P*!W8MCpgjoz!G<~0!TA}L6+X?*>T?AZpp#(vRTqm5KgP(xV|lkc zU1K^KxV>|B7z6XC1v53SR&M#~NuSK|oHWlAyio8?M|tfSO;{eLS~MG=#b3)7imWWZ z$bS@AM1S=OtY5_TFoP67N07#QGV%U#J>tV%_cb5Bxyg5@7X;*4j`O`1>(j-iMAS?2 zCUc42)lwwm_j;(XlH>~JJlnS>Sgn=vc9NY0wSPO97N@N|~pz znpm$+G+tg~?om4RzuIly6!N|lN%^zYHs1sYb!sABkysjrtis&*?04Y+iO}Gw_y0+p zn~ZxoIF4P|-zDg&DL%m0_YM~D%~wqVcCFDP4(vO#Ojt;Vy{g92q|NLD=_&Rqjgpu^ z{|*IM$mdUWU~J$Bz%sP+KT_Vj=VOOdR3}l|ADWKTL zgniS)Wjb`I+Ecp!{915FEf-}XR(s0?>=1$CMcwld^LLX4d^C=*&Rjzzwi{Z1CMQ`= zEaHXH`H?ew9tBZ)qbdK()i_19F3H+FVA4F@;R;Fn5Xp-V3pF|)5ArN&GSvgIH!DoO z3II!#VnY0$O%dQYLyT(={}ue&nFM6Hz^01Rd}-{+`~RO4C8jb;?)FM5Se7aJ;7U1uOA=DQsfe=EEG0L(O5bhCSHfcIi^XUaEv!l}qM8THQxeb)ywa3QD$ zcTFmV9)PHt!50|zRHQmRjPdu_&*ey(Ec2;N3W&ROOJvV84JMFy1CBpSzBgChI|Asx z|C+U&rl-!61?W4=3Lno$e$lH&=d)XGL_W~o4c(4Q#)|wbm+-&L@hv1zWw{)452o2A zx>02=s7~@uXM6vnNep>yC6~6|a`#&Zvyc%+LRsAz8~wUMwzn+1ZaQ~#BC-;5L-m~c zU(T_mJ;J0!;jx1)fG4?H96Nx?1q4T6XB6(YEu8q4F%wYVC9;dSi*j6+dz$ttZyJ&fyEchu)s%>yLk0 zK*(Bv1~N7GNARfR{Q1XtH%zri z7SW&d^smwJf*I2Jj>6{TF+wRoN*^Z+6XIGn?K5vfV`^MYqltN>F+7*ig*23t8T8y50JYK%p!tLy z=fgF`*xrkw1Oog&MLUZZ#``Mxu$e#){}ycgP zQQ({fbzfMXTEdZY=&WZObI=#S6|b^;P{@WSe>tO`yWyrL0-YDv_?)z`IGjMUZ8`;i zfm8PE@3~{k^ZZR}<^Pq#^@0ENL0{ihR6_g7d_$tG;t=|=_caEsnvxH)ED+|+{rUY` zHl2VS{#Z82-0+!h32#a%9@w2`(m6$!*lpc`wio1_NYgB0h41@?Fge|zrkYu;DKfT1 zE7Y=JkpZIEu9x46`al>6886Bh;4Y&~byK-B|HE*gW3}j6->#6i_ea^5!nFSu&iF2& zQ_4We>4iy^qB*DNi7fh;UKlRxV+VR=ovZendm$h{mIuUv7*B#t#u9u2zdy{5*QBf{}r%N3N0g zIjA-XQ7K=e&;fRH0mir13Ojo6cVduRt^5JXoM}Ac@DLCi^NV)>>N#xW!~1f}rJD@| zIAa@YtF^}lpv_7AOI*BxxD4^QZBkuX_m5l(Q&k?%Ikvm3BCkUv`4@jv#(Q_#5Uj5T z9LNw=4=Sg>mQNs=s(-u3zodgL>$W4OLOX!E8E;Nr`HLiV&}UufqQZiWnA=%0hnJA- zcf*h0ma)th7jP7{x`eB&iB0OmlKuRi7jQST{!Y}OE6-Ek#6aM^yO(RSXvWTk5=R?>*@VHZf8=$U(Z=9 zmo+S7n|Bfm{n{~Y6T~g1|L@t(XS0_Q;bnjhBpbN8p6cBVrAV{N{p$e0)NUOST>k75w(yB#pvyt)Eor9yGFwSs^Y zZg9MltJ(^2dW{Ll-&qR(K+phF24UM`RYv6F^Lu7bej}wgh3SO>@8gwG>k}QJzp-BR zZf=uKA4fUtW`jt8sMW<`+KuoRk8m0GoXb9I1`f~CNAFt?vYIt^A@)#6lA$!#XphA! z5A;xszsy8(fsRz8HlsNeKdktd--9QdUP1I%!N7HN>`-}dBouzJb^UMm)14mxyIB9i zv1<^L=7D+{;c>h8YOE2rs?E{{(qu2EgDlMUep(rRr1A#2cLReU%#ej~_x5-UNb`(! zbe!g$OAvh^?cNlSR`;9i?*6+Yc;KAIr^JfXZ{bncpMg{$V$me~lt9GQ1Kd@4Dsh!t z4(~IbCYil%#urbELKx^m8FtE6I;zWSA8ZBPpS01~D?KbQ{_T$R5>d?k4o z4r+Ii7r(1~!p|w6{y9a@eF*krq;9ETGc|7jdfsBjUnlX8)H;dX&GnIh(Xo5Ar7<;Q zwKmAY`-chd$ZBil60uQJWPwFpRz+W+C_q>j&DqbMy6pkXQ~yh&l;L`2>TGD60MK7_ zVwii-+Io0CB^+ICqBQed8!NnI|5de+|6xt_9lNo~?NjFy4BGTS(w3LwiU~4R6N!pf zrM{3?oF>h-F#t$jnJ6pbi1Ps*L1l$$XK?xGZA+kMxoAJ$UEl3AX$f#s4qxNk+RDAiP;Y|Z%qjr@A z{wJl&7W3cf_;NI_$YW7Pb$@Jif{&1@yX+b(DgnbwnBL_1DZ~4!gjR^(1(TvX#dxbC zHjKJY9KN0CTJ>Dl{aSZPv?-(y1qRn(F&RtfD=JbB-Qy!+{D@krrLj8}offElH?p`W ze|yDIHdb=2FY@2Szj}~_=~QN|zhansn4=ZIlbPgw4Qmr?#7vmWF>Q%L(wiz#%eeAJ z-wP=9l?X}t`I-7H9Fm^j^pvn&3Nmi+dH;-@EsdZA?`}uxOQY+D1KCvDxVzq2)zjUj zJ>CWz_Q+C=QBUjQ^@c^qcd?+Td2y-78FFdsOte3{%YU%ih>oYcWAe3bs|s#vDkH)K(zdqyKABAz9}@-r!DKw-scE#YEpbNvNjmb|j@Y(utM0k_1J$(jug(-5dh~~mb!AIe8{RA}ld})9lc2aLDUk%t zBXg>){(U)i&$t4C>dH#|pPV=+X$SsTA9S6_upwFRJ)uQ=TYyxhw%I~<8Od7%lq%_w1~%g{Y2M2)VS7S=cg(6xD8id zAW}vkK#!{q$K+{Wj>xFy)RjZU<^Nc{`>>PIzx3?MwY<~;Y)oag;y6k2@+s^JvdrVd zsLKCW3-D~GG-^0O(tDE6$`U(p5uUJ}!BmV+hpRP6-%)x-jA4aEp7RakB~M44wi^vu zy-wq6j*Xu#tE1`rY`Mk+A)#h;5_~IZbTX;HC{w4&a9J{!??;r#Gt>5<7GwJRG;6r} zfn{|stk>1<$O!K46!;i5^~aZ<-zO}}Ix}fsOV9NO?f~j2H(p$^+L-~?6TDA0T`+7+B$xuLcdxI5fGi2%9dk7}W_=`ljjw7Trp%Z8Rxu5n_p`O~u9Ycj>b|8cYvijA9Y(;mfsgGN|?BeUX=$?U0Gl9?UL z$F_rySh(^J%=I(_@VI6N+>Mnk5T4=+8Rh2MjWiIzD%nx&we?yupUYoR!4JYy=%CP* zO)aufU?8Ne=|1qi81%yQZS>@|Q$N&KEpYlmIcWBPzHI#cAqFXugM^%lLUU9FI1cl` zGPxdx8bUh8!4D%CiLDIZF%Ccf3;`%6@FLdtqbSEb5+OoBAZ1oRH}O3rPnY2jYt6v} zw-HWi#urcbCQ)vQ&y$zsf4tbZ&c&c!X9(9P+RGiuzlq?*&*Whqd_%D0^n+?ag*ST( zwq@QXLn^T6M~362DCmmQ^{nOKT&u6K?=LPY4y2ozk=TX%O(MDS;`6Oa$Y_Ghy|jO4 zt}GA?Tz9WwJFfeA4F>nk@X(NvfjcMl!S0Er^~IZ=K%2iF3{&M|H;zxJ-7qpxR%PmT zs}z62m|||4?1Jg9+^fK*UP{rd;~MvlYLt5dN@u#j6$%WtM~=HQkPY*%N^|7VLSFv@v0y zv;Kq-=62vXJ0K!>7SF!=Pkd1!BePduzI&XLfHQ;iNiy4(Ne;{UpMV zcG8k08LpyO+$;JkY51-y+|O$UWAKg=cx%-Qc->kG1D-F4hUy_@x*LgB8Qc}=%HBfB;d%#~Hk zg}zZC=@*Zd7X2q6@%(QFyY_EJ_Vg)~)OL|ZYwQFh&dOyP%P=zm0}@Q~Vq4Z1)zEkO z3iO1`9y%Ii^63kwrTVHnH3MW|*HV(YYpg{!XD+s$c?+y}=O|xRrDztHaP@#5me=#5 zqxu-BrTf(Yi-CY)KK=tjS)!HS96?~AJYHPY@?TS^(b>f!*4dpZ#?yI0W*n!Zduhp@&r3FT_PMtAu6)qAV_gw zCzJZokaT;}@^H5~Cyz8RsP{G9%C7Z%P7RV52^MkGY9Iwhl4r?SM7%#16_rZAGEWgjE4C137`;cJsZ;Yf06$8Rh!T1SGa38jF>?# zA)$c^y~q@j5xUyZmuk06Su~{C?lZDoFM?0~ z9`9T%oepCp)IwXr#rd^DM&;!GSY2FXl(pG+AMN&Cx~MVd$MqV^t`#_Hx=|3p=22c; z^>2KK$Ia+$cg~aB9JDSonruMGGuw~oow3-C?Sy41t0&H*mq&#kVAl0=e;*2q@=rt` z!#;Q!1!6;;@Xl%qUO8IF!j(>~{9Aj6qmL-B+U=MyJ8axNv1Irc#Dh=9AwlF_80R{q z)phWL2qfxx^nYX(EV6TU5vBcb$MZwHu3)Q!wuyq4HaAe1dFAFXbl|9IM=H%s^g z75A|^Ih@}|V>kqK`n?xr2~xbB&D@Y?+?8upIVPcHX0-6mFnxMhtClPlP;D;?jJU8+ zFCgKWS&JioJ_}w9o1R@$--AaL?#pQ;3khX)J`wW@+~055ur9l49EC%Zm0UkdR&+6v zrHL$EaOvLcoII$lY`gXu_i{d8Ge0Tay7T{kh28pQyLi8I&i$?MJnX&Jj4{TX!$5c;=6j5@jt-`li_s`NA+G5Y z1gN^{DJb6wdE@Vs12>eu{!L#$A>R<%l4*iZti{ja1LD0ha?<`Xih^%L-=m!`r9Tvz z-q>CKyH?bggs z+l<*Axuz)QuA06064T=XPa3GGpS~ij0=8~q0W;5SIS7V|f_z6SFcRzGaI5DASl+TW zjGbQAux`qo!2c!}d$jK|?+zL9YW+u3j*b}*CvzAXXMH8aLxrs}t@qYnzM9@k8-F+= zQ}>4_jfDpO7;qLD&f36PTcQcMO+)ZpJN8`tioDq6POW2Jr`_cP^^x`S=X33K0Yq|L z?gBn$ir88zSlOjn4O90jx`2ko^>A+lmdpzM%ex`Xh>+g{z+51m{(~4&cv5@Q?>l$P ztFt7Jj*BtVIwC$S!H?fwdi@%AVz#NvZ44f=VhEtbg6Wr1IXLtp?uOfYQp#BF?c}y6 zz}hEBU1P&96*!iAPkG*{(5N{r!{f9xTW0-pR~Y3x)nZ&s23#z%`o_Xv>W(3R+fvYZ zv((vghdJ|%g@yBxBZE5*&v|72qxK0LaEeSy$Q-WLD{Rza>{+sJ|D-BAuc#^@*^=pn z!_g;SQ@BwX%++X)h9IUZ;Yf1a6-9m`BRr941H~6_kb2et*1!z)(vx*kdfY0b5)s?p z%AV&UL)klI?_H}nQ-`V0B41`W7-(qbT?IABV5C#!s z7kzBU8!OLU2GyT*){=ym9wL?OV&F=QDuX_l_^`o4doXZCk$H=RNG2^NFM%D2+<@+7 zaOUA1*zme_+X@pDG+-L#yS`C`0?Po*8rITS_(HQ3hC5LC!q)|tG!!}uM4(L!5M39; z2#gJ5w9S<_Nv?|vzO|MhawE$tK$_=>6Np#}l_cjA{LF)SKY^E}+2cs?5VCkD8nB*s z?r>unx`v;AGVnA@6T}Tw zw%7^;X!Aun>l9MZTB3sVslKVEPo#3{v>iG#+7EtQ!+3BU(u{+cHCY5Yh8-idj-O+p zK7OWBX{4_Vg8uk^$x({V8n-nn#i91cMN{7!^6CkU2{uX-Voe z^`;Ev=VKO}G`4_>j+%hkF&d6;9nV$+^f$cAt?wdN#$L}>)sjl9DavsdUZg~(Uk}Oo zQ~1)|ew7Jjk5?;~{Y#0{%whj;&}ze_u1|1pvt>qpa?TtV5RN&ymK3v}{5$*r zK_)7`F<4zJyVVoJo=??|u+_6$S0vd&1Y#jLTv}@kiO_4oQHXEfR^YPRtPBL!EIPC} zgB9^{@t#?Of$!?Bv5U$(iadB(?2(+pvA@vkFcm`)ASYUAe_-H+LO)HMuUl z6C7fN>{_lrNX~u!g3sRE(})7o%$N6E+T5iehI}UyWal+8Cc>1g09){4zxx%nc3%3` zrh9`Hx6OY=v5pCB4^B;YgV=~c{F;rF%Ea$#qo?ssvJr23Gc;k~7L)+J?8 zyHohmi1x16?~Rb6*>3!ehQzBvKUraO^XX;zIz^N|Jq~e}-i=*}U41_j!d$L%a5%qM z^>ugLk||kQa=F|K##zdU&J3Khgx0oKB2m>u1izPo%hJ=~5F1P(eEG2irp+3vcCK8& z`glCvG}fHg9Y3;9AhYqg=Z2AwOIT-?kC|lx0@oCeKa9tAwEl%o5~F%>!HIr@T3*! zcUig3xo*BxjZ25G)Z3QTzTGH9-^N`$QjxGsA$e5HyP_drDp{Y#Kynb4pt66K#yFBq8iu z6vEQxb?+!E6IL5qi7o3Kl!d7=B)ldh8~z3@*nr+uu_9g>+fbe&Y3}U}svI2H!vF#=G1^U@dH#b+lNtXRnGoG^wb_ zjWkc~V#KBoDwBri=j6x7N{-e#K}l+2zqJ(GSV1Y|d*yl#tDbI34>3|>p^9$8zLE7V z>?AZEaQv(!u-oHb(@)Zt`;)}-&n4}DF^T6jCgHjk#jFgR3eQ-NXkT3DWSGv~J(=@p z4W5)VY@RG}7f7H&;-oOFCLN_28^5J4IrRbF3*=Bmre^)b3t=}7i$o=hi+6o)W_tgQ zaY8>>e;1>_xn`gX4=kLs+7?N50uB#9(H)Pv%o=L+$CzMaVk_>=LutZUE z+w%bZ2H2F0U-@uJF)^M0T`C7(qlv(Qu!T$D=C#r9xri>2K zwQm+OlJ$}WoRqS`0I|uS#K>rlmQUn(+p{L>KA75&1P2P@tQf%g@?c?}RnL2owz+t9 z&fnyN?p9-0l*a4#Ij#BefneA0po5z3Kw$qb(z~O z?r%=MFvo%GRmS6xL2-N!MJ6srvUb$~fdmtvD_-ieUMb6;M}}O1?XdAH<$^-oPaUOp z@_i7|2cdcq7`W>jQ8~Y?6~>X{q^0^`(~Yjo8=MGs5f3@@B&ggNsC&1Ar>M>A)F1+W zrkf?YJcTx|>B-jl3x*))!=fjpVc{XzOPeK&N9|2V^_XYJ~PH05!^(-@9RpV62nUtXAM`P`tdx9lr^{K3F%c^@BS<= zpfOfW=tOkE1sF7U>qz~Rk<;nRiP1g50a*nNk~(e1-xb2GiwpKp0Qr|?Xdo*vDYEH9Yjhw|}$Z|fTJc>%#Ks2{)r z)b~Gg(ZAe|lvWYNvyR<-g7I&R)=43!A&neM8bPTkINMsJet%b)R~GlXl=b4ufdu!& z!w!m;CZ(|0OW|Vu#%W|XgEir@ro82LaSKbDhl|G!_NC_KOlWYl;`0tXnYN}4#P?Y% z#$PqV-m*g8%|p0|_m21n9nE}tSB@W$1aEh{^d2|=$`Z+LwO0|6Q;v}m=`G6p2Yr)F z&4gal^p}qT-Pm4c+)rtFhU6(Cf~P$tEj}k*8AZeWRkl7BzDs8r$htU+4bO?qrhWm8 zWb!8V$HyIjg8aTO<>$zESq>W>+yd<)2-`f|?xKip!ehqg2jT99y-0?rSCI>c*My{O zN^1jOeW4jZ*`i+&b$O>R4#tNnMOIo;bstuLi9zORhvwFr2 zB0^hEEn33a%Ph{}Nvm8m5qJ zm?Gux))J__x_;$P{xp;!Bk(&$ob zQizlShb?1=><6 z97TM3Fq!$z7Tj0~4?l)~{c7(o@M`Pc&f|j3`0+CA_@z#l+X<{T=$s~+O=BNl*qpF6 zgqU}(_Zg~Z!H(&D+7!Y6K(N3A3Xftx-c!zjtyb)*={zfU(_3u;)5o*vbN8K@hG;bZ zG)USPo{fSck0~xohUJqa7Ae-cKbY;$IEbJKnEgeQu~gfWBqK5qILbwDcK{l__J1d) zp0<}%T#nFWru-wPmYL(gmHYNv6yi8-n#T$nH7qP}KKqhr4|dykdPU#_%;}t7cPn1v z+sg|h#liSzrP9C#h)G>F*LY&DXd(-FTa_<&CKr4*MEh6Bh`j?A)mZ0b2h)b(dQ+vg z;=U0>b#}hvc%NTz)=M?xCM1jc?0H6(b-ycUxvcBwE@Raf1Uv9HB{{!%t>AKE)Q0lM z4|ex#Z~Ms9Y|P?$$ceKzA=l19wYfMI`5sxGBs!?~q{L@8(#r)W795(w>$zT9L*>5j z>F8PSSFykv$AyuPA9w#&Eg8c=-abxl+vvptBMrDY0{3g_GpAEru%ZEX$A~p?y?()2 z=i%X~LQ&6*^2gHcaJv9@sny?_T#$#W1_|$LCU2jauKQoG*yF0$lOOGeEj{b>BBQNi z_OH*DA62jB;*d%f1)r9o__WQ0&}ferMN}9Ol~DbYp`PvzwyFX`^4cgd;@_gNr;2Kg z2p6qL%2NLaSA_fi2Uid#$k2(|p((nCvRF4C{gVtZPz2U!6-}L+W~}`yYvvRQ>*tjq z9TK)5^{Y9)wo#AH&t*Jo4NbWpQS0iPnsSXuuV^E14WiItVaKi9GG_`EeTR3(#^wi@ z_~M@&S!3Y*_)G9}O}1Ie29AmpMo~z&4Y52?eJAA>l;!7k*^|!qkp&cZp&>xEsy~`M ztjw7dy?;(uTG>JI^!YEys0=k(ybW=v5Hc|dLm3Bq?T&u@w*sabttr8uFc*fZ%`c{9 z_IUOWJ~)!=(wW8*#4qZo2A@w^i^f!ym4@4yIL?JdyK2Lx9?mHI#%Su22a;&b_wyZt+G){^ERiFZgXUyxAdq5*M z5a1*|#BxZ}pMw8sM^$gQ6ACLLK>~mwPq;*-eB zPhits-O7!{l(If8VZi0cIi3(tFnr+0v(b_D30F+2&}L+gG;&5%yo(M=Q$bEbRhB1< zj*WXJ>2E19VRb?rD*K@5hfwjcVIQA7k2?XzNtgY9cYX%RQJ=y2>d;7&Dyfk?^`}5mN|5ibvj8Dz zVM7RTfuUY_aMJ=LzYfX2;f+~7Zc%MXLTc50mxG7ds#x=iGFfFLC~pl#W_@QaqL#Fy zqN0M6|MJBP0tfMzpuBFHTkl+kDr_ zQJRa5?{Ti5FaOFG>|FO)G@S3Y1;Uv1gFfYKHJDNMfrB_$0x*K>uBqQce7*_bee=lj zMNZ!5VzFcBB#s3bMmxe#pwsNxWA{SeTFR8+rgG@c+23l#Ie&K*1|tsMwdR&_w%$?* zWbeKRw!C$rzjcKD;g_c$T}%AM>Bz{0FZ_Pl20g;>#d<*0rSWGr!ro77A?;0`p!F~k zQeFy3@`m!W=KDEnQCbBr=K@hQ@Mw&7YZUf_NhyJNI#riZ@b@J!CjT;F5xVGEnEFG- z;~x7a9P2kVvC!+E015>6&9=J7oAi&Ho-_w*?X&H8pW+NMRvP2e;}6=*tbf3+r8A>7 z{2D+aD2Qs-*nBww0}LmT*X2QhjH9D)qGDYJHS|n`qcWeeo=PccMylFz6WdX}ub`8!euPtB7yR#?p3o)tr7uA-Y0tCijsj^`Eo9b_biagfMSe`0yr7PG{67 zxm3R-rHml%js{U09m7jktcj~AsjyWBWm+r+^J3_#cbIEFH7uDN9KAv}bnF#A$f}sP zM~=YN@Y=6FlJfK^$x+bO>kZ$D_U=G~5<>QGhte-SuVbhT&4%mhfk307Ux;^SonRQ$ z`B44ZP8oHX1*=1yDUi0U?LGx?@3d*7c9%w)ZHVoN0Q_~oPM=XQ5w1lK#e{N^eI|00 zB^ccP>%+UKe(^iqEqnt?H9ERZ(Uj1IUq-psKy_joA-W}tKt$nf4jv)FCnf#%Hq&=c z?mUF1$l9g<8t_7pFO->3jbSq}ID)*s@<`E9#m4?MxEo|7>s*F_I-qFFN|hIu79lQ& z|2_W;BOkdsr$9s0r~5&XnTQQL;4KBHXuCT3HJ6(bWmNh|K1_(esm(!vqE=D{_1m2M z(#bBb2x1SuSgYeLq!X>im=;enot$U3gVZ$5t;;)DjM%TL3&2~CoyTfq^T6E>i+8cs zcflXZ-L`RIT1lRpOfV?ENSSbrPvrrQ2<0xPFo3KXj&OBzT*kP!eI5jKc!~ z098IcT_OQ+TVtv32~3FmiGq^Y-XAfa%n0EmREJ&YRF#6F3D#wlTOI3%1Fnav&4lN7zzkI;W zkUf0>*A+mQY!;v5%a73wXxQnPlM8`^*Hn`@_)dsW8!6u%hinzXh>Lb&q{mW*g392Y zQ=Cc%*S-NjX=eg6*2VBiiNAW}^5Zbu)bgjPlkIMkSnCCXlIU`@cu+FuP-1Uvw*_wC zTyBiDamjH6lNw5`i&<22QW2)NLgKEaGWwocEDu>(ri<{|=HO49MvPfwK(Nisj{sDT zfq{}i7D)zrQp?>8DifD6O`;-D8L_l4yN6*4BP~HZy@SBA#juw#F%A+U5w*0tadjt# zznv2lzR8DjhxevJ z!{A~aT*;^tn|@gp4G%V=V7I;qI+%>___(&8+{1>(=sX*O38cgbSSQfyGG)Ky6`3IF zSiG+YGBxof^Pv*?M1_hUW120ZW*SHsd^XcC_ZmDlFk{(Wv%TjKGPCNO9o}pHUoAjs zCHqn5mOdPq2i52M`aEM1rbCP zDuil8+{YlFtP%pjV|RT_KGeZ0mk)mYwNNc^TFF&k@c&>U=%LKa>oSf!zfE8CxWNL~ zU!KtxJ1SBsTp~ z-UWIDn%Fjb4wCy>!Tb`$3`nwKWPPi>BfC&S$I5~#IXwMiEUcH;PIC;@yoql8;Y2-$ z10_?y8KYm5fyd2)U|igVh65t*}{m9{H#mL((mpV zg2iT^R@X)=!hTs*O!pRhf+fn5ySw_8WkyHH1x-ZN_IZxYkI!$Ik0u`Q0T=GrBo-q!R!ml$pa%BxZlgNB)p{7aoz9X7Yy4X3(-tv_AbG^$ z6GZ#jj_tGE6;QHMZ7skrIRivoC)wn+aW0k0+?@MzH;u*6hI7(dOk}DS&@XA2cH0yS zFFE&nvrA9;@IG^?Iu_)Y-^wK6ZTc7MfH8x+SO?Cm6_h{)!n(SN=(JoW-i%hq@;n#1 zW9`*Y9a<{J18Hy2I{5{H;~AWyNe1 z231vLVTLczq*)Av+Gqm|l1b+*b#%m#T|V##JWV6P{B3#fHfA`pbcnbE1;^VYPQWDZ5udQSy z%x1^;_qu7&iOY=?#25zo6uFQIj*vfgA!&IzQ6ox!9ao6;z5p)nJD<_5tFxoIZ5};6|FSdvj~ zbae;fTv(Pj>B0mZ(2$0o||2%U9;2jb$dO=H)}NMqB_+FgzI+mE;hTXnh#H- zlONJ7OxJgp9PLi$qnEiVqde-+b>X_cl^4$Bk~+)_B4={19%yYj?K3D6daSRb9o{Q++^CFLpo7uS-E{;vdfh+jVEz%K zbI``2phE7R^m7UMJrDNrWgHVIz8$RYg@sRP@ zO5q=_KQ!o^Wx2@-M}(pxV>nUSbqI|jb|12AU>!o~E?WVDJE$=@&o8AW2kb^FCAoOudubJ5L>SAx(Dsw5K`; zuuIBOv)O~kS1#6M|A;B5t5Gm&mANM{w_z^3kfCROH}C%8d&>=DE*@=&J)Pb+Q`mQM z@~+NvD)Yp}%9A>3LTIm;_qh{Q(zDq~p#hD_e*`WLAaJ|775n-F5Ew=(POw-*W(rq{ zkYF(>+2Oqr00VqME9w8YZYh@}VOTm{&ybHsD}^m!%sMH2mqMjlhci+tv$ru#LXfX> zv(m1)I2qS!RZJku7rnk?JT2$3D9=|Smhk>}WA5Aor;1ZOwyJEBYEplQyb(BdntBeu zXqnz~e7G_B>tG@5g|E5;V9Cql$>7I}gr?;OL-Vw>2rq0daXM@kl7z#tgi8QGb1@oy z86QdRtHG*gq+;YYtX@Nx)1djr+;A9d@2snInkP`LW~h*w^h6{} z^n3frlMRRv14=f3WYhlCqUVn_S?LUm_7KDiBUyGy`a0O+vumv-%LUSu!2 zcCF8j(&S)cKCvsUb#Bb0-mV5%JYMan0&X_hEunqRiLg!E(*~c_WXC^*Mdv+}o!Eqe z6s_?PJ>z=T$8)rr0IhWF{w94iC?qtUB<};89e%1K6ug zBxE7#4Ef-+K)fOnFvQB9>DdnXd@G;^U8n80uVkmK|6&AX}>G z8ti}ILoEpZhW7ne64EW3L zrr-Vnn-3reTHwl!p;a>P#n6T*y-?}`{QHC=iK(Dm3Y+kaomm(clb=kdPEe48DBl0`C} zAka#W5maXLBZ6fk8f?UEHvjZhC%H1`ZxoTf5ZGhNMvUbxW9}lOR{1f}n6PMIUI@8x zG@yer$j3+WQ?!A9W!c|au@nmaE^;wHH2+`L7%gN3!2}w%7^!%8umoT6%e8U@3$fp- zb{Qn@!4&UqS1-W?_W0>z2I>nS4BHPfNQrfm^sTn0#fS&jI)=8Cn^=hmujdu;lv-Ze z-STsL%7=`PbaQbC=;#YE+uDo8e3363NI?{`pZMvDJ0s6OJ?>?s$egShNk8$jRf&)N z%k1w=59#d-mVA$E*DS1G*x;ch&pfZBgYtQ6}19I-5Ra}!?_VqkRGTw7XdvQo1+N{49n3U+>w zf-bg1f8nqX+lbR&b{upLrK$)7up)QDk6K3unRXtmwL0JI>x!d&M`$ztfsHwgf2HlCInUw5Vph!03!op=Ac$*pI7&jI{gNN0{i z?z!}ZX2-beg&#}>63v}h+ryw4@1j!wZh9eNXE)WvrqnzBkf({ZeI@DjNILDr7Xt-0eZQ93Z(sSCMz3z;9@cNf zxb;^OJY`Mx7dq$gc(^#OR$qBjSl?y-OKvu2@pN0_YQLQwq9nofbQxC9P@{{jXl*-4 zp~N*^%o&7lam>7(0g_MM3%ABk7kqr_XTCl~W?vw0kIbrYkd%F1oR2j&ch$bXKf9~y zaW;MW9HDO9SaNDd%%(o~O*1F*lDa(4#FSX3qAR{|?4RqAMnxOS^#kZu;08q%;pw}W zT$FM$c|%Mw$YEs`CWQ;;zA8G-&~}O7p$baY$;6UF3V3{ygc)9Bl)CXo%GI2EHi{b} zqtC#MRzSLD{vc}04lpP6k$xxD+m(}d<`qR7k)XO#ackcjH~oW3(--2szhL?Q*ET-> zjq-d#SbHCuO_kLkLJ%ufBKj?j+&j!2m6R?wM>!#qDOsFNK3+K`l21 z0w?ur1NTK?W5v!}yUEK4c&e&{8gv z!!(AUw=|M0+w=lUpF__L8U%4@@I>u55`e9`3 zqPEWanr(O6Tcl=w9q@#?N;KNaUniybYzZzyJ~|(t*#O8WhwnEPr(?+dH)yBuFGD9K zKfpz{oh!4TzJU(`Zg*?9NHc@&+Id2)u6vM$Us5p=lE-pl2po7-iTjN{ z|J#*zL$J+j_r^CVp1yxFC$HFQx5<@>6TJw0Zm7tQX8nu2u~<{rwHN8jptJ5$Al|$wtSwu@*hn|8>2)9UHnX<5U}K zuGtp#>Fkm3$2xTZRc8OMDEC*xi@xy+uM0x@;15FNVpJG113=2Rmzgx+1L0rBZI|)y z7v9JBsJ6{j$w21>{yAugqzW8Rk{!cMhxt2j`1Re|@=Rmz`Ai01f0!2$r)SsNxFA_d zZkgsY9NE^lV70H0AmSv0QLfWOm$n% z7caVA@X?@1tEy{_0ZlFFsB{+2T zNG(P~n#{xOZ)h$>0yP-i+IoXTSTl*aMyp1Q!vymsx+tqpgNBN{8y9w?W(gUxD+n^a z&UoUNb&^%Z^0($CNddgh0+Rwg0ac z1jm+Q0uLsOO!(R~^YGehRu{|RVtq!SKRGTH1tk&CZgjbL75#FdAjg1(&nVI?tBP*Pt% zA;rS0tlb;%hzs`ZF^_qW>MX&r@TQV=<2t0jj|sXIBBHkJ<>F!lBgTP7B7TH?XctiO zKx9B;aXmu3AZo*IlQe+YRw3y(7!s%Pck{f$rNRph=(etW^uFCd3V<*_@0qDa?FeA|Z2H_RylXixN z?rhXAA%R!MD4w5nFVpw31?f?7V&`yaLhh3Sn}hr3*a702y||%h>PoTP!X_AW>BDXk zDz2OIxWO$?67rP1Nvysgl+ssVdi+^!c~C|^Z)lwL_xz7j%cfOv(gm8RUcT?|4AG`~$o(X$M+NcTXMIiY#s}dlyG#cZ|?K zLLiHwhKW_;!Nld|TZ7c{<~4QnFixJQ42i|4OWC5h=eZFCW13j9HhtiUQKwMVP9$Pz z)4V6X4}&P1I|1?$p39I+F>AKhVqRq=&l$7TC;2~jsF4b7_DRJDBDhh^48RDa^`lEMaPevwjycSs@fin!&1jy{()BydA zM1=@3?yRMbxF&BqlWh7UZ-)gdkczpO{!8ktZUo7jfM&)#&_S*4FIjH0~fQ~7okD1*DiWK zMbfXE0o=71)P71q5g?wKy0o(qB>+`OpGIx~J=gb#Bft5S7c;2scjD~oqc!M|Pp5KE zsSo|xxqrgx0E-)~y8lBr=%=Po;_+q7mVM0LH0gZ_tsfc8e65?^O;<({Ii-2dXQ9P# z52S0i;G$^!s>nghaj{&w^OR1bn$j=fmP7t~56SJ{@RBr@$Cs;J{!i!q{Xa#$EK;th zf6?Pj(G$(Dwv(a2mNgYA9dU+g>^{AQn$nU05d8rpZ4)VN%i(PMk4f+M&0yUDg>C)# z>(CEi@o_R3GTt$xpFszZ6=-`?`Bup+G#$#MU?y+ zi3fAE|Jyf*lKQ<^|D zKiX$ynL4~;Mb$xc!S_iJCy6cvy0%@N;<@^T?uE-aZge}o(!~h%?k{2h+g#bI*2vrt zGbt{UT~`K4W-`w84?EFM$3-P!636%N1|}tlo|3LvynKOlYw-(BNV8nxu$P z$Cv-!C1L;CST{F%oRW>Z%S7ChH28L98PrPA+cO?m1jj56f;@x`v+YD7`o{feg(P-G zzxWOlUE6hp=*53jw`P!CCt|{uu$N1ss&IMae3r(>&B6OS85AA)cpQ#%(}D^&ETMOP zH7MMa^dI3XNvy41qsQ5|Hy{8GT)4OZaZ_HC1}X{Z`Vq?;DaWRq z_f9L&uGHxofb@<5`Zk2l!2l9GS2>u2pDKy5spp;!)Bq=ijYTmz2@_0R;c@;JMzF4K zN6AT13O}i%uk=2$Y_XG^+V@%a6}2u$!(v;>!(rT{NbphF0~E;S>bq}`r!!$rQfWJz ztndT^sl(~U<~d!bM$U+amTy4I!mgscX0*10h932|@`jHGrnU0vsZ^9lV&mbm;4*f! zWnNj{X>=fP?P#&zF4akHYIdxlKvEw-?KFdZr>9Bd=rrE$&c_2bj!KG~NP48*9y*}P z1j08G4gvfG9Bxb@9srOl)YbyWxYgUG7Hj~LFHAW%m%SRbwt`nLJQ0JiQC1XM)tpRb zpgMy12NM>hzI07f0W+M3XT(8hgH{oT+ga>NQ`1gk@e54=~dBGqoz0w9W z*x~3+SM_Y=k7)X+%;|jv!a~muFUUO1?xJDh{NJ+5*fPCM$d%*f@q!5e*b{?iUGyts zy#1apmM`?~f5-a@q}MLi3*znc2G|0VQ^qGpy}Q;?S?nDTM?kfdVVqot z7qxC9@;hizP*zQGR)4POlTqZTVcDZt&bNf|&~Jj8$Ms7CQfH=~2nV~{G3L(4|BAYr z`z;A)b{L2z5X1<2Hv5bkuu97a-tq*#D`dYD2o1aJ-8UP^&Ky^%1JdwzCLJb+=QnGrb>2}d+55rn;46kaw53!%oBI#; zX!6NwJ1cQGg&(Poo{fRc2{#$O(|7O4evGmey>|+ze(Mn5%!8E0h#dj82RR)ZwsV?M zbfN*mdOWQgkm{i4f(KMv_}VH@q^iHmQE73D@peyEEo#c!uVviwmQk<&aip0MEzQDG zXR`_RwKj0QA}Y3IMGRB^5DKO}xavGVdNtYMgFh}&e>0zR#}4fGYKuYKd@!)7thc)8 zgX2Rw<1l|bj9MHU>?1jN_ccH^Uy6sQqazdO%-&8D#q6>i=M}6(zIkO0fsC9c);&l$ z#*sS94O88d?(7AMOg1wwdNiKg z=FAO+@cAc-JU^NS<->NJ`M;@SBFCw2(|n&tq$Ss3o-^PyXK6T*rQ3HioI^zY+gWqC zuZ`@}0#(v|cEUT={LioD>lxqA|BXv3q2_e&F;C)C9a38T#KWWHMs#D%DAne-WC8ks z1>5$R&FgS3HX9~F2F7_+%){-d#63N8sf2Skc@a{b@~8ee^N58Px#+~bTuQ1MFoy#K z!7$01h~wt-U!2z9DpF1En(`VoxLS17D)K;;43xv&+3*xztp=oOW6BrF(X8~u6}Y9+ znS~|g;W=^oGm!As^MaFYmS8TQk%>{#LKLYom>|7tfFhx6z&>F5#g}6cW|%<(DkuPPP53cQ@rHJ4J>?#f z@JBJ55H%+|w+HVncvoqr<{@7iOFZDGmh(y9!U(?z=v=4itKwrM?8j}3YOT@Un91BhdoY0*Qhg_3{4_}7&fk2>7+IREHGYZ98=e~7l0o71RJ-KiZL z5Ps2b^#B;7l%I#I&hn$z^B#5B*x29jTxx~-TKS1Z!&Wb?t)8qa;A}+(sm&*btfkVN zmgsn_r)$Y_`M#(m+n?AU%dD*s8O^fP%zgs z(yji!-)7?!K87r2-R5!vpBM;>9v$a+lrnjsk%V}dfQAM&2JfPLGFbN5-D~q7z-2+_ zXS1d@oV#ejEHtV){>2Low{~H3hSTY3M38S4dauzCS6HRj*B_BvUyqtix0V>I1X{i} zYw{A0k!+Y=^CP(>DQ=4i(w$hw}|oIjQZbrf&P1UT3IA#;~{5;R!h%HG3Eg^fPb_I!*54)y;H5$!D=Zn zeN#8BE9xpfeZE54!VvOr;jltLG&t(bJw5*2ML-z7kZ=US$BhP*7{WH0+O?MMXfY#Y zHVHh`keINm2LtmO0Rerm|%JXYVv<#JdeiDWsQaoD?06- z+o39)8EWcC4Pk{hH!`ntEx#!4&Zbh0E;qrF0__EHpLM$MwqD+U!aPZOgt6&TF&a`} z+~BDE7vmTsD?UU;4<8tA*``L8%jKRI5)k=$f}#b=8(J&!&F`mW5%{>CHDUBx^wd;^M-cE2_9ok9|Q@%-hcLdxv9+uGm)-Qb+)~P#!R!HHayO9qR;{KmnI^ciNiV2pS;JO_Mc<=}) zN$<4_oL&WZ+NKPgpy%8EW1~5-HMz2GSF{bS9FpSb6$u+0xvId=XX`_c|K8cLr+(NK zH{Bj1JsqrDVzsN5y-ovUp^;>suYakp-Do*ivn$~%Rss0h*Smpnu|B9OkC_tfD0?iV zRETz*(s7Rj=?zLIDMdB6iY;HfPTKlwiT|cEz%1wMRGr1PqJC12!~?(dR!^TD+6b;p z(6FHZpN^<2McuI{0D|dTig;r_r>jP#b#^Vf&ZhB*Sms1Vt}z7_&ROWmSw`rL6$>Z< zW{9&xMdh>doi+V{W;o@ku1Q!}gZlZ&Ex0Ow!GmfLzzSBIXvu#uO zOTM6v5Xm1j1wB0GjYF-#2rOcPB`}XM@Yfr=+=@xpwILqpaO<1=;dd2ZLc#e7SlB4I zL<7UYZ!6c;*?SnLiY^~io&IsLh`qvy$!T+lKY``}pmIQ@$C4o*>*1)VH1&)4XVQ{~ z%PGF*ES#5<(kuWibil9gHB%nU_h5FIzIy^cz>-GEYVonR_5q08S{vnw;}Eorv+&r1 z?WoJa?ynr+L2@wbc<@M}TC=ZC{3i2xj$yN9L0Q8{eVli-dU*ahmYP$QEh`B%GS-G& z6;jeKzsy*g6e2AqNWS@>0BgGYZQn&55YJPA!B#ryRmGGp_0_Bmd%c=bS(AyKNMRL0h4g`&4^BnGwjBzLf6{D+)(B4^&9olteJKXzhF!>o zo>D(hM=#8M_wi4*cXkgK;!u}PGqW@RgEU;MRwSTW93m`b`BLHF-^119$helo;cdg7 z>`{UPH^r6hX1zM&j|cN!cCz$BM{azpX9-vZHjv@Uh}Fp4PAU)@Uf?h78hBZJ1(|jC zB!5`Ut_2_op%v0Nfp5*()W9B^a-z{qLt#Fg*Ai*G{>bpV3vmmD+3l^Q?`sRY$w=BS zd}l(;g42?&wZ@SMolq>Bx|YNhu^{vWgh~rnejlEyt&LH{3MDsYfZ5K|!$TBWayI6^ zFc!c%m*wOjJHc=~U~^vK=@iZun4zjZH!p5$$e%CG5*O=DcZ|s?Ymv{vAHa|KrZ=e!?h6N}9$X+TG=N%` zIf~c}A8MbQ$mIWKZ=2n9E$_pHP+)zUu72MGY-RA>(??L-*)*?N?;f#EFA_Pex=6%Q zXag2mzj6$^R@uz|Pg1|w^`DXyKX?L#o-fEM<+JizGk!7C5F5WG!_EC_lf)TZ4})K% z)3tSWUATXj@?+;UR6&A%rhjN{w5!lTB87RYW3k}~h$Wj*;%h~)k_ss97I-RzIF|9! ztSk+b4d9<;$ad;Lxnjg>zFmQ|;4CcyBrwvhJ1rEn*`cb-0d_+IDkJC?gouB))|%m}QI^0vbh0uzbOUS& zjMK5JD@y~#B#<<1qP&!anbJ~G())$u!H6y@MUM6X9MyJBjS{?MXpD?xDK%yH4cS0R zB)Cb4EHWXD;|j3kqb+>N#dwHqlUbzUR9U&h`G{q?{d#6!-k9NK|L4KSp^X3l0m%+W)ZkJ8{#A) z13_?k?FS0BcP~IO1jaVrzRe-Sh<%8VNxJ{JhKF)p7*8Y) zuMBg?#|MlAKw~vbA;I)AQ_zf#L;Y0)b89OgA;iaL*U$CXG0>8G>s^Dwv$4Jy~wb;NfoAcua~d-U+#hiQ)WPsB$V@TE5y2#5|`MMGPOIesMrJ0)h>$~ z*@eYEpv2jO?T>u(-L3^QsYJXOE$i|Vi7G76j>m(tK|(kcjzaGqYi2z|IosZD5a$Q} z)ZtF@^%706Jz#N`gFczV*jrcDXK;A^{7IsWFvP%Y;W@@6w6$)Ok^-Jv#?Y+eo5wD^ z{zI(dOXx7yhk$R;BDGzfzDnbo3yWi2iy!r!mjm)1B;-Fro6=&u&wkU7m9iJplh-^m zGx3eCcm3nF^RZ(y%x)zkKV$dnd^ZHf8ZBo*h3m^x7akb-zkWu@eR0)V^36_a zcRj98YOgmHj8;u3-?VKdXx^0TU)xPpb2;6@{EUjO8!Je}M<;=fR<18lA!94ff}>g& z4Impsspx~mKj^?*Z*i1sA>2JlV?I+*-lQwO`=~7Q!11ztQVh(O>@0*YIfH>;NQDbN zS=84Q!&@J(3>uJ@vKzYv^ViATN8JaUXPYw^K2_n=mtx%b9K0k{QlnHgzMt$uP~bRq z#wmQlM)=X9D^JWrZ%)UjT2NgV{>1F?%F!epNdPddQ*Mh~8eN=wQ9&tG zBm@?OL1z&$$FuTWBWtcY{UIxCTs1~?1OuM|sgv?90Oq5VPT!p4{0Cb9|5hLvZgwzl z%~Bxx_iE+yFsKI1nKUQ zZUJefJEiN=-Q6ImNO!k%3rK@BNSAc@9-i;|y=%GrL+)MP%V*Ba-h1}U@fhI?qG&c5 zf_k?2XXM-J$_s3dlg^jsK>LUtG~z|GlrcAZ=~Ty|5q?kM{Tr;n$$X67b@%Tm#~ng4 z+i~5+saLPmp;v3Fkos|(YT4-&UJ)H@Na=JXSPTXkS+3hqUa=*Bh8LM~TS*p)l^2;e z9xIc5!70tV$xN@2NvFfTqP}c3<0dX6r^0%`aL??^v;kuiczyaVM$Pwgs+#ph@Xh=Nao5BWsaM4P-dk)lQgwl<5_ZH)0_S-WgGgbf`4Ttk%y#Wgn_TXbIT2ck3%>cGg1^0TYuKl_q$w~6kkaNySBWF#+!tCLrn0NAa#&txO!@Z6 z=aTN+#ZItg)k$0_wL@(G(4&&G&`tNyN2W_AJO(#YJd80CR;8w%>)*jE7OeRg`%2%( z+hb`KCNwMXSz-kD+74I}K(MX`GMvV1XToT*Qp^@jN=^<2_6d<%{NTY3tIMU59x16& z-R0q!xV?#%5NhkKm1r(9CQUVQ>31T@CELCCDN(Xu|3%rigpYwkj_87A*4n8#5vq8L zdU}(LZ@>_AWC+CJnaQ_i534Fi4dLgS!TY}ETWx(Zx+O~*HYBRG99|nqv&qKB^Fk%T z+vNz(@NQUzz01A>+Q<3Wj$d$mZctoT0{n9eV< z{jIE=TY9^e~U#tlXOwn$I3H+>m2~Q!0wH{(bcy zBTN$`6r2M+9mGu+hliR8*rXZRdYL)H%NC5wdFP@YbW4LNDCDbv4}i5-{w zbqJSuag&E)Vs=v6J+^6TnJ&8%@5Un6!`VeSW4?XS&++&#uoy}HkrhIb3W!J!)fRdB zF#0_txB7G_N?lT_iC(e3N!C%y&0D!f<#zdgaOaLUzOKvtZ+^an1eqsQE4JCzM}_sq zeucF4gg&$6A;Iy%Bhw)#-=wxn;(AZy>BRGRk0i_qtu zA5`DI(K($CR1oc-4PAsBm6vWU`h+#ub!u=0NxBX^zO#7!L>7l{#s)UVhMoPh|ED@l z>PAOLXL;i;5tk&>nEZLG@8gjnY?x+tsC9zu0F0 z^y;X8D`J_ev9qQ{t!2bfQ{9vEOvQfPy-!*0XPeCP>um4_P52gIA-m7><*PFigw8gX zKOhDNcS4kf{@gA|5GE=38$_#KBBW{XqKZ?@8qEvn#4#Djx@z%Go(PYvX)un6T|g)I z6k)Pdr9JQ-|9mEs|Hy#@G5PY?$EU+r z!3TO&EdD6C7?%Y?MFDWQ+jjBamS9mHPsYX=$z5wdL$+y7zmz@xOqpP%#VN=4?KI~v z1_k#{n}JMjfZ6l=$&ol7oZ2x@CJdD})Jme3dM7!veCc62H(kmbE#~~jwk(i3Gu1@9 z?@7IH<&B^*nKCAE1+OJ($V1+ z4i#q=WE%20mW*N@yJyI_)AK{-E67Ph6YG6;44$3OlWr+0{bt2sq{400tU?Vzj8Ka+ z>#O4&*%}GV7C-NG`jC=gm*$Vn!Z;C)^!GygOW99Fe70QId^H4U5c_*ms94^{pT}++ zY%)_@ZbsY$?1bpq_EzxX6cZ#;xq}iV0_%u>Tw1J7jtkugROQ1KgJlvuje?7UFhO8g zROt=B{-(0=JT4fz=;d;o$G{m2rDkY6y>11MF03q{wHzoLw#t3=Xuf9j^07?^_&lmT z(o&7#e-^ZAq|d(Ik{$dS>MxVmz^bkAWB>fj@SJ6Q_j*`E!NYCMNEzJk()DICSPMUJ zGxBXDV<}X#ojlq1ATL!c?!eKT$P@`n#5Ibx<>P^UviEi@8d-=}Q%bH2@0eK3?mP6C z9`407xo9BozKMA6$Zq%6*VBB#td6)!SSrYSyu`*b$mNfz!o&aE`jxcqJ6~>eTogK$ ztSPsh0>5$qV%k{o+SU}8Hco|R2$R}HGC@3zwSZT8G6_V~g9cen?Ks2i3UV8+)^B*3 zD6O{tU$Tq}`d_4C5Pe`!=;n=0orSnT*U?YU&BxIw&}cJ*KM=12N#71uaC6|?qSH5; zH&|wDXW$#cwP=89Tyl(p@f9OPj?41-DyBmjV~+b`^{y@>Gf~k@<_%PYCTi{%0S4RU zJxr3XZCwU}L~%=ptrow_tJ|0BD(u?*I<0@Y;h7b&Gcrq2k{$%gg^{y<86B&~D{X7- z4Nv+*&v}|#wVhi$d0|kN_P8u52-PPog>A-mp*RdJ@QKB)Wpbm}n!_q6SRvrkY(qLa zsF|W!;1rjW3u~k4BYhc##8rzY6=V(N zonZ92VTRm=;o+hWe6*K-jhye|yCxcVa_q*DO1Rmg73(d1Kl-!1>YO55J687)3*~zu zjs@2#;QZDhHKnehHuEdCq$(@EXT^&L8NI`%6`f4QJEc7GG6?2s^&qPw+^>R z)Fo+7ww+yZWijGf-52YoBts4JUiBl*a%pO5RT?Su)!3-WO%D@P%|g{W9kgVZ)NG zpO8&dYVRZlaqTqi21KT;rNpLi!<)3zzhsW(-_wone5?POaxh^(w;fy9T9!4vtBD^= z8YGi=dl)gZph$OOAe8p+_8}`<)m2t(ilG^g@)>{BO}IM!#+4sbZPFvDVTze=nB z^%lYo(WCCMAikgZ^gv%yf?8L5wYYLW6b91t#(v_HIL)T)dY$ovO8DM>SsL`&b%v9# zDAH8D6NKkxENfWM?A7Cz3!!7`_q;1`T=iRbbd3uiN8xqy%7JCZ?F9X8?r!ze!t!9* zS2J)vX8)4boBZ`?sDI5*aUrL8WsS;eQ5h%#(F7$DsW-U6Nv61$^k9xkSUOR&u>E`eZ0aLb5GTMG2|Wkw_R zx1{fNG~sqS`Aks!)C5BUwtLf_TcDGA#Nw96e*vR{UJaY+90LjO)3K}AlvOoBG{jRH z+E2tQG1qo&eCg|@jsQ!N4hyZWF})pYZd?bTgcu-Ga`42H?g`J_czzz#mLc)Z%~XInb@6!;THE$ zbRiL>k7RxrZT=ik7&K&tF542>0z>IJUjR;bB(WlBw*}P&b+9!(@#yr-W>Ttq3+yn- z7*EmIeluV-`t9r6gDcV}7I23aC*9ISx~1S?mM%zi*c=6g+~>-wSk)PdvbQq`Eb#cI z-<%J(A#NXL6VnJLbDU91PSniLP61B1M2ww2INF=w4{3KRjjpRWE)qPFED1V7Ms{3^ zzP)YcBQfVR4-&_{QE*)V!Lq_%9G`nEB33*~`eFXK?wjh;%1B0Pkod7K0Ei8^19dg+ zi6z5wE2>;UGt720%Xa_0!FH`TB$nj`mM9D=t4;luYoGlA$K6jW$Rb_F$hjn5DnUu* zgloc1j3${?Siki&d@am%Bhau_adNZ^KAA0_mbjHOV{V2(g#HcGgEjGj%QH-FdU}~v z*X{b>=7^ZcO$E+xXVX(^2i{)2YF%%a1jE|#!NEAxV*b{Eu@r!^&w{ryY8VHU^@q2(XweIVz>S1Hz~HGh1$q zH$hWac!cbDm6M|z_Ym5g8c<6$Q*Nj~ubSEWbLkP$kbbwl z4H5#&K!?$n+kA3<{jwz7pN5l?GF3%+WYC@`X5EMKAq)3NoqNpf<-p`` z6$buSUZ|a(i#brscP>Ua&NUgWfSA4F9j@|Pv8bUcw<||z90azRrWeX3$8_`M@wopx z5xy}xj1nv488A~|@yrzai&yods+6=MSKR=8EFOMg!mhqWyoatbgk;B#w0y1erEH*f z=f-_8^^pzZre5l4HADTOdrCskZOazy+Ct==W3-w0V0|d_s`i+gl#Y(}?EU#fcu{Zp zU%W&+9<+k)D^Gr!rSf*)dlJDi8Qx2GS_)o~Snu7*xrrSIuodQ!EvPC%JU_^3)0n}| ze&@49QtF;hV}%b`OjWeq`+LcY$jeL4d7;I5;wMbPBspK{*UQ`c<3Ir`0(v`&NSLG~ z+#d*U^NP;LCKczOJN+&(t4cpbQENZYwzkW<1eQmu@eCE`3 zKjFD=$zTyC*NYMy=kmv?#na``e!Ru3--EW*jEkyOr9!{p$@gEPCqS~J;f+aVY=lzd zENTH_w#kHL!?MYGl%&&z$3ou^*uZHM>U3ha&|UI41v+^NhIc%p@??UAMkDNr|c?J&iEz_h7T2 zlj9sckJg9tVXa4H8fpQRB?%D$I2@>VL+;ZzrJpf8z;jeuP?R?R_BGzLY3k?9DDLtN zmKn!c6gMMViKrs9{kk{=hR`nCRDu>iaf(XV3?YMVw8j?I9; zT&wVg;=fR;m3Twu0y&~YpeqUDSeR~Qd^Up;HK@xjR=9SaY|~>yf^o-t#la~|NGRL5 zcze~r*y1h0ZeAGRF!2SuKDVO*{RLtIf{#0HXIt?-c7ZHxaZE*%=?BG+pezLs>%C5j z3y@JCujURJY^*Gf!PUH%6*ts#b#(68PLSKDwXO&I?TI#^GmciwTXh~ir(+T($s0nR z8=CfSyr>f~aB;W5F%iXbl6z9J%3=?Lx;3f`!Ai4VeoP}u6Zwq*GNt+o)S4NC&Xjw>+Aylq|@be9=^1d zTZe}Q$M667ERLS#+o3NqEb;UB*{S1t!zba7oJK-6PJ=ZdF~Yh=uKt0I+M}d8J19xe zu^7-9EB_=QO11y_W<{s{^DUnYaaKf=wyf)dY#pYZ4v}RJ9}A0Dcp^5%1D^Ne&58HU zYs-^k;$*;%4|a!1y&XL}AYZHf*{9r|UGGT>DB^L+WkB}gNvS6t$*}+!Wk6EU<2kgf z`S{e~EJkN0Xj&_=T=RKv?l7>CU7DiAer(cgJlL^khYE|=Ae|>;QYowaNqB*iNPy`z zOP~u9=vffJq-bEDjo=vgnNZs})!j`;2T~}7LC;2PS2ppUw-Hy(m75WJXO}bo9tP(+ zJp=zp7u5Grpn6mk-4AXF2Fuo?VZDJRY1;2nVg+9w)6D(S&`WOgLXY zcBG$nH8Dp+KzPBI$hA8yqc3$UWBn9x>I_F;b4*7=&~G_Li1~2-HHjW3bEHu!%ad z*$&@9vQ8f7(oL3X6IPB$x}M>0_yFRew;an3hb8=QXJr(ncApDDn!xzCwt+)t{C%c7 z+?_n&PfL0$LeQiaH=xLSm}FmT>!KW9F-YzYT*$wG@xFc{VkqKcKCnTUsX{0h0Sp%brf-Tji-V&eRwMxC0D6g>^PR7SU(3f-|H^-qsBfxl3;R)z$J{YaEhpX=M1f>fiAD~`(X;*A zuu1OLi84BxfH~QlJ00!rux4hPw(mUU$7gnIcv7S6kqHa+^v=I+w-#i8yS9{Ziq z5O|{^So(WLQGKDfHeNhT=I-*ZQlVH(OE-{Y#NwBSE5O44-xH1MelLJtgU{*Ld8eCA z#=Eza9RKL($S#>RUPvYc^a}6V!7!{UEfPc^riBw}!}!q{2@& z$!-!$b$uK646*hNIS4#l?%rj?lFi|tb{k!zYMS>;8%&E&??{3v8}O(A1AGH2HcQp( ztl_?b8u2M*^P$)n!cuvT0#cgA3IZwYI2tRra7>nrIB`<8Pq}Hm zLH&aKzkhL+|arKN$i^@vN4{%;?Nd->AhP-0~j~qF;OSB8D!+ z5x^|`awC-b=g&Rr7wXJ@6(32RFIIeWSrKzj)lN-J;p`BRQLSl74a!D^{9mCi+`Dl7Z(*9er<~sWmDb-mgmQ@#b zC3t;yXO+~e#{8VKKGWmzc^~4nV&8dlqM7-Dcm_~9a+XZu56@pb>po+kRTu9b8y)&? zDe~Wp<@Wo4dp=!;ePbX)F>xl=@`;)()O23jo6szzcX_Kby7uks|4M%InwUrvUiiZI zG7kSOvB&U%B|FZ%X?|isV;L^~?dQm*FBu%p6f{#&ZzFbr`5EN9%mq^ggJ$J!%kzas zoRdt!q_8wr0&uCyLjCuIc#IpCbR{D%4$N0+?|=Ue7&kR`)I`wN`C)&p2aSq^r}Y7_ zWGp+LB-5tpqa$Lce*q`RZ;13>qzKOlWXMB~QXviLw1lBzp*~*m7Y&VVZ!P`9biNpZ zZ`~;yOtt+Y4B0ZiqR5!6(ypb+<@dvJm1v8d+j1khu#A0%a#RXZGW|vsNbOp_0iq-V1HGfKf9{>vcGQNNw%HBw$Q}r;_;+W_x!ofOx%|DE`MG8K?wt@O zbC!yf_Z|`uxBR>P4C<(&@q8bSJb#N2pETC6agrV<{a9}m*q?HPgF{in@oZ`j1Vj^^ zk&~YRyKOi)TG7{-1jrEB3ECgIMNsQ{x|^G|^+kPB9XhY{fz6oaS}}D|OOIT$(;@h} zpq6P%quE&!8qHrE>yImDhfkchnD-!tM+cD+XEK=t1tkHph@P@eY7o^4%$ryL%L4z2 zra!USqqQ}Aqcj{Y;=e>ii=Ju|{*uwHD!&|W4yUwE>Aeb(Y~Xz}N>SphKI}$}!@)|* z{B?APZpl^}3kC^J=S)U@U7hsxS%J%eDY+hwznDNDFKlC1V?b_Lk(Q!t_};QJYHv6y zT!6MSURS$0?a&!{Rp;>NV4y@WYy#nNHw{YBLPZeR%+UOEDrEGKa>THYQ6byrkifT4 zHLtWT3o**Q+IPn|1_HwD(PIV!NNr2^_gp>*xo*<*WZE2Qfu^+YHt%3%xGy%IX)jqK zA!q9EpC2@*tS;Bu!icj)0QgLzYB`0N z>lSl?g6=z`pCpI@Z6sL~G;6t?Z+6*xpxx%;t4*V`9;FIZ5H04^4$x6F)HkW*hR3#K|Oj4#l0N;^M8W>18T}9Ls*J2X7NFzmI;44 zt&AIR3Ff@fKJDt!Zb=O=$^pbxp^le6%7g?%%JQ1IL)mWk{7T*8?qIA0;<^hqK-?qf z-}Vu`2;Pl*P=YMMdK$a51D9hOqwyMp@VPs>^km@t4iBFLa*nISEYx6QfVI!Y!V(JP za|H4>6gkaJ7PLTr3KVM#L4ri4^OAo)NgDiHx0+LELi(&-3qJJ5ANo@K>57v8E{w^r zVgOeH71nO4Em$BdBfs75RR)-94A9w~F1O=eYB{bl22z;MYX$sFL|N>%9U)f3KxfEL zzyzppeVFv&j}zu`EOt2d)#z2{Lwr2q)7D4`noyeop{Yo_EoGcNc?mE7I{oXA24vw$pvCt>&bV1C55-*E&->>FIb?^=j{Btqp86 zV@Ef;!-p08yf){}@juTmXT6A8auLWzv^R5e>S~_`M|0aT1QU+Z9-(c)A#@3JX8=6gM(x+`9%R(l3bDpcqq4YSrCNe4cZ1> z$Rawn1j#RD+MX>uolucUC`VOQAPpzr+gZqHFgxT3tN5r?(K%gT@$y_8^M{bwSsDSV zQaL#}b+7eYD)lYnE8IdnL}zUk%S2cJ+Z(CpTAwP~6`{sUq9P#=^Z1=dYpg!vQz@D zFF1=?6y&DLAvX4uqJYOtYl8p3CGycQwW6d)@}rNanKBBcWVXh2UnMn*{j>wj=vaaaKf7f^iBme zAv9Tu_|;XF0j(7sKuenby*Bys%6j*or{}fyHpo}2@_L!s%CWqRb!}!9$GSci%L3sI zlF-##@0jbEK^PrHw=tOpMTuQgMK?#DZOgIcqPUSxGJ_;dEFl$ONK`kQTIi`<4 zdQ6d^Sto1Lw^SFFhTfm(gPg9pXHHFhi8<@$;w6uRi-U6}k5iS)kJ!C3=2B~1P-1zy zEvwF%NOL|c7pXVYGKT?p8dy~Vv_oW27ndz2XV#Bs`^|R-9+8J&vTdz5K1)zbi*MJJ z`s|7-r%g?r8oMguW3oinM8vIYPlT5qeQuRb02H61d8bf^ zzf5Dw=jzUcH*QC>LtzFb`O`oia@u;zpOUdv%67PES$sGoBPJ%@Za3s1@W}IclXu&P zn1~Uf$te1f@AZ2sI=KEi&NIpHgOpZp&ioW6*%%nUm}ZG$>fyniqzeaWb62^$d7;dx zC7sKXfB1bgUC>;cd3ZTcN6(mZE%JKuNThqwzlU7R&K+|Na`>b=dq_gCKGDQm=Q~R^ zE-)h_@}cZMJBFuq3YABiX&naxL%?9;@kSp7r_15Y7y2TthYBK=1J^>q(~BMw!yA!tSqkyP}GcIY>LF zh<^-k5uVeCL@ua*TN^3a^AJyJsIASEMa(wb+|2y`S(PHX^b_y=hAb@$BTcQccUGlb zp@p~Yezmznzr9v5O@Y$h3lZV==vM>?3FWHg5Sc{qWSfQby%0Y3=`ht_wFLIjkka8E zKe60vyepkulqoB9oIX9rSYk;VRFLVKuC!0fyu5v#cM=R-qr`VcPBwX zNf_uT`xK)b2D#iZ*|*xh-s|7Zb*y*@ZZ8wIj>;qV3_rofRccG-4)*ryxk00}SR!NL zRA?aQo}aS=Pie&Rq1kbwCsN?Mdg3^O>V>#1_MSXd?dCq@<;KJTpq^)8%BTVgoi?8mgG87CTC ztIJARWb5a*G}Q1KH8d~#N@;;Rz!`{5P#&}qnJ4=FX0pk>;SSIN-L@$|9TsHs_KA>t z7`#Vo2NTn=sZePgFhxow{~7v7h{?5! z=AJ1f6`Gt7SQOb$hk%P|y)vBAoAKgf8XUw2Hl{5TOmVCrb9XrM1U5-lMZ!n4->SH9 z*b>_sBVNJl85vlCKWy3J4bkVs(z6rA^xp{qMPln&NzlW1P=AgkXRtk*3v_C%=VE&v z&YQHzOdBsNTSAuBEkVCeRhs(7VJU!mWUO@uwcZj#A~@(({WnB)&iTC^v_tESYY*(I z>fh_9m}Dm4_~Qp9aD^oRW!)6inY5yl)-PmaEA2M#FE+HiF>CDiVJ?21ZNHAoCP;RH zGBa#0^Rk2X3F~gBgvOlZx5F@Rs;Z?&25|4)s?26+S2cWtn3MyrJA$< zE#>X^@9Y%>3dof00?jey3!);D7)H9*I2AtRii+G5##7S?`XZY0Wp#0FktlKv%ZtKe z4ROKrQ)1Dy@(Ozq!G7r6WkT{m$6n!~jk78l4obvG!oj^gdDMYw_j`uv67{g{E9#wX zSu9_xi38+HsM?flA^;lnT`iAXr>9QUNZr>rnA?CQ0I2lZIY~<12Dcs4d*?rY9h%B% zev`IGmnVT89WZkO{j1|s!0tv>8c$UvA?HaQpye2%q(q*ck^0U&I%s*#5-Qof7|C?7 z|MOnsPV}&Q?R_5hTF6BHDk?d_3Kti;`#R6Q7iep!)l*#IREsqS zyp%s2Ehq0N2;^L5-n&LexK-QlLxd8G+PzKr71OBy3hJb1{>Zg&MW~_)kf*s_{T3QZ zPk)ST7t`4B#R_=lFi6u#|1-_KdZB@aml&mOULLy#W<~r*<)1iSL-!B=pa|DSlb);6 z4emGG)|#(e7bI>xdkiRKBFPeu^M-2L+cc*y6Kvc6V4*4aiG}A&o4+caCj@k_l>O18 z$eOZ3$=-O|9P+O&4J0#C%u@Zv30XBAuw`4n_S*mBoUr>|KZcxUMF=O9iX5T6XNr6x zAc0}@brB7Rg(A_LEZs$ed93;q;<|4M

5#tGQCO43k0uViCGDq;DuvV_Zto<4_1 zaNt_hK%G6s=-myE^sh8khZiegRNE^I%SelWRA;B@L-WTeMrfQC3nM8a+Hyxo)6K=n z#FvG4d+$P1ho5*t!;qWs?jjmSgqzkcJ+l(c!z_cmvx}K(KRy?0(kE&c>TIc`4!8;b zewPg!gp~~|Pq*ysawG=s1mnUnp;lvTm}(Y(7ws-5b|BPhcllrfT`z(MwWQH7EIL8R zy-9ia&5|vOfe3#bUs)oC2_C#}vn7V=z`8db5aI-2864(^86vPh3GA8`C8e$VxkU{W zu`@6{`m`{4gN@3GLn?nc4S+Z8prj6u<_#MyKZ9*n!p3y;FL?2qMG~cgp!Jzdf?32r zBUCl6FJ>VbzW<2NXgSOt?t*TYHTwiK=9GDz^%lc45V?Urj-6+z$At0KG&E>tT|A;| zo$Fc=`Uyb-OD9Boe}pmhh}G&IjB zaC0E(iC&Tk=tWmr;d2a>h7(eE@&{}|5_G6R-wch>finXr>y@1+DQ4Hdejzdwlaq&y zTW-^;m(a!X5ZnRBNzC*vKCpkN&adK{7H60@B_&}gI1J^{_gHTTI$IcH!P$RF9*pC) z!<29P(Cj1AP;VXiULFiaWffS_wgL)ZauS|$E{yFzZnGM9PwymDbNDhzR&fBK{w4uEgzy& zlbRQO`+U=$0R52eBd`wYGgf+@~~0n$GWR(~2_G1$sZ3oViI$B3Y4TXt+2` zb&!#hnkffsyev18v82%geGt2)XXLnaBy+aMP;>-Fq%kq>ad`9O(Ef6><$a#Hh-Lk{d7aWuKxjArO7lQgCFtsUZ7HsbpNs+WwF{&?AGioauFvFqVDvIm@=}>g>GY!u_HZl%_9gO+ zo6F_1Py@SRvtH>*71HBWL>4`{{lm5g1^7c-&cC~DSMjU+OiHLGrQy9shC89U2Pje> zmXYNr`O}JtXDmkcovMS06AC-{ruk8m(bs_4^89cdI#9L5IilRCs&(rWBjDg7#4{SG z8fRMn7U4-!ZnHhBZYGiXkN$J%*O*g>m67`tU#j&QyEa7TT-&+}Ad~f`3L+vbs9(DM zti4*kxj3u6dl8P~Y*&TBR>^<6IemIez^kQet(Z7IqEe;*ZQle90a~hyI^F|`lnHTl z8Ty{4r}HNAi_AJ`!H0fwR>k595ZL*G6*$Le?401d9VU^@9VwZWvbbN;?oyw;RH@%T z@`{D{E^i_FJ_I4l`vG{>r14G7zhB1!8WCP6X~a&r@c9G=RRi6BY8{AJ(^tYaZKSG+V8F}-wVqS=>ZRuae&J=Il%!
318UKZXo&43sN?gLz#n)PdW zMFk}o)0k}J_9h=-VWFL}HZzvxQk8RM2C5V;&SnBlgwiXGa-!T<$;N;kRUf}Y*V;3M zg?TLig7d`O2uaTITSE*W8>w-`QE15xjleb=rnC6P(jG8#IzgUL=9JlX-O_gT({y18 z*VVtGaRC@aOU`d^SJ;ysf^n=U-Y?H`9z9_{=3M#_%a_{R0kpOfpF0XX$8wE2R!7HX z?yka3UFT#f6}s>AjoYnZE9&=w0jI91@rz#Zc0w+JN^*~wnapd4T;a)Ymnr3A!$h^r ziAPOicrab6`LPuLYv0$3|4)x?n)vHJOk>(!svbm7{|^lb7&~{wdZkjvPiVMjSDj+;Ahq*ecBd5?5vn|#HoVxp8Y zGwVnAc|Bd@7}-B;m3p?qzo^WO%pwz zbFYLGAE2PGtA0iLDIJX}V`>UW(jWgE6%=;m;#-j4mHO!INre^|yaiz7TQObT)4P~= zaCoOcjD;{@Vbo=mFvtOJt2)mKu@J&S7Z%Pm+btY@eRhU46xJ>73?g`o@wNK=>NxM( z3h8dP5j&B`{lSiDzvRrei&GxY!n3kk)u;8(y^N#QVFr%o*dgl>dt^enceLb?8~g4{ zN=DNhORRXaGkJgd2j>p6u7?PwIQ?oC;B+1xRHj4;bkm0fojOc1!-=m~)Lx8=iQLMC z`NoCip7M*CR3(k2TLS5oovOC@+ZWFat7h*Gm+PA(Xf2cOEWt0Nv zn@M#^dt_j8AKRvpvlKTVzS!y`yu#cpk@LQVgW%A9Ml{DG_@Z+*}k z9tM=PqmoLCmbdp_1tlRPv6XC_zyM8M(cuGe5XUBcdUij!M2x$5>?ssC(~6ngJ@Mo` zADPaZ8wt?P%;DemLPk~@a<46EiUT&FA?nfB9L)W>yP#XkNzDxw#G3lnTM4rIU3f7` z!@_Xry|v++`_<=X&_)tA{Eu`jI>LNvf&CFODgI^IkIVL7k6i*cM?cnCOyc|BN{N+V z=HUP1D8yi;C?xsj!nkxlf)Yk!FIsS(@`s8~LUC9&vGGnLN85+Fox^_qOH671zSHt& zzsH^>9G5*I^FJ68|2cL&Iw%j_Txf|fuS_rr{Aspb?_s+Gqg{>{Sl{R~GH6@dMe++e9$dd`KPws0$C9aa^~l$3 z(#;HyQxZXINh0Yn2xHbLQ!R~dow|G}k+CfL0;MYw`P&lf>koxAjz;)F9oxz8PL!!IEk~;CC-VsL~i2V``T(a}! zp-)&P+VsXcd*g=>=fzo7QexfI-m|hzLpN2?#v8ixtD{<|#nX$YoBzldzm_+|5SH|0 zqP#eZUi>RA$r&IWOr8kVAt+t{pBJD&qd+7SWLrS)k%9CLw7T_>-a)H_{eEmi+_zva zM`r}+dF(VYcmlfpxbf2wi2E6pCo@}D9E`#ttctlfze2$aUc5@V89dnUr*DC+x}{O5 z{t-4y=J^XQj4!yGCMrzD$<^KsYac88F2f`}DPf@OtHtefG)#MKAJF!US8L(Li-I(} zD9$Ph-T|rH`gA<}_zZD5xPHB*M1#b_m<_47J_xo2H zPZ8(`DrnA^vN<$`SI$^tXo<^RQjo;>-%93( zKUpLBQQVzH*`!@=j?crT@FG!#STPt0VC0`6V-3JJBZ5$@YxH;%hbbe%lrt!3wukLs zHJ<$~0mI;nYWG;Zsi{sky?i7B5{%Z4Y2D`|0-?lU9j>1nPL49&o^dXr4H;I)H$CA2 zL!}5XFjvAIfZ}~{bxk5XMye~lL==( zt7xq1wt|F-%LXmZXA+-A3>R&G81b<4a>lH3yN*qg6lSXw%4V)g7tG4n%QL-MEC-&c z3!E)(iy{y@x2ruSK)E&^UO)rqx@1>#MW1!@w0j`;PIa<|1O)VtIl*jHMY>%4>LR0*0QqaF3YYhX6auR|xf`&VZ9!zpE(*;S#{QiPi|J)9}7+*!M3CaaGQK>Pq zxyd3P3RwkuMEU<@F{3S(D2n+CL44*u!0B`wt07b-GTmK~1phqA`Nu1Va!bu;B01cn z)s$w674rUCVoqQ&!F;e`>ueudQV0v{mymH4la&|L6s0N&e$aR65A@q%(=AVy3Fhwc zwso6qS^JJ{4Zo6sfI;lgt`gZBDu;J>zS)9;O*2YjW7`9yWL+Yi8g?{UoU2t~U826b zYmBA)hg&d-0*o9*{UzFO8)N(-w>u4t86_y*JlEG3f96&pb(RQ>zdqaKUI!L^YbKDa zCX9LZ=H5;YRe6t!6c|naum?^3$+@A(4@R))eXB%G@>qDIjizUTpG3?zBE{mW!bz#f z@qyxR+m{RAwhLhg{J2un-l}V|R;po8`YMF#&0e#v)Q1w(5sG7J=V5S2#4%%is{9-< zjEsE#coJGB+o;F>{q^zO@SRZ~Ed6#+^w^C?Ss!N9OINYoxiXOW!U^~;Z-=i}hd5S% z9%o`w0Box-6H}t>UqHW?j3?XMav!o;5owthF6I?YsuSwaRR@~H!w|dO4{(O=N~EAu zbrwlU3I;knPC{qDxImD2f>xHzoCwy$MCSl_; zp?EHDM-0hY*9S%S+ER(K$F2nPRgR1!toHzya+BF>T%4`?fcnYBoh&KN`ln|@BW(AM zx(pJH+&!mu^`|xZo!4gR<9@Kk@`sui4wb)A*CTcn5>ic(T&_FuKeIdVz@pymH z!&{9(Lk_cdn3>}PW`cb)_P=ClUE{|3X`Y^O9~4!|+1ZuD)L z>+Jf%I?`+1P*3`-QYO=Z=DmgE<^|*CK&ebB!T1h;l`#<;Makcnvter^$UGr(k|B!f z58599s}0f8l7b7}@;7r{D+xRrk2{TJ~59H232I*rBC37~dS>2^f0q(xVhZyaKj;~9&Ugu^MD9d;mBJfxXGi}XK_ z{rSfKEFkOx%hd_Vvw{LxWEVtB&?GSmLtlYb#lQA@IF83o3*osp9#h2SZyUYlybK|l zX@CFw*_Vd>3)o;H=S>Ab8i+kFXXhn6MdHGM+)u`R_qn_#gGxi_MAj$C{8$U1{L~R5 zI6@JAT8Ez)_hCNrW;(mawJ0$jP?oOOHN~wW#`jb2Cx28P2+%K9HS>P1*$yiIR2LTs zxt+6333nmj^+*xxBoCR(uH%%oN7bqNK^d) zFG5rc>V{-!^J$IxC&3vp*mE18+33=E;6pDj+kne}(%)?@G#2tv6H1uLQYqK)lr5L0&1;QK72K z*D5-iack|x!gkwpnD7uRxaEg(AJo48<>Knx+QPgkfZj{;urr4@{ht&m`73e;=-(9K z*;kb&l1RsQKEc90&{ciRNpxUB=;z|8G2j)z3=>zO{T;d4uJZdP??dca$`6?TP4i;h zrU`lB?9k9U_M7d_@Y7Yi35 z3%_(O|A9-r059l%@qb!d5yB?+fnkdP@87HPAhcRCtRyB-LO0cNH^B6es6LJ@tpMFp z4<`P2%lCWGraP}ogoU^*(b(r?4}y$s>|h5Q$7~>L5Bd0W4Gi$S=nsB5{DZIxyX=C( z8g1qVIXc~M=ysj*>!QLU-8uOABOdVaH?F;On!du-^$c-pu@bvJKvel>VBuifR_&i% zZsEEX{enYJ^ohIz|215}ClOBs1c;Av3FZj-tw?ZkIUbdW)VN3 zyzE?yO8o(HO!7Ssbp>soiU|?lR+}z!2LV2oe>I2yjgsZ(%ltR=nEI7M9aU#mIvy6r z*k{g>dVfh)wzSJLudhhub}H?O#pdChb~)_6U!hjTR}EVS>(^Cga1fIX|G!Sj$Ip&( z2Bg-+2&-ZLWMS1Ql6}6vLT|z(#LMa!qU=g!Dib*j+)QQd7r zkAV+}gHjQ=`_&Dau+Ij1?- zcjvt^>TEyKp$?h@D>QMfidpYtFyBN2Ch&N5f(@)2377}2gd!J|Lc0B6aRD%%+@1_D z1ESY^+nhdxjwHU`;ayOY!aF+Qxb}lzsK%KU@i6dMzUk9q*3sx+KFI1mqp-)3LnMu=1}(!F~qG z$?!U0lpSQfT z>w49CpBna~>2V+e_dDo^OR96imI^ADu99hr@VtGy*>dLd20d_y+(Eau>Gx9K?@TZA zuf>VBP18U`dtO4I(b@gC;_(V5@ZwnNWej|Y_R`Y>Ec^df%)!q7g}yLgB$mZZYyLbU z0vBL8euzcyuJ|dt&h>ID3iKMqTGJB>62jEW7J)e7|G7j)43&P6LlwDPgE^a2cu8?- zwFb1Jg!F(iSVx^#(0FhxZJvwowa=2Z*5 z>HAEU79Fj1;o85=rs72iW+q!31EHwY;@@rjxz5*(M|qBQ`sq0^pRXlE*iK$s<5jvU z-P#+gif8p_e>OZ63~Yjlg3Wy9Hm-~O+Co4S@Y-S?@Lw5WNz#Cg5{1P6nU!Q@i@GrM z%J`yfUi@2ICJQc|k$czVE%X-x0T2ctzDsygMfUHJg{VHluXP;nCBB1~ zd73AIgF!Qc6}V8bT^j|0`Ssk~Wj|rZiU2<*7T@Z$ymu}ta)y)%CzcHTwj;w3BH^tY z)Ex*4`!Ai=gr3Of{&9ba$mDn!<#>p}v;zOk(gZn1PWN$&2OtIE+r2y8rx;{A?uc>v ziLI&T78f0Nb$6@rf1>R3^_!`tlxWT~I zx7IqrBi;K{R${PXd3;>=ZsnHGo^c43k=!9>y0Q-L*&*HkI>#k_yxnEA;i#fw56o@? zxivrT!=2lls(vK7{mQP+un2#C50%mQo3RheDwU zD;1yOUPKU(Jo{hOBj^5Kylw2`j;zUx%3zRqQBv0(zvB)g{((rI37>VuN_@-Pv(>gS zAJWL&d_(kGAE}DQ*5~%w$Hq(t+n>bxaN{~aK=2Q6DA?*D8f5XKzc(LFEXS9GZr8;c z3|q!!s{9Vz&XGKZB3epBS{{ZXdtz;Nqrywl$+@dhbe%{7UWe2``+3==<@3k#4wBj)VF82jN7d z($n8J2Ab%g)iMF%2#Uj`(CWx>GsO%oPz($MA|4O{vwvTgNKydPXrEp|V5vSBUtKz} z$C%}XXO5E;St(4!1orY*k|IJR0g$u(&kzfHN?>RK^CfV3NbfMhjux~B1_xgzg~12` z&{9Cd0NB}9$M@%W>6X{?n9B7+#kw{V zvI-H+ziA>N-wdg0O27U;n!Yk9uCD2p-~@MfO$hGp?!kk*ySuv&!95TxxI4iixVu|$ znc#L0@AKU%{!m5DVP^L3UcJ`p?t^_A;#b#M4nW?7rDFO6&!M|Zd+$e!_UOE@vjh#S zbyOcBe*>DVBd{js`(xl#Bh^QdJ}FipjKLxr;g}VD{hm~=*B2vU?@I*CNr)Uk+mNt5 zK0|Z_C&Kx~0eVYEtn0Ww*7N2ZGl67k64i%7vg@)>m=AN`qeO0e%&fGMq-9nq@K!cS zl>^n^2^v>l$G`m{D8#Z%tcF3=^<~eDTLy2WFqp06Oj5||xa`Kixm;*ILb}&~GS+l= zM4B(an5HR$BfdbTooBs|^}KwAvnpvyfIp3F>SS%UM-%skTl2lSiCbf}f=mWsB${Q^ zEx5aB=t7H}LHI}3R&yptLAfEXb$juhXfk#I!2)BVp~;46DX>|Me}o)&`z^4?Wy4F*JzUDA_+IMal0IDiMceD!sX(gR{n2+!^07K4^(rJx z0+;~!;r$fUKcPU?UEejWXWOd`N7yey_>oN3)K|Zu3@b#M06x7g0Efg_M=O&(7;+Il z?EHhY&rW{|x}80|a=Z)Q5s`^_%NEF)#}(lP+S(A@)&(fBKotjJN)JziWS!73X!^d=j=yUfd>_Wur!u0C*Z zfV@Q!6a0x6x@!zpg!t#O0?jE+GA}vNl9$@+Z1`mzfLJX5pu8n?-l4?qmM?Y-Sv1M~ z(zhFxXfkWP+b|wtXqqM0^$e0D)BPq&mM9#k21*Q-BSV8vbi{l_7TSUpjm8{uy67BL zZ!#NAt<={v^RUCts&JGBR@)nyERrSI6BZ}Nhco@Yc< zPdA#`qOt(Zt^my=9)F_X_}d@CEHBf6HpXOBp-3^oqNfg|$ZIW9q=-+`imx~P^9*)G z6=i0Q?lb|b0qMDApKvTfazLn~i{L+YTvI}-HyMe?F5v1A>(ID94mYBzWkm@WL{d@~ zytG6l7nDF4s3(_;y;}ZmM^2A!aL_=2gbs6sJx;@>0ZsG2V>MNPRi#XAE0OmO5> zigOFwF6~}sL;B|gLZDt(4%?joV6V2pEs6X+e;o*P>4iabwzB0r96{BbHDs=|EA&$+MXFe&l|L@7xX7ZU^q`Y=0i6?tvB-7*c<8v@m;HD?WGIYMk>ze7hV z4$8a9r&?M%9D*G>h8V=6)7kP#k2S&v`w%ykaByuni{{_QqGpGZ)7 zMr72QN;6gNfH6{I{^i{SFa9!=3VGk!qwFjuk`C{7UF6i*8>c9#!wzvKD1KsMNJMJ& zv8snZQsvZSq7ZRAI___yp`uiI^;gt}diy)Hl*w9d7;^M8W1@pZzNCZG_deDSitib? zc)NC17=#@NQCzPpO1gHoF^L&;45X0<4#uOro*2{?iODhdC%X*Ve4|K8?u=aW{N7?@4kFlPM!u0}O@aNTlTj z8^T3#lx|RUw=!}^?5-(vJ@J8a3J9wto=66Z)&JP!-f1g z+9`J#rR8YOf@AuHZ%z0!mJlc~&7tc zLc`Z(4CcpE7R-lyy30+lh0~9&0>s=KAtLD;Z*DccQ6*0M!;Ho(62-0XAEM=u zxE7RWNmQ_A7WMnC63x;PB?r2AM>S>7NJ4tti^k`Lk0xkWLuR1|C4&_YI6-K^s&NWy zcjq5pucB5NW#4pVV>vUv9hn;mbLQ964%dwz;m`~Lss;JsW+?B!y%So%;pAufMmgU2Bcl)7h%UBo11EU5# z;)xFH-+U9Ws%eeZ!-@p6=+ZdQhYH?Eg!JHmlX0)yM@~Yd3!W;JNbiOU$G!HvBRfs4 zJaDz~VmZ4OH}YxrX*t@TyDY3;pES^g56YVWMLtHLHH6Qi8rF$DX;_Y#~FyqG#MJ z4_0>Ch?7Tb#|F->2RVNJ8dr{ltjA3<($wnRBQG{eb6g#dX#%9_sg0m#2V+|O^rVSc z|IRW%m$_@d9ZEz!5+n_&V>1>F$z|dPiQDP;ARS%K9V-x(m$g$B^*ySVJUsNanm$l& z)3mcQBD#FD&86hH@jVQg_qFQ%8#BYD$>%y_B(2D4kjJ`#*GuVVbyj$0G2sks-_2vp zlnbAfHH|&Vn4AThJ8$~Xrwi32zJ4pp$g9}=6RIz8KR6eaI@7_3ON!4Msa7q;77&e! zV8oXvajJtb z+(Gl&_Pstf&b>YtMDr_PKCcR<<-lTG^1mtyl84LZ!L#SeJ!a(0@%!ESk`Lwee?0Lr zNPnp~9;R;tUmOcicQZH*)%37DbTZe}`eyj=`%DlUuqte{iW4i6o|U+WD8gx@N`fXX*|C}37{h!>mZ z^P|kDBt+?_su6BN1u|X&wnAhk+xpe~pa{&0)DvNQTG! zVbZ?4YI*HYRj<`0vK!p_5mEJa{jny|Y0YI)z#IP|_k3=EY>DE2c^U17G%>Q1rAq5+ z1%6%Cdulb4RzW(-rxY5P4E`aizpB$Kwy5w^fXLkB0bjq(OGFpN_w~2vYxN$QMOHP6 zD$e_5adh-|jD&+*4s2 zh3oLS@B62(;l^=3Modr&L+r-R(-}x|d>p%a>lykDvGocJYj3Zg?V~A(3078+XGA*Z z;mr!Hq9YOAr%I%7=q5&fR1_rPx@A3sfjx|MK=5kzB?dqKYFZ7;I07QqW$>|0gy&ue z$!(Q`Btc~lS(!c)+%KCEFm3W~!i|CQGDiMS%HhBYq%%JaG||%IG12}+RKM9-{6%`w z58-)G%~ULf4i_x|8KCQ_$C#VpK^#p1-aA%MgZsAZR|QIXXl)7YziNYxmkOh(L}~bn zX~}WCj*c?4Cj*`1>*+XXT9=&c3BFV*zC8-Nqev79GK{3vaELs5`y(7Em0Eph5p#IC zs)MUvll=>!Br6kW=cQj(QNb@fx*S_k;1~4aMT~B%E|^gVCO8(-FB*KE+4g8=7`$-8 z-v#^)w#bXdrMo&al_usg>c{}%+bff^z9QG`fAq&G*duDI+uJN1tY+Oa0Lhj+j z{=dnwd`hB&%D$g#yrzkjF0H8XDJ-l)X(VNz;K^0eGX@Fam#=Efxu*{^7Y}>rliBitCrykVDogb^#aKYrcT8&9V)d|$3@6q4; z=TEd$rn)KmQ2qc`gLLL-DGR0DvUx(gkqD*d7lyScV*!0KVCMNpUDlax)Mlo^LwEe$ znECyDVafQ|Uvwi(0JE9k0><3dfj2KLFYc^Ddmj5TB#{DU9{ zp|wms@w0@Y4LZnLb|vzPS(tq`f|2lPI%r+sZ{!2M{Gbqe#0XDE$MHUY{9;Q)_VG&N zxjzyg@TRBG4T5nzj}_Enr65VTxE z>ecwqU-u_N_L8u#E$yKLA+Sprs178bshI|pP|r-St7;2dY)=I zjzcE$CBGoJ=U(xT`WvULyiHED$7{Nuat!AM;Lh|=$`F;7arkO2C7~Aj?A4!~w#t1& z{UCp)8aTNR=#{#RQNX=#Ohf^0yH^`xQC~S`iP{V>JOWPx0VYlIGqf4Lgvw>h99gZ1 z#7heN56;eLPW?|S>2duT#lYaIw$J28m(^lY4}DcH@@KO#2l4r}%j(}Fo3iw{6$%zb zm%?V*%f&6W+0RUiJlFnRK0S>6-Oes`FuYr~<~79+;w2faBPvv;MTn}wct;lo8{DMb zLvcUoLQMzYaqYrc-ssTnn*8yth<@1^dO}9jN!c9ZrT*g0aczZ0J|k9xh1`33ak_{i z(J3r|A4ta>w
#6)L&5B*zP*T4UVlp^&K=~5@X#oc0h%Q0?5rk8@!`DOQ9eyFCk z9JTQV*Gv|Q`^D4He*Y_f6`EB?ok(tlpR3cI!W2t5(klXmG}FUCMUo*f|APfx9ocKbx99;fo$13BtAD!->2GRkQrU9rmVl%3(3@k~TyY@%(N^V6IM{0oOKH() zv(dKLtkG%Yau3i-BAMG(`>sB9R+Vn+uD%S@wV?UhpGwEiaRSb--~=RM=-+VzQ1^=k zg>%h~$%Q=IPkSd9u3uH%3egu7ac>eU+6vWRV6>+TbKswIQHR(I@7|Pf^(KK_*g7-c zN@a-v+j_B{0{d_|Tv7IHI8NiPrgnW&*?xZ?NuC23zLnvj_0#(AG#z3?$2x>(jBcV- zJG@s#eeJo(wW$$r?k@Jv+O?hcxbwqfpC97D((dh;7_y@9YohaLEgmBuyO;$9k1h`i z3ZMNK{r&xBeZ6Uzaa%xi(sE|rkZhm%=m;K2X5?3PCY`?7EBIX877RSQZHgSA{IziU z`m3;@Gv`hY;OApU=%Rxs&&?A(-q|;}D~yEEEP9WXd(fUHRl; z@L4BR-)_=$JX98@`a6^7C64zgNEzY2iG7X&KR8i-nwa>Z41V00tK!Wh0;P$$JA=+T z!|&W(t3Edcz?uJ4U7j)aMIB)cGE6j0Ae51Q-lmMfTwoeMQQ5To;KpFb?glL)Y$Qnl zS&9U0iCX42&m|C-YM98T5XFV0iTO>8{M_Gy=MyJkF)E+0J?>^EXHd!E_}2FRN!*=2 zEomyL(8%>JEt5@->r9W|hoZi=>WH@IX7J)5`02g2$MOq(5n6{e&C z8!my>9gVR8F@44Q)Jc7&j*G{T93hB zvCi{&liD{tar0IOXr)lthGl_ms9rl*Ob)9#e>os2z%A=oWebgLCdmJxxU&B%hI6M7 zO~^~Cn|>&usbTA_zxH(OtCEwGBTCeJHCkYQX{w}H!NFIU=lbDDkCvoPW9_d^SNy0m zt1^cvltwj_78FV~Qhc%CsvcfmS8=$gvN0t-`5jBvhS1Y+MSP7h?O2DbtxVLRWa_mV zXCcQj(;G8l&s@cg_4jIo+=nXJ>z<1%W-|Jmy2oPh$AM)Gr0W<4z7ZM4oq7|g#M9f$ zw_9T9EgQMip-zXdB1$9~9P>-uM@+#F8I#0Q2@ajznWuZEj~2!C6%Dbjc?oLOa9Ck& z!p5_SSj}+r&j#BM3adO{brKf$cLIdGDS<<}KK@#6O+|i{#%I5C@q~)`6)WZ_Jd|wY z><5FZ*Dzq7SCZK_>4U4kHRbV9XnKhLp$kGQ*CZGxey{Yb;Z-mnE(>AmA7-ThgYAm{ z^2zs!CK6$odDu&5Oe!onSvh*-)uo+t!(JBCs zWi*TWU!*S&{2wkP4>jc>#^FTlw3J5Yh~PF0rWe6K?p1lgQi`3=<>X986HP|%MtNLd zBbf`ccm|F^r!U(#QF3T^s`w2QOr9S-3sjUSpLHNB_`EWoiepOvEIv`6;xqzB4vnhE zl~Drh2fg!3MBU8d$*@?oV;PRf`;t9wCz98?I|#(+NAMYbG8%ku z^cYua6_wC{gWN}TxD~v_43X*vtcCAoBu5u``eQkTQg~h@SpsnYk#voz+UWr7P=0_y zjJx1@HSH4c!%(sUCRPSb#^aAjMU)-TAjW*Ovc1@1d()j6(IfS52kF1|dU-aS;{g?P3;VSFqqaVl=jAdG%w>s0#i_>_0-G)okF4}$0$F5U{@m@L@#UEr!{PnN^7NJ=uGvAoj-9Lr&ZM?!kA2Rt(fA<4z+H znjWVxTiY?>z?7aNYstMs40!kRr5ZZE7`||9sKnzN)?z8a(S$U&Zik)Uht5gIS1Y;~ zk7fEQ?l_YS>2|FPI3Me4b*VA+0rp~n^XcTGYD?OV*hu1Fh-+++fhvA(!L1%f6V8$x!ul-S-YZG*?Z`{ZoI!Im;)2T^$EjQ+{dS zuQS#wDeAj$KRZn0nkXb=xS_Zk?#2ZkE_rb1c9IK_xtf*LNdJ9GM(^b=3a@~i(-i)9 zu;bc%iceFv;AlWB-GNXz>cItdgx5TCNsI4ZU&aB>1bg$+93zgD^+~JQrEo{)@<^>B zf2{|Mz08gjqPfcVl%=E1heyR#HUCrt+vT3XG1uriRule3sa^1{q)K)UjBwt+hET6v z@`sOn*$p;3$OA9c2sTvZ-n?(!PX$wZ_%=nMPHKEorS zm=PHR^HsX6v-?R_S5;peG8btbf0Ue8!PHDmq3Bwq;F14WiI zngpZUpoW@@{>Qm^{l~c&NvQPYX7uuZUU^f4AGZlGZKklf6Svg~lew8A5@oGhneMFk zrHL&TpA;XNVkFy~W`rC`qdnusljQ9#5zYbI)<`^kB*{Y;$}wbrz$8j%>4dTP-}y*Ua4y0H0=>6#Yh(i4ZjP`AUP;#^P*B{T zRrx*7?GaV8rRQZi=N7GBt`QCX8#ZYkY3|(*eYmSCEQl#kdefW2`RH=DN~(Kgt+nOS z+9tsZfpZw<$3bB`KH~h1SJalzB_6;T`CXkkLmKv5t%Ox@W8LVnwJ*6IsoQLea zbhVIv%*+t|!6R?!q9tB#TxL;(Vv(8kaQkF~m!8ry*VQ?EMXS1uv6TZXt{L43;rHmX z1x^8$ojsap&ABNLlkG|mz&x(8RN&>UDroyrDpf8I_XfRWtSr8^ia*M84$`1=pt>8k z?z|&J6h=&UcRc!4=xm7YKqzcoYvMg1!VUTa%YEwi=@>hKI{epk6#( zVbG%_U9dI3Yb^&<8K7@_GBlWk-8IM)FDb1L!1$#o(D#Qug+$fu%)K8diux`)bV;;p zH$l)$#jUY8{6plWeVvmW`VQFBoVNLG;mn5#Hj_8F=&6E+o?{X3%CT|VR~jzx zPELurO^VT8#<;JH4T8VhiBDTbhF`z|)~plFo{1zgGd&ax&;$M1ima7trH}l0 zbtQeKJ*^UHy`*oHYm#;-PhMJstJRxMJ8i@uM9}=WTY7z8)^tss@@wdgLLH>E^IZC; zEO;Fl8R=SZOhqw1Tbh~eBBh1+L1{XVd{)4}r?Z2!NF*>3&hqqVbcQ1=xrh(lnBPU! z9$R6A(+S!ynOWjKSn$dQ6hOYq>UW;Ox_*9@R$zFm_d^AO^>|<@%ehHkjF<6^3hq#IrA-3}165NlvJeiYG60D1$exFcFX=xCgu>I(&9KWm}<=Kyo4vLlxLf~Fr z>IY)s&7XXkGTT zyXNL+j7n?1FnY>x2_#s#d;R&#%jk*-D6x>y#CQP`p81Q=(3I;{8xG)(HdNiFo5cBD>EV#@Dd3ls~?Ewr;F)l zUZ9iFV_>Y5r5%M*gQV8~A@~_t$}TjAFdBi-sioBQr$(pdB`U+DAA?oXETSgJ6P1;* z##cT5WrCzTZ>a6$bs8}Ra%v)RAyuPIf{jvxG&Eh{RoDPUGJU=q^^Myz6!Qj|zsb2X z%y-H07)eM_>3SPm4_tt_*Eb8U+D%!tHyp}v$zfEl2l)aV#?E`CTq)t*0+^Snp*Oqz zd0$v(yj%x(f!ph4G)*)C=zHW53PpI~(alt4P(q$0?wSkh4`4Peuoo@-^{F|O^Aw~j?Nn9)#mxy5Ms>Xj7~B`1228F zxghNicpW=kjj`Q27;id-f{YLS@mU8C5X4xzxy-|bv8Dk=$bcd98VQ#%x~ z{+vEnWJos@%+#m22eGL1;Yx0QP_+`i#cJB4U;X3Vc8G}?z;&XH6B7dp#TYOT9?(PC zzA!}y=rNCjkOZP5JN_#D2kZa*N8r@x;FTk?ENe~6sKnr?pQ)*{#C<`a^rE6NE!cwP z(520kiLjbw7%K`_q)0b|w}E!PQKJ_%l!iAgNEiK~J?jSk=<+*c$z3Zd+W-t;$dRWKxAhi3=;2_<5_1cXX2%hk#mEYG=0#{EduzJFsgF{)qXfhZ3;w zw_&?vpwMdB*W^f6kjEL13kOwLq!!K096DG8i)=B^R<}OZVlbTFIYE$7N>o8IAxed+ zdVQ%n8B;X(7?WdR2k#p;}a`>@iLORvL7r;T@ z0}3z_z1G)qGGIo5wOH?MCZL!E4ZQUeD1f(pMt*aX8Dw@OJI9 zb=o)>X$hV%J;hGjkaqr{i;7F1cpgQWrXMn60?MYUkJQ=|gtgA9^j*1$l(L59IXs5O zUW*I-R9Q8#%#zJIk0SNEda`vK^j|8ZCPQO{KHFyA^!1=NG6l-Ny6U>j1Qhy%*}`x= z3@=Tr!`e3bi3#Rx3xDH&wAZ$`seMY)(5-E4)%%{z@zd#Hpd5bdG{ial2ALE7C=d41 zQgzQvYdULk^Y<#)3yHsVER{12gnUogrly0p!xrk=JV12)zPZ)`;nBbpRw~tasLK)J zQt#z{hU1?1tCv=0jmyn`tbd|pH^xAo}YOn%^=m>VU`0U^T>&EB;HdIAbG-xtL1m7vMx*1mg7DLYN zE-S&j*CC9J`~EYQc*(-;Q@!?h85n_O&tv)CzkFGv^y%x}s1RlPs7Bi6QW9osJ_IX# zO9t9@SvW!9@Bd?xtt0ALUAML{-c2TZC68XNw`#hgJB|trsEj&&l_4?GC#D5Y1!8l8 zeqw+ax$2U*8iB*&U?`-68AlYrifkwH>hppVBHUuT*LN!b!Ea1K>R;;x>_dZ^^B`~Q zsp%lX!MQ26^)E9CqY!SAa26n3`;@JZG|@}4^-{l`uHrpz4d z6#3&E?&pI|ITY|6s89Yv=XX7zL>HH30F|0Vl~4xY=Rmkn53KHP&rU#LIKZTgzyO)~ zH+jLm<4{0J_UP_JAzI3BEe!EfPl`JPBUYDmDNqwb_Midf3SswRUx?ho%-VDhP=RFI z+iwF3Q#w~ZDUgy#0^fZMujR-cPFwJKq(T@|If%3y4ZJ!lRlC2Ehnx?EHq8KDSH2!W zp>1#2={Eb}c2+e7K8s-UESSzj{@==^#M#LT@j@8@hss`!i_ZYt zc5uV55l%uWV0>tkYSgdIbv&(|eY2!>?tZm1eq27y3Jt2Ptf&|ODB|>ml0g5Xqkpns zO0;m;AX{!$Rm6woA3VPMIc7MJ+p>wM)#pn?fH9>hN04xp8&kASR9g&6PEM)jY)qRZ zz4%Y3+Fl68{+0d7QT?;y3y!Yn6bTBrwU+W>cuM46m+=vpQ?j?<#|#g9XzG;Twjj!{ zMRcB(xaWTLyCJHDI?AY(N3FTxI?K-I{;Kz+(0BP)H?np;ewqqAwAdF$68O?rY8>pF z!|9Zh`HoBzOsVzdo&j-b6tKS)evl!2AmEguR<8`F9080Sx+}sQ1^E$9n@hP5z$-5( z!?zM%VUO$RyUEWLs*B5`{7YJtUd*8vA16(HkQk*Q*Y>A$->qYQiH~*Ce!-Cn=jl~A zT_PB))i_zS<9)a92a)&fmbXH`&{t-VoS*~cc$?ArwbeR$BD|9oEj#~7^Svze7!s?xpT6}YNVwHl^4>)k{TgMJ0WrK{Va-XL1J*YC z1x_A=r?%i9ra*SNo0 z=jBKYWLdv2o2vT0h~<}}aM{VL0*jE^&&GJZ`ss)7o6ph;UdsMWuI4HICKi*Y&x@b{p4K$3FFjE`2GZ2+7 zO)^s6(0Ek1eTBkL0SB5)vvqyz~rZ6-MFaoUWzC3(lm%el~pAnX03ni3;7~qiYbyEw;{Wr3o3) zoUgLMrL}rdnL^N_!|8g;l7nX0jD7ndk)R+Jp`{V!A$z;mNc<;kv2fvpY$IARmT?gP z)B8`a7Bs2eAh1)>X1#Up()hQU(F(0Lse|3KRoxpJRcc^ld%?wgl0pRpGSAp zdIOXHpnH#57=AgxwRw00C8edMHtdmFxewSL0V)I;8A307K&M3_Jh%7-#zt@#UZ>K+ z77t3*=k!~-_}BT#+ncG`-6Nut6;iuxW;6A9q|vz2zofrxlyl+`l-XfWU3f^+V)8b* z)1EeU+$4r0NFA02tDDc7IN+iN`}H4u?f!W3_Ob@7dtA;WqZUVKEUrvUb=cDU`>N!K z$TrhjRl_A0FV6oa)MCp}z2OZdq~9GE4Xpmk)X{RUKduTObWMNP&Lk0dNO>@PpCm7z3TN9b91 zZ@w-DxK+j(iaSP8e<0>=VeatT!-J}0#am^|8{$wPViSBj_!@|Z9e{{+A_ZPE&rP=cEC$8;ylLie{ih#9gYQ-h80$QEBD8##Xq&L z^G(ABQf`agFqz^U*A&4=mBod@63JDb)Y$dYtl6DWu2F^W8_QM++FqP0B-G~HWn$}* zz3C)g_X3??tm;2LDPtx{&G7$!FMwrSwzT9r6a7cQk#QjP9A>3u_~NDK^rR||(F5p8 z&Ib-~qiL&aC`xH@N{7iQ3F0-NwNGjce(AD-)Cj-|$|rzqka(gG^r1~KnF#Cx)Zwit zbwaD-nmg{d>X4sntSynPhd3dwoe>TddG1MxY=c&LD$3Jn;*7CpG7^x}r9PugXGjGm{0qdT)n|@3y9*p#t$` zXR9wdk11C!UMNZrTb;kzh<-D@{Luigd?EO{9LaW-Tao(t{oy`;K5X|j-cxo?PJTGv ztF8D%ZvohofZtke*5I46c}5enzYN~|k^7HwfTJrn&x*v~P(NdB zMhi95-c2rql@JNFY?5g@P}vdxIrVQ1$(fgA%&h-cL}%=<+9!G33iwf0(NgqWUD0T2 zQg;0E!Q3f!7HHAgI^~N_w7;Q#hy8W$j45|Z!+%lQSn+Gaz;~)KM5&W_9e6jsYJ<3V z*-s6sm6nYgi4|KeM%uO#rs51r9QdhjcK^PqiCadb52s7=$=}3MQm!yA=!Q}q72{kX zU~qiNGWLuR`l>pF2rTuRA2GpC%@PALdCdOz31^aQwyuBV<%U}ja(ldrF@ zc&*XLS$tqQL=pg*mz3?Pu^Fi!wq_~5J#Pk4`Z}IcDNSaLlnv4-a9|m+ob=e~Viuqi%y!wVupq!!w-3fs8SqJT0VeCN zdfPa=E&vVF%olcX<&kil)}f*Ldz{W`CT4n%?DHy%H5GezP_@nrcM6_4fNra#4DMQ~ zLeV6@V@sWC$vC^wBO#%^-57S@p0gDVx(XO^rKM7@sj%h7NpJc9z1`pk)l>)c za$NfgQ3;xrsw?m33&Zr3e)XadndoKo6PdU@?<@?-x;l!g7TIxxbG(VbD$|2JD^iPv=A zMlSg;To2xE)f(G@18}#%njIU+8+Sgyr4!t5Y2)3^Zw5)9LFDBL9`lne}f}DumO`{o#Z_Pdqbng zk)ZOpIbeMMo16>#dppy6EwfKhMmj3RHn2%~_2{7@ zTfYQ=^Q*}asfT~1MzI(3A%OGh@Ebtb-F4Mx!xbwS%jV@0Xy@ve9_X8@De~W!gm@nO!^x?Zax+m5>#_nHd)E?&hHz##4M&~T2*iYjm z?SnS{y?{M{JuK2>;FLRG-dtzhNzyr!ILu14Do4&f16+A-9%EasUCHFtM3M<$N!$e( zwEmk}1n7{pmkH-@A-7pm2KMU%2?vuD6?D&%F=WPoICx&D{7uPoMa`Uj8v2zHMALepg%08u!I>x+roo zrKMdi{uE8n1~#S`IisNb1oT0G{f`j3?iZf;yDz_qHmthZwE{*37mmG4S1OQ?dOxr zRtn6aschSD#mNJ}*$f6w)XoC-zf$7bo1^FplK*r+um7o|zQbgpzo`-87w6e(JzlAk zoI0w4`WoE;FlLqIKU8DvZ9}`AF(MP%hMqZr0`PBZ9QH*<7B9EkCg0WOr-ax-7L+5S zI=ySU>oS2NPn)|GOieTaG339 z+uBmljr!X58xruXXsc>WRP+kUpA|m-T=HrHnf)|NwV_sT?C@*(4m6jr!-PB^{@wP} z#i&jr0+^y7fRFOsGCfyn)X{VY(O7Ax)&!ltT+QWXclMt0COF}^7m2poy8J9N@OFOM zwex6bPG%IFAK+r|I3wsUHH7eY=PAW~eF+faMBWWk;4A$IjkhT0C*}O&|8eFkorCQGJ?7AsOM8on7K>Y@ z-S>rg7a;2b3FsY80JcwRbq=6B1AKGlhVC>bK6|xbTk6@T@|PW#cD&52f#U0rz?ukg z6?Yl|RaI`4&Ed>CXQ07=AomK+-0bVdlDxZff4m^Q_PQnV`1s%nD3PjXDOUh^thw%_ zFAi224aHBln+|=D=j^l|bTIjI?$XiZp|b({_4c=5MO~WZe{cJPrO&!zg+nMHG%PnU z!k_K;3EN_qt!qHxy8moEP2`MmpK)Ka1(=weOs<3xj|>NgJ9N$OE=J$ZKW*pAm>`U> zR-Xy{PQV$fOGGjEwVqSfAYv*kSl{R!~Z6e9uDyUdlR^p$wk&7?e6?-@svM@=m2Xd`elIRR!isTH!d^q;oKSR&H6R&lO~OWvhO?qVK(~{jRps zm%m0!wI?@F_v;fc!VylBz&~hWBGB0EZRe>U?_R!AkxEGwez>2Qk`3)?#x8{$$o zJkKfY%_C9#$}~0xq1KI>`l=*LLH}uFW>JQbtA)bPZsU~J${)wOq=evwnTj^KIS7#S zC7*m5ajRXNq9W`pJ_EOGk#n4!l#yYk^Z3)9@R7;(#~n~XTGF5?<+QDnJrV0CAE zFs?(Ul>1i@x=imSA-X_!I)y^|_{-^_f4i#-{`5icdP>2h8zchxmZ2L1(A!02lr{8k zcsHT_4ys&i4d}%JOxG>%eFA;g&dX~4H1;I;E~Y3p0>qVor2s&Jyzeol%8SnX3ya@u zb-HnSAzpm@SPZU!yH_ZVa)o=FD8mq;nH-}`Eh3q~hId1V4F13#U?-w_t+62;Sk6Ei z{=AoUIK*2=;i1A@HU`fIUGa}vZ}fJlYFz_c!9di1$T{}EOnsWx9Q_H_`}9B$nY66^YrocgV}w|! z*^NFq^v}kd7KOdOqd{}PCWn?fF9F)zl@z6@80Lfy5{4HcTMGJ7irCPk9BDT6=YA%1 zI?x&eXm{zEUI8>FHuw?N5&MLu%dd#f|AbPPnrbMCXy#9hJoWA6BL@I?%ty8}b+a?F z(K>H$^W$`i%q&9p?K0y*NX6eIrtf$GqDegR3{n{DX$2>H8I1>k<_45q{Zr5c zNPzK}Zcbhc3x;52)$083)HC+-uBn*n8hayboh<)f>=;L8>lfnS)pzt$#SIAkK_OtC zS+yU6mVD=L`pqdoMes%20{fOlaI@R>C-oUBskV6AVgy4&VWvYz^Pjp>1Lr+Fz$$`2 z%a#zKSp}sQ;lK;DiwJgpRgHVf;^TeKJO83q#Lez~W9Q}`nXsbwlMN*|A*1Wr7&zNI zXVn@HKKXfrj^oe}iMN^Y1xf8^i(OT&AT}5T{8f%`je7Tj^;0hZ`i6zJ2lr$3s98D> zg#3l(@0H|r7hbO}X1*z~LE+v^1TjFA#1_ZDn;^repn<2~-(QkD5f}NV*8q7WU}e2g zn(Gj;nd<&@2|aeWKE4yM6TSB+UN{(t*R3ijTc8?q%TOOXVAUF){>B_oWF7aD4E<=7 zOj3eG4Wglkl%WIEi@={!O3WnzLaS)0BHF;@czjY)9xdw4P7?3pd#~z$(4>T%OU3cl zo(F|)H%(Se3Uv))PpAL$RXI8i{GZ1%PM&=3e*bDFlp?k8mRDqik>do&`#PrR?D{41?x z&Vr$v)Z!*SKJuH~krFn{03|SWsR~s}pz{W6Y3)z~7|`+wZ<`p*#^W) z2^>JeDir3PkKvlA4P*#GIv7ONHhZ-xg!kuTBl6MC8#Xkgf_H;H&#$+DSfm%e9LiBm zw$t;Q<~S4r%V<&?jfFHe9T6kBUKM}(?X%<1rEw}L{VuMKyYpQ?3(k14F!L}_vx1WL zOcdYz%V}UQ_tr9e_s`7so{~)tT6Y2QxZOWyTaJPxR1ofn*uIPs-lzog|12uB|5;R) z1ghHlL9z4ckt!_Mr6qii(F&8(emY+Z>=x!>DjM~Vf7H}w<#xoW($ueu(f);xFeW-` zrIv9+S)zt#IB#!L7FZ?9mx|ux)U{N|PhroSybM5xhe41SIfsAqu{C`qo?eC}LobJ_ z{5MbZ2qRh$qy94{c=48GugZz?N^hTrOq1Y^-!l|cPSB*Dy^Bd1t~|T)$KZ~%ZDzjB z5@?siPfS7QAxj{T#0CqkJR9cO2S;*qpXQ{7>tH-PuBS34qF!9DuHt}pZod8bjyBwD zD~K*2B>|6`7P*F*)0e)Bwf-hXm5_sEqf}}dtKYRDn3j0Iywl3x3JCw+Hu#j+F7KLP zQ)?I?lHsMK4WS-P%v~d5VL88yyf+tb>NTY9^dNPz%W*B-$NBY9Qy6lfiQeryPZNd013 zDvpREa?11BfC=yeV9)mbIa@zU7DIh=SAcfTZMO7Pv4&dz!3dFL%}BiX#+Ixr(e~Y^9OOt;ld3=zU(D#-XvMB z0%H|b8dVPQYohOcbhVw{mwzkD(tbs05`9C14$jn=-S}fI_qd(O3%o7-%~1jZJgP+wqssg~0{w4$j7g{--7g=Q z7<1(mzss!5BLx`(EuLZ++!?XqI-vBGl0e`OC*+KK)gl7_>p4RCU(b=)fo%EBkp1sG zo$fdX#Vlb(XN(7bp*w|)-#oLTeCQYt8h??*TwIW5ql1<+29Jz14S70o94Cuvoxt%& z8A`dE4!)C$iqRvpQ`0EFV|3gJ;?aIJjt|iD-CnP3gh0$SHGQ}|6oB*GHMCl@xv@KL zT$GCk=*BT;V7cVb-;_*I&??Z5E){E&AtUooRsqoC)OIWeYE^-+1X4}MED*Uwkua-> zbKKQXN`m^1Ne@Oif(SOhsC=-cI4gzd*DtQBBhIyP&R0x8aESFa=K&0L{e|_#*K6UG zb5?dNhrgyaG9ol8*ybvfxHyss_Qx6u6gc+1?E5r2K-T7tiZ12^)o(7Ku@WQpyTqOG;>}iXa4|~Z;9$n_ z|Csv9sH)m0UK9{eI;26myBq07LOP|pJEf6sIh1sFcS(0QNF6-XLAtq{|9kIR_k*8w zA$vd1o|#|Fj02INx3;N1)AUV%m4RWOQ(k*Kdi3xV8O4aaxKI2pQYOZ#T^I-F3q21G zT8E|EA+nnH&ECw4nwRxek<%|2s5(0lL%854`T1bRmaEq)Vk<&!SpH@x= zsS4~_`huL79g7w*P=S7Mctih(CnadPot?A>cHfWa5bGxA{+_;&o1a{ioBZmdfVVXD z@)^I3{}fUL{^`SDEA!KZ>5WN!=3meT#8T0Q5a>(_FFT|Ey!VKW}w$(V< zU(b_n!#|{S2_T^S&nIa#4Ay}nS!q~OBwU?bp3kxTBGFo3)GedUfR;F5P=X;Q9~}t` zd@0>V8%;&bhFD2AlD5nCr}ROyFFB?6dRGUfi6r+w&HZUE1u+xYFK^@)8%zKL!NJ3l z^1(wnzX$JKqle~sIpINKLUIU^1!~YRQ4zXR2%Y4F@!?NmI#Eo^-R4EoAK%bNy#@4& zTuri*DQ+^h>>82nNWO~7cpIjhNvsBP-z+!6e)Cgb77~#>@;SQr(q{J-@}G|s<$vWR zUX`ffs3fLs8WZ?I;<%7GvZ;0Z*=l!0NlEGrh@by3a*kUPYR?Kf=CkTfzCFb`x3%45epi;noty!6A3%AMCbd%Za4T zpB4@xBO~T8P<0!GL7aBKqC!E7+~WS4UuInWC8mMw>FWmUj&HkhHd=^OL!R~5efP4o z!T|b{6_L@4ovVsN!9g#Q_Bz}Ha2*K%J*el`2!Q1crNFa;{_dAqjhqU3z$HrOL=>It zX0ObTy%`ssEqxb!{tR>Lq^xR0@@}*gvf9xv3izRR0>}R9+|Cj^cg-0VZ~qghF#q#~ zAySy=FPG3H-$UwyLKv)veuZf)DQk+-Vlsbs5?ghn9WJ3!X12R3NkV0@Ul~CdQvZ5o z+^XN4)acT81+ZvZZaeDhKJ*}+hKG4~aPjSk^1pH#)n8f9r5W035t-EK%Ar@AvplVU zxFFj$C2gLFCM&Au6Ax5@E=SGh3shroelAp_-6-dv*B8vM`SAh7$4K&~(6XlXoEMk@ z=15@S?yVqYxkc7tdTxk(A1@@@Lc?@XVp>_uj57xgQSi{oJdM*b`hhFw-KVoQJ+=gS z!2BcY+ztrBCZp&*1wjUThUOXW7hs8*zuZsQUqve2kX%Tjw-5tGXM;v0{7H?I7K4MP zPc`R!3JZaL4wl3XlGk*fpT{2N37|v3v7ncD9aNUuX7ZEmIQ7{N}#<3w2pKeTKKY=||n#Sv9KpA`-(^DHSOy(Px=rL2N?jK`pyzU&Gz@Xh#||#+IBzh)6WM&{0-a2R z^_u`b`}itZV%{^e`U$d)A;csmZz3P;9(dmlgh&*pjCJQ&ETCDe#>)uNAlSX+@{QBmF5)8gzsf)cT%Zd9>;0Y2d?jarmk3 zsVn@YFJG0d`sm`X5GUweShRe~2-MbX%Nh%Il>#iG!+BH0k)KCnp8H!3NhDkl32lBhp9BmfakY=NeUo!6?xqmo9Ev{rRfjJ9`=)2y)>c=9X|pudNWK}OUGmrCEnT6U*5l<&YP;YP8Ku5t^8klr zIAi--zNl%ij=W?8CD%*(AT`k2#do7XAg`fXq5SJnU1ViMRz}Q{(yK%Je;}SnPxikq z46tJ2``pzCIWTnQMh1}#D@*)s>=M`S%ukbq)5?wEJS*kRVPY5=4ziIk_001O__D%) znW$*Nm0i$5RAfEzckGW*yd3z!)i1g%O3=0T3$CZEUPf*bssIDMm;dhd#k59i3qVMIGf>IhUu6=H0vcVN zy97+wle}->$YicOTYb*80L|h<^{M(rI_{peI@ZD&)qQWfoo}e=o$sR+^i9!?n$Spl zNC64i>L0+6-ujTWZ_DSh*uq^W9eWis-FKFr^8!lR2WVZX>tU`cw-7UDwZ{(c2lB-n zK|4Lt#3V^TK#8kf6$J(-jM($U8H&U-IRX;4!0=xZufx(yDo+FQ`;Cu9nj+Nt4}LOW zfr@UlBd9dPoL(Sg{&5QqF*Y>7i#Lz140(9L-Z9|KE=vzp0pgj zz+|=wbcO!;Hi1y~$~)R{9Bt9|TlsbDi|;?Z_Z+icR%^h1b8n(AiuHiZg%^czg+QjQ z0Ot1tixF~!-et?g;`{kfAeGAR^&h<6jm@rj>^PoLd*u|m5V<_UEB4;=Q+j?YlB-iW zFq;I0n!<@Q5(gW8`-TTn?m3GTY9~B3KGMD1C)#p{JMhiHZ; zf5?5rzCsu%Qz;mo27?2ZNYh_2h;PJyI8TOp?oE%W1;JKsep^KC%I~|RWxu9Gp!7j5 z!GHy-ld7Yo41g-r($!GP-io9AT((r1&Qzk*o|n61>8SJ(XJSH~Q~Kj<{liUf%uUs4P-600v1oLHLxD?kPx zeBjzU+<)~<0#-kqVYK5xeHMer7SaQ)Ed}CrYr#u?UXQ|n^XDI6BnF6R#-(tu=|#_F zacM-l8+xF_4-ExRb!HGtj=`o4J+e^_OUqlBo1o6ZdAOFT0PXD zP%|WXJrl+;Z9e%_oQljj+TxMYc8AV@b#)$B<+wf>shd0B0?28ZZt;1g_u)KWInUWk z7#evMp_J$nsmT71o6#=zmo`@N-;O*E8}iqGZmWYglXZ7!1=nshQ5VH*pOPRs{>F|kEB0}zT>7hxaO+C&90aC;)mtn z&Ujsm!-frXt#q1~uYFX@`?f?84*5t`%wL2013=PHP-NCUuAO}b`m$2h+)zdmWq$y# zcR7cH9HR?V@a&$`9-r(=3yq&@$lfTaQ1Z9-E;LF&-6tm6jVen^k;U0}%@zv9y&-83 z`kcJK|0VUHDWK;0c0|K0hL&MFJL93-R&zpTG_rrAmB0aEjJtirKq=cpm>j=gizyBdj{BeQrC3@mH!v^tW(fK1sqDX8 zR5I4P!YoM(#QE1b0DCUsyvQGjP25y8;b(Ko9r987_xxW}roS5(>L2qAMz--!F7mK< zH0=F2F#aaVXQ-Iudpj>5b^Fh*{;fV%w_5GfF&~Le2R#+!Y_==-(Rq&B|KW5^Z_`{X z1QCP$_f;gY-wI=gzOQ^_n(Sy+`J4qOj!|E{IMbG$Vg%P5mmtH-dJ+2`$B=Kw7_yx| zelj<9wKiFQa66#8hNq&_7Y{M@_n@ znZ4H;{O-%ol#%BTU)Q@m3&%Xa*}T5*qf*{|HARL12|Ll|&7F>K@Hhn#R8|8t)T*I+}@Qv#2lX{<6E)JRUsnwG&{=6EJ z_S-o1?3lNK768cl934O4cMyB*#f#c>pdo&5%8Q3L1ZLBskbp@lXIGoW={jv8gH;nqL+3bwvf7^DYiMJDS+iG1; zr_0!h7EE_}6nS~<7S>t~vp7>fQw_)-{dpc>IKeDG;-GUYB*Gly%EK9QPBLG4YCgp{ zFf(`l`z=O!vNcSK;2T>Pt1;kOaH7*ocxoTb;pV8@zn{ zs;G!Cof4h?DC`SDj62GLOdpIJB6YzZ!)`wn>9N}UI>LFcGihL9`p5R8t6`< z9ZbN$u|Nf6wEerhvif31K{{)VC*uY@PF1e=5*Szs%RBCu)~*Fb+X&LKxq#l5NcWv8COI7WyeCw0cSv1)#krQ-flPk%b4Hn%IM zgZ(&)bkq%zEAH*?liQzfI9poD_uiqB{Es`6x^yEGYzLl0(}g@a;E61BGT^Ioiow7{ zBeVCs{d!W#fcTE@7g8ki`X*7Pqf2jyOU~wd9y}zEReQr7Fc*Rq=q+|x4)WYgQ77A* zV@HZuduF2;&VpJp%+t#?=OCM{9QO?uO~pj`EzQ9O6=ScF=@26WC<*89-S5t$=NH2o zwr^!9ap2(}FaCV@HJN!&lEap6AQ{?In1rVI4^Fzwri6C*K+QxdJ_%!=N7udP>T;!E zD*52xV(-{SnZLuw$j~0TmBG^8mI?Sf#}5W$+IC3Z=wKps)qBIj#7$%>;DNQ8Ki= z|KjDhxf?5Z;Vx=qw@_}tyUqQGm)USFjoh-!!!b-lbu%K&zl>3_N{sRZV_Fpx@4dSr zg$KUb)_)Y~>zx^XW-^t^jaA6ba{^G1foG4?LK*z{SR15E1+S4_&(6HyDIX=n6Z*@4 z{K&@A6or`%Z1{4`4qV{B$w~c#SjBuQK7q`4UcTnXh;kRs+CT&*RLV4;TDibJ*gISK zM}e=ds*u*9mlWcj*3TYThNPU4VGxA*@n4XHC4Zk8&5&2O1ROF06L3|nAt7E z=q&bgWV^li|DtiDxQt*(%l5YBB)78H_#7L4&12oiRMY{ZGU1@E z;Drx#Z}vs`+^oe>Q9iEKWZ>%>gvF{9fxsX0WUJi&+FuV`dx=yVvT&l10K+VP#G}EG z5(qB~&vXYIdTJ1rB1fm;M6y=tYF=k{J1WYC^Z9u@TfB6^2)e8(Wb3uY2HYP-VILT@ zwyyzqi%tLh;bvV)V$Sp19e-1ntkSR^o$L7I4$$My(bn-nRc>eY?;M7r$wcig5O+_; zsEJQ=*t3BwyT_y3bdg?5F;iH;w;9$nzp$%gabfAtHP~S>%zqIgGLGaidx3iQ$szhh z28anjRy0mRMOyom-+s1eYrPQZ0Zy^b43tYU@~&;L%>461NOMcZ${_nmNPvK=vlp+W zK7e$Ri}P)K(zc$*=-9ZC&dqyoH^yH+(&9&-=`V_OVw0h0R{`69J#WXXT8<^Gk&kB6 z5sIP@O1~cIQjtF2Reug435HFsap$4d1d~=~cGRu_JtH&=V7Y+8JX$$AWYI zJ)~S@WB#wKVMci^YeLXIMjH8QC@Vjzj1SZwP&QEIx@_6Vm)JSwe0uR7b5A7}9+Z|s zHU1*YmJ?t{2Q$~^vHK+c&N;6t)}dN24o;Z$(wy4;$a+r{Pa?z&9a9rEtDB37NwCh9 z%i<_T(#0i1sV3`DlZz(-KZd>71MQ*NzR25YONlsrt2^%L;iCOog;d@!Hpd2o`wrL{ zWboV7YhV~5WqAgU8Hz-orxOzV_3Kiy>(9;nAT(4GnYXfHX{HizXo^{)CX7mAhn$00 z;K=F*cTMwVtgtnH$NTWc58REWoZBU0xM0)Psy#tI8}`7bOy?DtrIlRifz?iZOeG>i zf?FB3jd|1v#26q~c$zuw4pKmT4W_MoEr#f;;klEX~wQHEZt~}O?iu#RA5G1H6zzO3iDvF3*)2RJz z%q=@(jkKlew-H8ZbV4(kCJ}A8<-g~uUEEfBa=}TY#C*xxo%TBtCks5$K7}*IYSN?F zVlqHv2d+Hh(xX++8qHhba4C}4Qfdc8K}jOEeulWn&&qAH2>Rlw5!dHCshWzy?<1h2 zHuMmVT)<0{F)kCr*XhGrAa7x?>J$IYCEq|>e=Ix7?riOz7Zjia* zMNR7H;x{3!*!Cty9JeUnmV848(9S;wG2ut|BUFA<^F-p9v$aYAucJLkLv}lXj3j^b zk;Wj9Wx181$YNxZvI-Zk1{<(o>w(@;l}gX?tJLe@TJ^g$?StU6Y1&B zn!w_v&IM~iq$yk*0TXQKIZEP9`G~r!O1p;|XP;qh~oO zAOt(-;S-gWfd$I9_5k6DE!U^jN`7eO`dq2qTA$Ain$8e1OS0M4Fe~~g)GY?quz;fx z1(}J7j9}*Wj|ja5Ob>-V08)#af; z0WA^H^xwJHaBCc*fduB8o#)5rOqay_uuv6F4V2>g-ok{Xq_S2tgrE#<{O)Za!D*Vh zdj!t;8Zr{LTO7^U>f1*MRH#6jTH~l8B6g|6KwKmdP)c%k&XEzHn8&sNciUwUq7;v7 z=s?5}zt=2X4-P(b^4t`+)!x;Ogy%0b`uffM;7-}M{zL`&vYDbBgCEu?8;7_XDAJC!nOPmcTbS+%%QDfqK>owt(8E%Vp0q#8RsUiV~C;MruzcW z_A5m1X?sWD%+7xMr0$kY>R>$5;!k9s4cjMy} z(0sFBGX^0wnS7u!LO@Zd_zRj{0E=KAAK_eBsAcC=ql4ZtiuGn+__`%Q-5)qnwY@U6d94b%8aM0fag$zr!m1L8f8$d=Yx~#m)At-9pk5^LG&{P; zvT-R+5LH*^`}EEyGw;Bp-Gl^H*eWd)2NZs`v_Corfkix(?<-ohu)#bZNQt?U@0U|% zd|OgqKdDol(c(eW=78q=uXWitdYa^)1bN{tjI+hUf0v*R|0~KA4f9e?CdbLxtha;qSj$w1xXsRT%napqE*# zv!EY~qnNeg^1L&lf?sFEL-Ee87gmjiwM%!6yS?(dT;q`b=~{-1qy2!6)s}}hF-Cdn zWPRv7KgCq4qk(09=-Z>?DYCaaBeJh_fnn_4KOMhrqn6djvQl8`{beLj*vR6)WJSPJ zL(b;znwO!1IUg0;E>vD$XGjYeF)|#TN3d$NZjZe&H>s^lfnsAd_2Xb_+P~=rB$)N5 z;%=AR+DJ9Gt7Pzg+s92eCZ_qu-^&pi5P%IfojuiofeQcWg&yP6uy?XpH5p_yP*&t z+z$VZ7W2$6Gbso~u?MyLHg}LmUEAQO7sO@fL*!TNJ_Tat_^*l+ApH;QnSEcsey}TZ zCeWx!aZy+>6bx*z_m^j7YFK+7Vc}4=i6t(!3HJrgz!4bg=&Xz`u_dB{o*qg8o)t*>K?N6+vJgv(3UjjTBn3 zntM~6FYSNGxF~Dt=ne3`>McBkIelxO_bqE;U0(gVCmvO8eHteo_gN;NW(x->*0(pu z@J39RyXj97QjrxpUExwg;mF_uHoJ=TWy9NmhP-T^F^!3riPS6^%Ha0f$WG?F)+(Pr z+(={_*6a3Z&9PL9R%@+@)@XCXMKmb-azC8wUaNB!5&HQvLx;`JdjF8;K*%0^nf>nH zKUE#=nM>%VRDavv4E`;o+3b52pI3G4ySj?>+~(Y1o|uyFhF=yIGFDS+f!R5|93tWT zlJ`~5);f=sAt`5zExd$U27XEF>qTiBTkZSLXSZL5zMS8D_(Oc~{(`N|hE61tCrP>M zmS4?Vo%L4>7R}LNq`o9YtL6tjd=)uo7q5$3x@-N49c5@|(s)Eo%%Oo?#@eKPHC7$% zYn-q*4f!n|K}ZtzuD%W~xs1i#iV(qt#lTHq9dT~Lr&R+pt$9@2_b*;jTiRTGO<;)C zZ^jf6R~B_hK)}n!>jyQqWuSDY;Lh21R>vG@T_sXvwD z%59A=Nb7fOPsc*OD~djUr}EDz*T#co_JW3(M@hjCUPy92EhiqIi1AfHgBSA?fkOV# zsW^Qt9xtok`vtpw4BHb>fok#5a#P{K{&~v-YVj|ZLXgjgeg)&DTp95*G?sW{pVMZx z#35gioFq|7ll$^5#2T$U1_ih7tzuG<5S9%rWK2ruOxS!vQ;Kh$y~kD+gKmAL=k(3q)|+K zo7wBw$$w+=FDOnVQ>uKLF8pSMV?~I_)uoLp!ZyL91x57mkqPyj7F>FIgyKRd1{l*O z2@+4?iEl3+PEGF;Ku+~ZS(7D%M@4o@cuD8-S|RA;$Fa?s+4Yzc?v3zhl~*KXI=57kb&J=iG~ z`(4fO9WalIw1keY9f%mMhWJePyJ>9gJnK6gOwWqu80=^ zhYmDp_vEdzN|uK9@`Q6rFdcpfHb2z;W;bl{Ku$vuthBPixb73k0!+nPK3Bz5rO7?2 z#EYLx3V~dVbfEukTNwHmL$IJx>xQeetOhD+z0uGGCRW5BOdq6;Rq#iH zjeucEDMo(JkQ|5gL)jtv@Mb~C-;P3#*bu(hkWzTE=@3y4q>pbTxPYx4ebZltVDaJxI~KOotgszm5A5gkJPuKoQIArWV#t6-O$oCOG-<;*k6FFeHU$CKY#jed9kM z39~-ij-c8H92Pk`5W<4x`1!2!Gz{kEaZz*}X~c()8K2GmPCNpY2CC-Qxq1__45jRC zTaW?MDbaKWz}k<;WJ5-oC53}WjY`1pb(vPOjCVcs<=_%k%nAj44v4Hlx+PZjWMpo- zDH}REt4k&o@KwxqOvnpKS>~HrP0G>m*R|=ji0&U(CP&igV9`_Mf0_g6XS;PM$)qbm7hy2a5fCeXXE!1CsO6;LZ|$_=UVBga?BOX-`x+!r=WGB4c4934hE4x+&| zhZmMr>n_=h$1{7iuFpOcagSsJY(pMVH->`zoEXo8gea8zg6`zD3$JgFLx(Axe|5tP zU5r;V@U1Cay8W{IJ>yHW4U5Ux*s}K_GNE!d>M;wTj6I%iG_Pn_S!D1M_yWgvA5?JRziSd2j9{}cXasJ*>$9DgN&^*a{ zLF?&%<8QF(5wH7jx%bTki}db+!8c%2!Jhta;56JAn&$ajOa}f^CBp#$!QEYk?(M>c z%gER;tBjXR-{l0Xxp1Yq%vV%-0V+pfEL56!#!QXAEZS6Lnmi6ggQD`%*L;HLwDMm< zi4HAkqs0J|uik<6p@?uGM|b_cdZyZ@(oMxCx8`;JsIKYd@%z!F^_lMGP9uJ8%^@J& z-2VQ%E%zLfwF$5Tb@zWG3-(K`t@Auoq^S&_QR05o?whTz7Sr_0$@6~IMC-{dqX#HE z(}4szfla@n?U4iblYKN`)o7M((F_?wk}S@~IJkjNFK+%WV52o{sMu<~B`A5h_EqV70>mB_ z<1qcLn712?S&#NdlgVZ*1^k`aZ8R}J(y!*+5re}aO|^RN!);^?~2ZIoe3J0ls%qHTKTM5 z-{9wI&@}?H46oq%85L_1z8# zZ)0EPIlF;G*+BEO>qs&8s%=)#>wVdihHYrr{|E_pQ2_43=$ZTeKQ914C<{e8A@5Te zmRiPw#8opLEO)f*Dvz4ohLl-bTbqOLsW|kh4x|d2XLw0KIekVClClBfK>s^ZxYxFQ9yWL(JSZ>?-~<`t&s)o z?P{eZ9(X`GxZ>cbTKND2KprPcxC=^*>*&a~y1r1Bi%ZM&vV^Pws>Kl@Br`+NPd@Xp z0vS+eXURB%Kk6qYVdPeoh5|}3=frXWPea2m`QccpNO@v1lr?04_OXf>0F;S|fX*v! zSpH%|1DtC;h9IugikiiH2^}bIw2f#uv&O~V_{d%cvylkzu{nu;8;C^-FZ8$N!`)N# zjkJN(yNmm)o$#a0ZzunfGRrCt)?A8KTzEupf>0St*3j@StTiaVC85If%L`l_>#jwg zVgJ^;-v~*22INL2=BU6E_dDuha7W;%#Meg?0@{ zK0@7hL+pC9pVqm4vAq6PqtE^GjSa85{$O%iYc_P|->8oZqRvk<$vo5j+hPQLxlLdY zAR02U<%`DBY+t=Y=fueq(fOX7zCPNvl-METx=TDjAQatH{POu8pqBN1!0Yt|H*{-q zabYZ7F|_f%Y%CH3#PJuPf^pJ67+Fb*{JGcbq2XWz%wnueL>;oWz8lDLQMIqC;;y=4 z8vCny4zQp2Y6emo5+=KmYR7^;*}3}sYVj$u z;*67EHH*K7dJ?kss6La1M*91*-q;_%sKlf79GcM3j!osq(fRB~&S9IH&2%q+`rMqw zhtM<4ob_her|Sm@Yz{p8TgQU7FhETOUJM$B;&gEd1p>3iiWmRd^zh~dZc=Kl&`r%m zB%GnQ8=cAM*sz*RHrVqmQUj$cs$PqX`kai6>^#x$)Wy}(Rd2v2l8|W`f+J0zzL@{Y zkPU>#{(=SEAl)k+!RJA~n5xY~y+vvktLsfrxDe|9ikj8`$jBDGr8HSg_&;$s+O|xH5$A00$5k{P)H&lM096s6w+4*zrjnKx(9%Q=Y6TfOur*+8-YmiInVX)yO#GDjs>ZQ4mA$Lw z#`NKuh;>xh<6SGhO4s%M`$sR$CPWC@_VA>5*7s#wgdeVgPS=# zw%94%Yc!I8C(CWlxrG|Jap}ZjN@Zc$w%t|SyGrM0Is}Lg@rjd7jo0dXKkV4R*VB$WlM3{l2~R2>iCuCNprN|iZs@3sv7vBmk6 zW2XhR-DsYMjMWR~-iw_FBli6~C#O z^Q#u#?$%ZhUfOHf$nxNoY^f+UQQ9uow$O!aVV~M zf(d_d*@mGmFV;sdl1~^LKg?LI9tyfhhbuUZMj2b)D-@M$-j-;0*yTorl$FaTy87h; zMC#3>*Y_tsjp|ch_jMV=oatWo8Zu60pPz1KURkVQdm&&PU^? z0h8-cVX^D#+~L~dtz$P$=S8}G`$sP1f8Imk_U)&Q_HW^1K5uXht}l%Qnejq*nmVFh z5eoV51#mm0FBmf!@jGn7$>aj@Ms_oxRRN6%ZezP$&~rBM3hhWQ5rgnuZXw6uTX<|ayjpIC%$3yr6Xf(kJP-O;w2_careE!KR7x2weIZ!l->i)Am^n0w zN?&tzGa+Pp8uMVNd8%$CDD8&W6v!iVvf;(RdOBMZERl?WsFSNc$Nd9QO2~sMv6QtR zz47uOuh12p(*(llwdF%t2_WHhZ?+||#F3imu_W|;dW(&}X?o(koIhMg<{0@~ya&x% zAATYd>MB%%FVx0SSMlNP-{cH&b;MZprSq=tj^Q}_3`w53z|svG#ya))9zLEA$vY#( zR2TXvdOr67pmc2XGU zQ)?nBP2m3g?}T^U5!N6rQ`0de|L5vbq3JhCP2DSA#Ho|jv8K5L!4;XVUSQj0@62%_ z89xCi*;JBzeX%;N^NpP>C5*`~3p!n7S`TY(1xe^r4#gH~TJMSRpiAO4E@d8mv8U6< zw0-3JX65^qdAquif3na_0vc@bjKz^mIa94-k43sVvlIh2Ci=p{fp1xY?aHCMO*UU( zLDUhTZ9;lw_NKh@a#O=hRuD3iCxJ)PPbGc)y~Lc`e*MlaSxS0p#3X8Y1gG9KGe~ zi|iwZn=lcjt4n5!eqf6k%(FsAy;9bP`t_waXZE~3)0QEfsaW{eQO&{+qnQ5OFCPIL zjDo7PX1nIJdgz?NJ1hJpH{qL6Rlr2oAjc1rS?cgd!B6}XBp#KWGbYpr^(-MlTw#{X zsKVzQpHn#V8A`J;?mpjQYavhTDZL&`wnpyN+0nENDjmqT8!ptr#8W?*6It49r*O$-wK1v+3qQ>A zi#L8FXiT8b(FvprXhwbslho&acQB*l_&$CXmxerAZM&tl)S)u-vm=xKlTXzj?9e|7 z;;`M`M|L}Hdw#(PtTiq)XL=~LIq~io$HL}j?s}4%Fu;h{p+z-|yJ9lfw=q2{cklnU zhuwT%gcfB`^kijYmRW^$MsmF>@*72fe8Nd~8^@=1(sh5{CH`pjz)X_E$43R3CQ?3| zSWz2^keL65=N7XN@b<8m@@I6pR;tvua zSYEz&I$e*4&i=d(?^IB}wQ)GW3(339t&2FvrilvQN|l}Q0z z_E|EW5%PyQp}YkT*wK3>F+0ZArobOaIQp-Yo9|(v`)zIxMwV%GYHEMpnS1Lo`t)E-jcWq4#zxS`lv^%!stlMp{XJLMR@|DnY zYQnG8MU-@|(x}dPxz#yTo^B*s0#DyS&O}g2%Dm{|k9G;ALSoywElg5c|NE<^*4)C{ z9ZM>ajcI=nDn4kCVvc5&fE>{J#yIw?8Gj82T=T^eeD{!0h!6GoLH!O=Zoe=Ag7gi%zD&j$?LeFA2o{EnoVU)!jrhIET7kz32Bj3AuC` zTCiI>jo)8*zOh~?YUYar= z6zh0T|KSs5Ki)-550A-g35dOtgAQ4}X@k1{uKC-eRsh=vO4zNZD*5@C%jsTU~KM)2XJJZ zHS%b{4Nk>MdAiknLk}SK+`V=A5_wK;dL3ONUa9QmJe>+rAml7Kc|y zj^W>IM+4mKgZJI9ep0vP3Bc707SV9{^Jlq>@w4%G(cSyIUd{6?elg%+Dv%)mjRb(O>|ic$USd z=5^nsjjZvpQ4>qk#Q0;?6*7Q}r`t>NpE}#E0#8>p;t$m8V(8BTHf!7UWdU4FlEpV7 zjA7_skeDJJcR}h>R7^=6yD)z~ZdXq zev#B3UcBAa+%j!a=8v8@g{qu4E)ILO!_=74gSS+XGrYy9;)bWuq34o=0p|dgV3%*@ z70Pr$%Y%Q^U-{X zI>?HB{kuBj516-igOv(hrG%2e-t8JssvG1(X(h@&CO_}DXZPRxf!jh(whd58N%%8B zX9XUO&CxVxZ|0^D?dXVV&-( zjEER{mH*Mjt{Z304y6>jr-;F)`fF1^Nl+`ImIt$Jjcw4hjFI9D+r8&xGC1M+cr=}a z913#@^pa)Vv)g+LPB&&*GER`lzY^^_h#h)9)yNCP$?4OUt;J>t7gJ&wp zZ#&&8@7iQCJpE|fj`QZkSfA>QfT8|SmHsC1s|o0oyBRy8&@N)e+2+t5L(r|z#4X}w zqbWRwMj5aPj}TRt?sqk2p!cMQy&FtP)7}_l4S_I)tK#;aMO3#T8SnKp<|qnGn#NnKzVioOh#E`Qd{vojNT|xQLIPGr_rp~M{u!lE-3?bLpPy`Y>m<$ zfPSq(Dm2;k;cErIcc$ScmnJ?b`aDnYR?=x#x%|uRzb=}mr%{IfCx0YgTn4LK@b7{_ zrT^JWBz*qkc+QT6YEsn(%{6`@S>g4lHd!p@Dactd*AN>X8}V(=5C7o(=K$FVhE{Bf zcISz;xep_x84HNa$J8>rb=@911EyD_Z#Pu{*SYKX)$NZYa{fBPQi?zxh<%|0D zbbd_|t_5`ctbvCqx%m%+$~NrNoSIu2YwP3}K>Z*r2WyHN>$pFDXdSYYzS)beW2M3BjoRRn?GEM?OEOYYzDsKhTR zt4)v9UIDD}gj%XNu%SDxJfQn@g05ui3a4b0PmM zfe6}>$_&%WJhlu0|HSYwn`}u{owL5}nyTn3pUm%l8s!j9zKLQJ4skg3Fhvyg!1qc0i^v%&@xPuI^riRz`JlW( z_C2c=x;al7idUCwtLQ#{nMYu{lWtVv->^}d|Ncft`Z|w03SZ5VJ9BWLzkyJTn=lTZ z)nUFm!r^^T&qZ{bGTw~`u~o<)6c`(56{e|@!^VcHPl~-$4`(5YZ&O!HY2SyhfT?V2 zntLCgo1F~nz%)Y07dA2On;~uCj%w=+ zD`24r9;A+Njj$%jArT1br5dOZ@K03kZuq&?@xZMsxhf|v)cwKvTXFU&{FQ)obH8#U za1_A5`GJN?Ec3ajc4hvp!jl$ZXvDOZ+c9sqr_Iq<3S#$cp($MI=x?ivID#FnTx|LW z4=xsX1;M3F_I80&3p}dYx(8#>A}kAD9knr*Q%A$<8tD@3XM2hTM_ZKtL(^4;Mb&oQ zA*2~Pr9rwux=~WPr9lLwyE~O`6r@{Px?u=uDd`xxQ()-t;PZax;s-xq;>3Ngwf0(j z<2i_yT$0pzoE1T_(wcl6K9NZJ85H6?=*#lsDxA_z(bL6T&yC1OGai`*ta?Cc6u}f* zc;?}Fjj!L{KQLD~N9MF}``>I8*#WL>w9b__^1y7nrJ*?>9Ort<2_k0Q9IK+4`P)hXzjHZBlPfXaJPQ%-EBlp#hdA~=R{9#2elOfN? zjvY|IQb$H4wy(t`F<=8`75gylWb_dUS6(tz-(`1jUL(ckb(vU5KFc=q&x3$~j31)( zyIu@*Z#&hQx#xX%)1ZG1g;jLA9<2UDC?fw#8y$Vo^^VqCIHe*l9ZBtRumG4ae7nKm zWjp_#B7^HQj>W6P&NX-IKcwe8JS3Ba>Cg8dP&9y5SVFu7v9H=gyHw51S>8?xNUdS1 zbeAHQwcSo)!$Ak}Vy#G9{BA!cB1t*sHN=j0w54-IQ}*Q~Do+~+v$Ql&Nly{$sP#{j zk!BY`dXKk8j@|G;C#1jA+y<1L%ZpNba0|(gygAXyG*@-ePd~gTR;DePmR6+c-Z3(g zT3wHQcc9iiDJ{DA(?(t zE!>^|`gQX5_JR?|lH0_Br*Z}6#{TqRKT1LT#88gvU5Cnn%;&@+wp~}Pp_d{JAYSU< zyh`)6Ui0G6$ILQz_#Pl5>F+gbK*7y_15Bkzbf{S90(4Jpa?vrs-nwI^K?`^rOaU_= z02Rdn6I2c0h5AD&-hJffQ**ua0@#W-82~U2xVF!3&hi2OKYhnlzccV$$1+$dL4k`6 zI~WrstEhz9Bn`lywpIw=+`eS-bp8WOvgOWlsCZB5*)9d2?PGzeGLru8I+lDcpyP{6 zOpMIq>zLfa@1H-BE{|Ys^fK{F&NGpZM96`u(>X z4SW8#o(sW}iPx__)((o^+|hcqd@KPKEL=||Ku7uau%iFLG^ZKte{n8E8w%nE4A$32 zIThcFGX0Swq~FbcM(Yk3`8qVasyjG!uxh4!l4Y$g)=2fLTtI!$q49VPKm|Z9a_gsG z;8%vl$6!By{I(!13hqZLUmG6j_N6)mYE3tN6_E@6(Z{S$)O+Bc`$M(yCRT$jD*CUh zRn!0xzt+#BbXqpF|Ld){*xk9A822Z}B!~U}tDifSw+lQpyg;Dw4j4=48)pblQk_zF zm(uGtBZJBRNWTapaVy-YOQFXY4e|lVHL&bGKV*+>e*EJnE8|ZcmSQVOb{pXO{%__3 zUfaxxV4U2u&g-SIG$TD3MH)#B5oK-OFBapy`ySuaPc_}8+Zx!){VirJu)h|X#tRIV zz}=yR|F!9A`k-sK{+T-Ys`{KVIUKvxqNKJiIb@#PA8>Xq3FheJ7^XkzKG0udl&u54 zDaN6(A{8~+76Mn!Dx(*1YWh+A87k0`5O+b}`DDPa%ULhW56E|BS(&e&S^S1R(42Vr zwZr*Df;7N&5VPJl#~Rx&^v{PQJ+CMraf8Os=72%osmH48x3_1xHCvo=wp9|=GoJ=R zJ&l7Amjf#2-)9_Jenmv2mah-$X*^S=EThIj3jy`MMr{W7IqQ@T{G0icM zVhm?t*nrxiFz_Wi3nN90N35~0?|-aG=s%F}i%#R>R7Fbqw=z8XZM3U6-L!+0Uir)Y zL!g2}dcdM`c7N;#5SZF3KH_f$lXRG5C1npJQs#hQ{CK`1{HvNMVkPEBfT;yrgSz2C z_3<#0UL}zf6&;Gw!m6>3kLLe-0orL^M=hytzES_BNDr}!0QtH3{45N8LFX{@1)J1z z|0Qg*=dJIDY1Qq&9iInMtG14&ET_h%Qe>Qz`8===rL|mm+(y~TD?|p5U5ErUR)6K- zGGv8w$`Q5tW?|-3-=-86;u`3+K?$U+@2qv zNb>nP^-NY;2DjGy{mv6;e7wSM1$q)X{8&qi(+3Gsj|HhuqDP%#zfW}MCMXsYaK$d( zC-t%#RM0@^rlRz>Flw*<6+z@q3E~_??sjRtf@>O#*s?!|0&y_Ab7(jICggf&Y%O5r zHHTYJCA`ss$LUZ4nEysTBJ2+M?&rGUZhK^M|DxVnN~3`B00Y=ifk@nYfMv(Ch%=ut zgn zqLX@fj}@O07?K!IyxvXVqdmo+&5#{0UUQxP3qOfHsNuLj^pkpOsew|xyFL9~Rz$Le z*8chjL(y!nL2B|WgPIk3@>^GCDaIA41q8tJ1K90MpX1eAvjE{iyEj?Z7y0Rr=K^#K zOEQlro-Jb9?|Dg~xa<4(E&tx>eWf4ZRjUBJ5A=+MoySYVF2dJFuP1Yhn!_lU`KH{L z84+y#=~yhw+%FpTQ!0nM1j^tvwgy=^0QjGt)F;Y+-KbPT=W46MK-=P)OUE(be|6Q- zF1R`uS-Ipe{#k};WN00T{c|^}s0e+uY?jxE6S;PfKQD=fe&DL$4aI&wm2qsw<~CGy z%3{Rfyo*v|zC15(PCpY7seMb6E+=OLZ-0CJ3;dO8gpkU zD~Ey)NwxMS%HDUt77`^UO>MnMu#{h}qG7tYIRd5O(nK&|z=EAdcJuZFxke`zm8#WV zqJg*;Gj9ELRI#(km+X^J2VmgnFrXoEefEoAZEp`ryP|0MR3NQo&LjR^e6c>kN>N9? z{;8et$eZmq7tnhFOrEa2)a>U2^UttYAZbp;h6k(xpYNV?q&HL+ESr$pdJ!R7(eqs6 z;@EOA@AYrVt$=CeNtQZ&`G+vTfH`7Z)4jb4q(9R2nDfv-K;p7h&j7I-khnyjRd1AG zzue22+Jp*XX2k1$nQsiyxQ%F~!yUnzc22D-?h7;!8Fdo-*P2xrCzi%%N1uL=wjpkH zSHvDCJGOA%LcO&RPq8~P2IkW8ZDNeNt5inukEeS7|V$*!>eWT$((S+U24;iG{L zQB}&Q8-s8DK;#xE%Ya~~r_>@f&g8~q;_B4Fndb|go(DrNDgFC{0Ij-iZ-@^1F5D~^ zVZ1Cl+kj=Z7(x`Dc%SWY*qm1Yd9s}!<1dOfcb(;?Fl_h+?DhcS@#8DWDA|xcnzf@I z^fgy)ViS_nxL$Q^+##F2yK8VBl%=E0=Pt#VSs8jY)Mf31Grhexf{=AH^a^s&x30cT zT2B%D0k$B0`q0eNbaJ^>k%)zURgilVk92eTlfUu2hD(}hba=x%h#nD;sQ`URt2GG> z->vbpDDbq7t)j8C%DBk(;?GJ_>7Bhd_tKE`C(@_g0)=Pf*{1OyM%WFs4jO|=l9mmp zF3KvI_{djhCrrAZF>*fqVL@kMVtd+@2@Y2iV3!YnNXLkW+bzFz zP8UEfTCXpFtV~f#@s)&8k-6<;vx;3^s{JLKgHKK!a*p+J3$6rUe&Hu%E4EY%igJZj zwK^1XvY;CV+qc|Jg_^$H0JIY?|JjaV8uIPG$K62I#5`AC2=w6-sY8~);O7Nzf4^&k z*3t4aoQcqJ#)21y3R6u5I239qQB-nqts+b*-o1x9S?s0x8TOn?;?$GkNHLw-r1tuZ z6@%6Naa;a6cooB^q+ay{Ihqzt=y~~L1hoVtlN=C?pXZUiucX;z)k&HxUN40ILP(T>wX-aC>YN_-+2G_jj)qd@fC;v9rig6NZ9 z0lSc_TWU`wH_!t0oMMsxDz+fPE}+>|op07<(LFg8!%$2F?+=wJa| zKN7MNx&hEK#?N<_Q6bDY>=MmNO2LG3s*^^pv=G4A#5pztDDKUQUT-t`rXoamSwiceRs znI!r74^VtBb7^o?b+mmy^V-sQeimF7!AXH<64{Uy+8AD$)78oM+&_+iB_OkMMqZVG>NY?XZ>QBq$_wm#;ATJcBl>O^kyK~F)dpdVkv35mg z-X!2to`wTqgV>x5jjsM&Y@EBC$`m7yUFF1+IXeL;1@sqGfIWkt6F&B}}dfd&liYU@H{G+HH( zw2OYN0p0lS`9HTE9??a#5XH8_p%6gy-20ryilr=A;J}W`Ec>OI=67{5?>6xsM+A28 z9evz3!^?)#wL~r73+8yN)pYLIh18Ee`F^5O(vGKQ1S;uS#)%1Fpee?$0ArfH_@snx zJRbU>ULItmx@HAug@jG=gu{mou*cE@(hG((>KR8JqmXm#l9rJ#C4 zs2(?(ssf{x;$4bIKzc6NRvrk311UjYXv$_dU5I?dSzFz8VEfnR70$f!P+?%It*0H^ zs$P2nV16}`a4If1t9UScH+uu?;U_Net^$PD?9pr`C;Qj~l2MU)V-2rN%RdesGzHQ& zD7G#a4!uNXhzlEvm)KJ>=uT27vutIW+=-WV)|Yk(AAAcEC2h>7IcQNxgF|swjbo=T z04E>u+giSj}AWl&V?3=XP><(fNG863axRWGC-v&NJM&cc^AZ4`MM;->lG%Z zH0E*wRMf(C#HLUh(dygkbhaAUcGl1H+(ez`4e#ajY`dNFIVk}CaFW~ffF(#$j0ycFzj$pJGzTYgzEG^Bm1IIA>=L2Gjv=T%ITnZR(haLBs ztthN~1n=Eu6nx}pM}g#WH_riIp^}*IN83-M5ey%dKKeFRPV@3#C`WBgak* zkIwU=wO`d2LgX?u-V(Qz}Y$O%MXK^$L(E`Sq z0*MUTwoR<(k|?_FO~CKv`2kL{pEAt-b7z^*YPkgs49%0kSU}fpmOJqI4{f=KLo2#? zSH@o>>p`U(hAzH8PD<*wA9+`jW(~sc9R8EY+@GItYN>n;9kR09!<60x0PFsJzgVSH zQUK{%R|YDvx@AZ$CPA@0j6QVU7BB0E!-)|Y>#=vRxbkuqMMx1Mzpqj~?frG zgaK(GJcyz4Op}g{|DPJ=qeTfJPrvrN^3;q@O2C@nXLo9p5bf&e+W+R+^8~E3Kn0$1 z-;C`GCMt6HmnsV%O4sGix3N~={3wX7L(!ve8}>y^y*C{Pv9SrlA-|Tak}0cJM}U4^ z0C@p=SO6yM)`K2i`rr6bXN9w=cXAO=9X{+~dV21iKr|BDIsvQ!=s19a+#rt}Sjz8a zzL%S?lk8f3+mL&MJV(7msYjN&)r6|p8`XuPlq9i8r6+pInVZPX1&-bb#USg8R31XWo zNr7}x(OK^Z6IHbTe)eL8N2Yl}@11|mdIR6J4`i7;(=i((K?L*Z?$r$OyA=-&!`B$k zeGssZo|zJk)OtrNbC~>F-Jl-J5q+TpTiS3}j`GZclouK{+`+YlzIf`e4<$~B-CL>z zYCrRisaJt4EnCdaVO^I7;vQQVzX2Pv?sX7eE#@p6Yrq+9DE%R3aZ9(5Yfb-KA>RwM zc<^clPsqx5w5gE~cil#B(1#f_@aRKxxCl26qO^biDCv?G8p-EmnR2YKD)?|K`&+l^ z#NLx0XAcV8h5*GBG@hf8s2`epni)@Oc_J$XTs=mmDF3MU#T zt){e`VUZPvubJgZ2_t(~oGDGn&?%*D6^q z0v3xRIkM3tq1)1?(^+WdOR$=UXVN4g5z7-j@Ico$e9zAE0ghQEaW zSwk;_{?Srtetx2T1w+|H)%y$^_*uWX(BuZ~kS?L-er1SWHA$;1Q(G~65k$!P5l_7t z;V9MgIQ@5*8-wA1fMjDE1Qm|t8=ifNbUpc+VSpP8bu&wF&Deh>wb(lS3Rt6@)KDPWyfgn zTz8F)jtpwB25CQ}9bPmv(L_h5T2=O5tF!F(!Q`Th>cj}uu#lC{dIZj-+ga4-&>5NHl9=2bb7J@OQaH`r zw$$7;Cr3_q>^SpxNE4nC)7#0}ZA3MQU`J*iA*S6o^5|fD-@F0w&s*ebQnh!%< zJ?Y`l4i)8YHw(!nT7FEXAtVRID2^i39AZi|u(i`iIN$rzB&Ea&%14T7R zH`V1;AN~odH3t0_SJ|e?R`nG5JM4LJjF&spe6C)r9%IDyO-L~yLnmBSIFY>GR-v$pvy}Zyho4taNy{#kKhxriuTmCZNWGp%yk)= zYM0*Vn*V&}^_f8;fF^9^1N;EH$J#qfew4sqD}1I;)EuPU$wO%P+iwzxxJ+?ryBOfB zh%6#V+6H8p+z2hNQt8fyp|P{aCJqO0UHkFe1s_dP*IK{3V~gmJZ_=KP#S%)eF}E^b z6BZ{=wHFU<9;?uOoiH8yYsw?!yK%fYp=z)x=FQ3~k*DNmXMeJ@@ERk2><>X&g5kfrYxJ~wWIl7!H z6LGTIiB{O$4BIdk`H^hpne)k&G<|&>@;4q$4{#eV6%#BSK}Cwuh;6QAtfQF*WKods z#`c6CHQ=WQa`*K#6GOfGsS5nJP~M1)LnsDnr?W8HjGZ4CqYEpG3jze3b#bNR$;N`G zJ4x6kOBKOVC=~kKl&dSXJhU4j@+cHv`O)J>GPZs|PG}QT!v>6uk`gAUIdHpo?Dc!H z6}y{Vx9qU?t+Cuz2?dw85pS&js9>z$lNTiCaeVDfc@${KcIexB6#EONOQ8Hrm8Fo& zni_GNNAs?n@hvOE7Xu!6Q!1DKyr+|>k&~3*OGC8%FXwb0Q25_}vH}i+msXIF{>TUy zMdQHg??l+D3duT181TBuR0?zKMUp7$$!0svg!ki*5P{$BsDy=#gQJp$YB*?3LDXj0 ze;L^R(&NJRjuEVm_QKvWkt%$tt~2GONaqFDSmA>%_OtVBcT`rmoM%JkE|_!*Fy+CQ z@L!<@I&tL3BUIrW1;yDALu5W^L5^nV)P}}VybmEWa!@qH&;ta^ATojsq^*Ps=9ir| z*Js$~L&}_DJU^_krcIc>ogxHQ^P8eL0BA_F(-C@ z!xp}k&n+5DLGo6n(xOOv%u;kP$)5{NC$bF zg@%sL&7uLZz-6S#cqoM#Z5YiTjiZ0Pp z>$tAK(2(63$n$-9cQ=j}=x=7U%-Ci=38CV#D5?>UqHZbc z5-Pj7nt9l~T=~=-DeiOo0)JSjV+}Iov7n?j$&lX3TqGkI;!w zucHs?-s#Un3k@gcuzbW5V&nm8-g?eejU88aA->h#Q6KCa7id-Pw73fb1#r9Jx;Hk= z%OHb12a-_*yqh6Lp`fnTBBh?NV+voNVP)Tqu|>|JI%2}kv9+WSRrxd{k^(W)AJlT- z^#UJTCbL?0R`iark~V>@eku?Q_QS?dCZf1`BDaicd*y-t(0iao!}9EiO;}1r$oG0B zg;-0sizrFQL%otzyk`u5)6Ur7E%d3j6MgfPj)eUMdW7prU-{v17ta_fv;&v*?n~0O zQqJb-U+NRs*)O|QnKjK;vC1gC!Ms%H{-OmlKp zmg8>>DV$x&Z%l}C2EJw(UrW2gf(q+TuN7q^RfbK2~6}o1eK?VEOBM3Xc zwS#T`a9F!wv3DY&9J;u0TAV{-CD9;a96zDOFr!MSJ#Fmt8RZii`BC8%4IZ|yU9~&L zqtz)My{>D3W@I$x^C$E!Zw##GqwnNYmT=kt%8yHRfhlh)Z*>3N&S-D!MTO_y9BSJE zQD+}p%q8qJc>Y(^8~6k0y&c90|9_W^61Zf!30p>TI=^5w{>@5`P8n`cc9Wfi_xcif5a zLnW(Q#r+6!{UJXDQ&e~s_7EhA;p;mH@4G(ZCbj|5;j?XDnQ1NKL~$Y^H=8IK-gT^> z$dFstsH%Y69NV|K?7vwO?I5YyJ$?5IQ;M^y66s?9lVfc@p7^34=;uw`m>4?bx1ug&cbxirt%DPWO_$sfyktmc?Hru$}ToAZ!@p4?n zo`OX|8s7~)eMjk+_-jaRCQzPF%>cXG8plVKp9gP21+3^m^$bltHLNF-Ec5uVCrz6j z())#*jukyeVwx9QrSMdz^N~C?jyy!Len!`Cowp&|rq42sW6X+MB;HjRX*8;Ayjgd; zN4&}?j&i9J4Zn-Q*g^(5@Uqygcx-)Yn2;$N96O*t!_{X?zr4lpG<^~;Rpn(c&X``VAvvwkXp6gwEY z&OAQCNtnxXiFLSAyBk^cT{j;0r2gDFJ1az2{U$7+T(4n{2^=vtsCJ&eiO)>b5a}GQ z-9wT2G;ll=p6u@I+q~EDA0M~9bSF7)zfF(QApt;xf`4XqefXep-67ywNG#xvjd42{ zuTTI3``Xwrh%}V{F$i#pAi+DLt)4T$FgD7O!9fuh30!5vsBUVgh`N8x*>>yneJVikkSoax{#=Afq7=AW)N_i^6QLu9O? zlh<(Wqg!--pl6 z(q`AuZTO+%AC=x-OTY*G`uAWqoX7xdq>n%mu9~yWxzlL@0UJzt|L~<{g>C-|+cW{y zzvhI>zxfGU%zKJ#X<^Q>qPOqx+b7?R%Ei;=3gNRyOQU|^cI{6tLla)U9INB#Z5~Kp zN6Pp|N!$Yeqa+7iZleMBpB!54v+0~+m1JkCS)`tx!oK-KJX`D zQrn#G#O70G)gY0LN)!&lB0h@+PM&+asQBC4Yd+T%h>WL46~gg(`1w(p8gKxP0)bjN z40UmiST1;^4VES1Xl;rqH`{tY`+z^Vp+*%x~f z2M{NM8WD=IDMRszlezanT{-w=awYhzbp_VCOQn>*=fzEal+#k^3;~n%Sck2tAx*at zFWR<*(N*CUW^#@C%yfyhF{E+^Bo`X0qDKO(Et5NHNRD-(0BOY1(WMZ3?xLisAv( zQn_U{Kc-A??!6^74Ny(ITL8mL)le`Za_+R93A6>?)ZiWA`VLiSSU~70&!#dmbzriJ zBj9O+R-!8DE~z3%sTY*IfFG=>u)>mGE$>t{?lh}CKgV=fwGe6m-Jgyc&iOyWceoEY zPhVU^>)#mB1$TCOiTQhM3Ow%ByTM?IVY-^e=v^en4Oj}1_6*USBRQ2SBL5gqz<-Qq zOCJ_F`1%6;SUNjP3v91_DZ{FXFDVMwKhb<5e%_NAhll%&#V>FlkL*11c=>1fL{xz; zjgMl8G~Zjya_**%#yh@Sxampize`=Wj}T~uKH9@3CYQ~HLG8bn(Lt~u^Ha9wlg4A; zVr7kuLWp8ByEccMiF> z;8j|u+WbwGgb2|>!EaM?U(q=YTv<{^c9v4MiUwwWSad*1B>blOL%x7naE+33Phe6~ zFG3aEc*a>l23neM;)ecG)lsMJcJ`DGKyvhCuF0{-C!CH^Pri*nMWbTUd*#=X7u&(4 z^#R*YyUkDZVHU*G65uloCTm^#%u)5;U8_p_LvU+9e=aWvk?~>^WQo8)@cKSm|JFYR2*i`D)Exx@XZEKW{B|~X>Yt8mpmCd5t4`_u@TfD zo5G8%?DsPQq<7ydgq>P`q8AIdo{q9D!hsM4e%aON}-^+EX69KikoGbe~TmzHM7N%z?LsREcN9*g*o!u$=Z0i zLg2@fg6VrUm7FdilBZzmz??-PR8B3*<(F6OM)i~zi6oini6_tyu%jdA*Tr;f`y8Oj zQgqr4y73F4u$EOp%%h0bSY9@)?-qiI!=d+m+A>Wj2TA{-MBLlnknr&J_kofaB7Ucw zu?@!kY1+#|wrVWl=vbqf;VVIOE7v26utDKtnclTK?KjluawZ~|{gb$vM~Cp#hq|2} z_A*_uaZ#=3&IX=3Vl+*28m9bgZBa+~^{4qqty~@D_$=RE;;7J{^(1V}`P7ISpoU1u z29OOji$}!zyOTp(7u}&6jjX}e*!PZ$`tB>CDN;0BhlvlqCz62bAuGun9I)JxG5dY} zv~akDg}Ha@(I3?HbSNBJnnFOVuN_@wH}~;G0j!(qH{t^+1sr<_2-;{kW_g?p$fzt~ zCDv_Aub+jVi zu{W6e8)AZT0N{YN>Wf}ug%}`WN8>z-;@`1Dwczb@1`TuZMdu|6FNWY$KPoUKM4KOD zAoUW^?vUsZc4#-0JjwaflObkGPjls9Nc9M2t}=H%`bHx;%jpmyW?Kew3q~F^d6$qG z$$$PCTF+Q>b8mrt_aV#<`OHyu5Qbg$Bgb{F(eoaARu5L$WK5(v#IP`Z$DNM`W{9)3 z%+oZmIdf@RoO$ZeWXs*+olqux^?0?$Il24g(ojxEGSj=w^Dp9`?_yC^l`WUyoGrt; z0^ay5;tuqW(8AfXY^;?iUAkbpLO8#)!Q?-((f_t>tq8HRf2{E1T_`qLyX%Xm%Rg`c zQtx?bKl$qPbcqDGTwVNp?WiH3a1Q~z9bVbr!AA33S50@i*9dMF6(5^{xPmh#zhYmWa1=E&TM{@Zlo0^ zPhbI><2q0ady%fGc6?iz+e8e2wREG=%!E-At(fq2GhTe6MW{S_9!}l$B~-zfZ0p8W z$HCj}1-(|Xxu$85pKk_8zKUS0We~1VDeBVA}7ZErzca_-VNPB za>Er-Q&w<;VLuOYTpkre@E2VQv^*RUpIww#B5)lU-y&$6U#ilC_b1NP%Jd!mEA*3FeD7EJ7e6ivLKJBone*0N+Y3%yV z>c@xdl&z!LJpq(}eqK+Cf}K-LE-4dYUkp+-K@|Ppi{`%vB+0<=V^G7*+B%f+G;Q!( z5~NL!Fa@1zw^y#vq$F&Ac!r->r;UOe)mx`owbg=ir7y)JSS??Me{cpxUSb$}ll>tufR z%fT3l>XzNt&cA}j|9O+QD)>*bChiq|5nG%H>jkK_pG#5xXO0H@H*YWy;@I>8k9PE( za*k?;X$8B)ev(VMZGu2ezo&*hh;J&>%p`m0Cy{3H)EwpZdQRi}GTy3={jg|VX)gL) zq+WFWyvMO}5Jm0V0G2(aXT~t|f@`FrqW|wV1yEOQ|3nD~d(Yo}g;@_J;P%o~i*0T@ z%-FY?(9{kts>NOE{hl;hQPExS#d+0Z>IaLOu|cRs%t! z;nmz^4uClUCbikZ}?u zzoCj9v!2S8Kecas3Jl(wsV{$;&o4W4&mKMCY%iKDJ^&^r{esT2Y@bbG&-d)L_EKy} z`sw`j(`sRaWMpwgi28?j1@W54Hw~C@Mu?MRo3BWH|@EJyU!2^3n)vS@G`?o35%NFqgfFCO*&AC*!mR_U)RQ&{@t>3NI+3}%8p9nWpp z>%_4?g9cuE3;?@@ha-zGHN4zlQE*}~mRtE%65DsW@>Sy$V4uyt1RoH>ss?P(j%*Fg z1+WRZwWXzLd32y*&zi_2^T^w=@4i?&Q zEW2aTPjrB|g*hzw-BlkZ)wy1qEH)Eno*efTc8FmVuqJQqBDCj5B^i*f)E2^u>+f_n*!Whu0FwKIm!rNxdpgq?OQ-Z21+90IIho zHO`1q<$$yM)Ook{JBRv6vMUq)nm*CUDWS#iv< z5uZ*f9-7>25&AKxwFO^PA5W9qJFl9Obxz`>pA`E90&{%INoOOGi{I7JzWRVJg|Z^- z!YtP6jc`mnyDQLBKtt#Q(~j#aE@e74nEd-rSr0|n=|1iD_@jREf+;E{1avngBBXZrL0K7`#Wpad9gXiVl=}zzu@>IjCGVnwN7w}kR@-gr~;w# zM5fa7t&x6~o>Cz~EoLXe1QDLuOm16SD3b&_I3rSODpAto zLMc0L2)Col`lqQ4YH~m;0&Ym+t1ci*p;m;8c3{JNC*?S``@YR`8~<)-*5tIaNTr9i z)9-~{`vb5ySk_~gt)0gO>SR5a-7vBIW~9t}LzFBq4USJB+kjTGmfTIrB|1A3BWwIV zrpkVf@RKVx5OM|dQt(E?YDe^}awaM7Nv7Hiv5wIfOfd#uUuRO>9)r-}Mk3S7;+x>m z+SSw-*Y=bI<3e7bn}SfvTe=idQ-6j{hW|W^aKvK& zak)N^+W?PEx{tG(nBF@UfbJx6b^e~L+4a?drSJv5hJ29KLuz z1W!?qiB#66d8;N)K1SWIqASR&B!tZx<=G#cA*-wd^dbWT4OU|=>MRfoONVm!kjd*R zHLZ;d<-|MIk1Uw)s6#e$+Eg%`MOjM(d-+YBOZ=z3P^dkR=9qX>@v#$UJpUHH z7Vu@d``yN*aP~I{@{BtF(&2`zcX~+i{K*!I5?j46IDWbIy%>1&6>d_9D8?c)ZRHE;8pW)SWY7?BDohHHK77Iwdar^K zPsw#-d8wppM#+sOM?@4^=!&~u)on}^d>jNP$}vrhi}5y_mIfoY#r$W7qUY+hhoU87 z!rI0{ImVtqCqta5f223!{D!O+vQC1s=>28#K#6#WO*E3zoVPl99_h}pklbrb?=O4%G{nN_{ zD}TNzeiM`a&CoUPH9s2U@!Z`b0_2$}Pk}z$-wTUT933@Ys!6X1vIITxt0=_3Ub=XF zL`2`WsoAncf9eM>edM^h(>OLiFg!zKHuG8%fM(}>A^yu+De6S%%tsu;LT;+zF8+5} zKL#3Dc0wB3wJAOpoD_22p%l4%jrq34bmxqNh8!$FmfSRXbVe)1+A@TlOQ1&*&S9t~ z6HhitjIp^E^^PFIcnl<{38*I+xG;7ul%% zSj7<=oe8k~-@fJ|kBJE)KNI+{hLoXS9r|PKsoirMEc`d1Fe?tw!QA%<)lzxUMR1!A<(bW zAGrqc^!T#`BkmYtrLVg{;KrXDEh>}((K8In2%xL_dIV6GutksCB>aE^ryt5GI0ff#Q!}d1DmL@iL2$d=%(*jj=r?_n-%SzR@=Py zzTA%P49683$e>G)62UR$A79=JV5v4>s2L_kZa_6O&mS>1G9K%uR(>^AYDV-K*#+fX(uE01)p1x>JncA+|M#*H7(u1s}3=2P-84f z3=_dt33DXW3@d|9rJS)ZdB|ClsQ35eGD4I(Ybj+6szqT56YCor2dsBe)djg=ydgz3 zoG7T22S205n8-jXetuCkHj$6F1l%+cQC@Bd%GQmS)xiP63srsHz?xTmk;UqAYUqS7 zoN4JP(gnakQWWWT-+fd{H$JnWa3`GD4C*Y3xO0@Nw{p(b}0ZQl2E?AMnYz;w<_Qv04T=19`5-h(p%}qrBlow*e@vpv;D+v_rc?G@=E7baL z-_Q>57@1f`rNw>klKxplGr-H^|54Kv&TIk&5Fh}jO|L=38O(L-<_0z|e9~8$%2!Yl zR#W(@3rAv_g3jjL2JiJ|C6khmo+CG>s!7vi!5iPqzIw&G|>AD~kitwstly zZ1_#xF{7rr`&b*NX!Mq*igGGZm{)8hoLB2TA_)8zo$wizDdje3@xV7XfoDmvkPNXy zG7;P03RHb^J;ym-(-!AP0?lyEC~U#dj@-2zN57X{I7KWD8R5=|y9&M(tjLERRe7Ut z8PQ0`5#o`iqVYKhX1|xC5%b_DcB4KewrKR<~o)$IZwKcKa;8;smGFZ4)d?i~h`WwF0yN=$u$ zfaqfL<5`FW%qP_)Vfck|XTP0|I0%xT($=?h(RiXz6w?yv|CX|D=MmP&*D~TVX%FDn zXMX0XlK%2u?mZzc(~t_d$!2JB{-TUKG!u1LYEpsPLN7<1B>BCZUNGd`NtJoF8+tlS zUEB&uQdjWu{xWFVEZDM+pey#r=@@8eIa2kbrivN`tWbI)=C&iz!yr3Z>q`c2Dcm}d z{m$&EPZ4M?Lv1R5gj3ywO|i>X#a^n3{CG$-Yu69dnRREDB41(V*OWEmJl&<#-+O&( zob_lt?DDZx>KpmSyqSKeG7Vt7wU-&Iy#Oa;KIo1VeM-J9Bt~8lAtdn2sMSTQL~`ly z7|PLeQr)}3rxf24@HJ(8+w!8dl3mcVdEUu$vpU=Irj5*c3v{PEtx>^J=@7MG0dtf0 z$|$hLiaI~-WCqKFOWa;XOlvw7Fxm>ygT=tVS`?kEHasxqwdWs7EC^2~Rg=_Cuv5D~1q$`(I39M$PQ8(#W@fYOtd;|Cw!i`_yN=~%M_ zu&_RosV5_|grZJ%`?bZ{i|X{qp=uC=VNH6D<3Q3i+X~E55SZO(aYqoZmX#f+==X3O z#{A~Dz$cYoeo-&>S(V!l*o(8K6Od2eP2ap(!P{3eL0_2Pyde2x65qMsd0mReVhy{kfxqw?xmPSEB30!UAwxdiJp zvWCi%tRAaHS>M$X=%2>IrHP+5JJM1iv>D43jzdng z2w+b^&AsW@;Xe~08c(W*pU<|`(&UKH&r}VAkoK9&+f81YmrieI0e2xlpax4+KIA*p zXHE|mCr+X5fF=*nK&T-MK@oHgj zi46}|B{Q_Og1)}ig3Y1-NT}X4^x3~bEsF@iJ^n>q@e%&5Tk0d6VjBo-y%oU;F2zt| zczaVO1h@ygcrh|Jz*HQ-g3rj0Ou%I+X@VOP(dBYIBQk~h`O|`q;XQ%v$^X@M)?ZP5 z;Tk8D8ER+*9J)gkF&OFYEKk$xU$YLE+;WB+T)9e3hvn0iDs70BDAHn#3+P^~k5 z&pi9yc#AXD!Z`tKNo@5v3f^<@vMtmz72J+PZKXi zNcdrZ>uLVy_F*&AH!kM0Q4Lpe9@bIb4HfbI{k?)i@z){6{qX$kqf5wmymar58f3-U zg;Lu)HFJ-~3a-bvchzaVmJM;5pbCmYrpvl4Mj{rA&s2{Fzgf1gf5!HfH_kXOlz87P|4xOy!cKL) zazBW4d^Pu$cW!do+kLZ^-q&R`W71noin_muO9NtEcM0&RR<PWmFwZE0=2Xy<~miOpv`OK)`GVAUkJ?kAg;j!dYa>-W` z+xz0Od81s@w09-Yp{J)BM7Ewyq{~oMyH3mlzEg)Vc-ytWJ@ZAFBV&pBKn`)Z9?39^ z00LULL;Gs`udt5sZDpAUs+`4GshY+J-il_)<)-zd;1$&ZL9q*?z3Mv>X6wx-uP+q} zbL}akm7Mds5xX+9Ik-CiPB{gxDkKaR43AMh>B(GbXYlo=;lsd(!kF^amkAXSJ|;Yc}S7FdpRFxHjd1*e>6WO!Ke z#FpP~tfPK3_|?)5rFgcwpz8|j7^Y)wxp~AaXRgbh9Ahhfsm`= z5!HQADge|@0xeh9#W$NhcT-{l#NS^dmc~p@UEV%llqY>Ued#}O z(Cg0P6Uguoa&+Dloq`#xsA$hx%D^-sMs-1PE}edRctskWXEHjJp;DJ^0hICmO(lFw zj}L1M`+&d2-YpU(C&>urPT``E7Vnu@!k3h$&A9;H(sc$ zuOmj9Q$9b7r8LJ6Xss~CU6?nkeEX$3RQ2?)FRS;(@oyp03!#Q`zFSZ9{^6_gIi5p9 zc+=dG#qZWd)k>1L%Pek;(LNmnfLN|ICCxW9PSl?<-+U~Jj zo8D<013xI-cV#RYhS8m4rO2~IZI+gH7dsFj1i}S_akf;Zy?mtf7js3Sn@@=Eih*?& zGcnlkhOXZR1Q5hcO?R;yG1!HmU*(}d^HW--G`>-{_=|B~(B^OOgZMadrE7L;7)HE0 zqD8*`{+WP$Ao}DvZ{-5jhY7w`?>%Do4q%jTN+-wfO8ux{PC66?prdZF(;rVsOyJ+o zPJnIV$w90E52TsuT`X6UNu|&sZE15lSiCB;KIBjXY>H?=PMJvB=tk|& zN-`Ky5|%_j9DhmodG01BY?G=!>n^zFRU)`bc<79kM>hF%J!$aiO8n9lUK+$8^>@nq zz~}9;zKUDr7+e5hX0^4IdW@7@aScIYR}41Q29_!a&*~CyAMhqjj!vzP#$LNR{LC`F zlao4P&kq^!7mwX*QN8|xoMky@5Ib@s4p6l0^vpx@VO&Q zL&cvpQTQ68GuGAi>gJ2MofX;RvO9GI0jhL#!6&C4q7>aecPVjY^{3vG+Rx^*B{z^- zYS1dl#g{na$_#?DIEcE3QO0015~d5-j~9)rr>2 zArzrn$|`D?#wS{draqGY0G;JYSOJ5skZC)A_heKB}p}qT?daF2uYi)k=TN+J66+qSw* z4|jWH`T4$Dnj{xO=&Jx5@aMF-U%lw%?T*L~a)qqd3d=68*iU@r6$zk_JSK4{t>|z0 zU5Q7kJi(!OgKI0)M8*fB$Z+*)#8f5ENt3#9h+4EimcA;@>0#P|pf+RE?$V8RK?w1V zu`o;>#Af~+<2}mtIxXLjT-Y|oIAf;??}bZB@twhCx6q?W^Xwc;xekbBp$;_k`kLH; zrMW6R%7eTpz!sGCdhD+pno~lB38&;6>cjH|qxCe(guC;OP(AeDV_rd_HsY=`qE*CI zl$>QGKpYU8pIxdWQYCDY`ELY#o~^wDPglLEFu~_)pZ5SF^U!|Q1}s_FOY>425wP3i z-&}LIsEJsu)>~HO7!XdI+{O15l<`7p$;#4&!H%^u>d5|n{tk`Sqg1PUVaAEK0HQhF zpt#$eMWrxnNuBJO`kudC0d=8Iyg=ss2f0bA%4hU{SS8H+o&>aTjBUvbZatf8$G=ai zokA`<_rMe3>rW~PUu47|y%kq2^ikT6{xQHmGX}Gj`|<;SE+*Qt)5lcbCik-1DGzBq z|EVjMY)66<(!LzmYD)q(v?Bz$HWnjrqHd)HyRe&QpAm5o%72d*DpasQH`|)N3PYvK%)eORP@fIk!rhC|{mn3X7lUM@zHkEmOKxX0g_ZP90v6`5;YOByK*= zQY{h`q}N=a`Y6SW>IDK0yZr0LOToR+rh?RQWF&z_xKe)>>@fFY-+FM>+hS)vPt(me$$KnxysBaoj^?Dg2eFZ^V*)z zZ2!Es%s`~(k|k*Mji=m8Gcci^py9;Jx?Y5B|wsm>z41c3CDiP_RSQ?h4H^CIa|tS=QLZq*naRfrm{3OcD^$Ug z-4!>U8{T+wTXWILQyW2PWD#6;%hsekw6?$XF#W)((z`m&8_2LmITA4d9=KUCU#i1_ z0jy306VZj&-~PS>K#T%940Kf!8*m?cp7BB=d$uzubp3Ydg#y7Kv($T`V?7HvsnUd) z_pT+7bXYPUPyu2%YVWT`RsM6bx%(bUCBtY=QahXV)ZyInQHT{O_j5OvbW}6+C`CjC zMi9WH_cK72x{Ehf#4z9SjrWbmkIR%)Ok@u+z46;#hUr7Pll&sh=%Mq5&7x%dX0>Zp zdnlgTR;Ql%4<|{bl5I85ESuTc?BQgJ#)*pB8|qw6xm(oljSLGvBwYV~|E@K-K|hMG z+)srO5wT;Z6hin1L-n7{a5?XDlLo`+f;-)Sj6!{~NY$bDDI_go~Z^VCcOD z=MA#$jG@f&eW2jyn0|xCHZ>42pYO7dhvH#d8q!itlNv|M0a5P$)vTl4&)8A~`Xnn&CisZg?u&T%k_$k~>P0C4-e7!v;- zk(UN;mZl+;XX|fzQ*|D{*SMUC;>Na3-N25sxzdxSEqio9FroLqS<24xEi=5ZnG`S9 z>Hx1F>Gx@%1?|dUZmsU}K0Q6~yQVj9EJQ$T$x!Jr=V$)t1RPr$o~gy&75>HL(;}uv zTjH;8ybbZ!7>k8e29d6ylW!vXc3xYC19 zP%gOmyECJ6prAya2o8?O_}x|>93D(r3~MsDXlNaMWsVhWpPNnl9cAh8>aJ0aSk_l` zARcy7(`9ICRJ>%9>9NF}mjd);Z6BTl<+>vpwJEN?CxIvQWi`cExE&z362B8D5bq;n=k7pIU1P{SSQ6syNmkjvzsLXcgFTZ;;-NG{bo!~+#D8H5%!UA3zaDUwLy?624 z7tt?0=DZurL_R`qKdAJ#!z(|Xp1aBs*c)4{uhT@jfp8`uOnivnIA6PQxv4Lr-6|MYOB{hUD?CMd<9mdz1H#!s)j~!bhx5m0BcM=T8 zoqRGQ3f#|<^rsacwLarR6e71+SFr5QyGtasl0d0bfLS3Pq0@CPS%!`qV(L4fBZ@rm zo{;w0>=))m3G&{&0%WFnaK>Kz4~=x^BwF+LB5u@%69(4xm>T1CCDU;}FT(Uy=`)b+ zaZsJ@6x~OoYejW3SKK-QkL8J6sg}LYjJJTx-&L&j2dI*z+zXvM2n6y2dCVpSO^aQ* z2RGQ@@^+=9ShxPxjbI?ETO_uZaA5&!IfH?TZhOmFo$kZiPwx=v(NB4wg5X1>T**H@zGzQ+Kt~>0LLnv3>#qpj%z#u3I%KgCb}^k)Yk81V_p$}u|aIZ zrRi(qp>;IGX2doAsW$1Uj~)d}=iH@xEn-I`5Qf>FA0CM+K4$Hb7B+#3F8E<*&^Exu zW4IIk?lmJerwN{VcsVj~p0&_^9qTQ0^DL3FyWPKc^ zfgb^cIUU2e*bjzud_A|#Qb_=l=wEk=C)M8Y*b+ zS$Ay!8tIbWh7QBzd{XBp-e(IJhVA(Y@d3a#?wU%l!;FhN zxP3kSigQF_B`yV69#!K37{sssL-5riS{zM=qyLxG=`HTgFPSt4e6{0e-b98U8LyL>_A zi0KTb-QZV_=(J$vU1fb9EL{>iNJBQfoZ`a0z8oH*my@)BxHBwzD`4bI!eDjH%FK%& z*`KE^t3TET^ykHj)gK@ZN71m)m3JeJBX;lb;q4b zm@gR9`_$s{lN;Z-9tx5?tdxk?Dyg>!Y^xqsy!3F1+-;>7pzW}^LsO;q=Yq9I zwrCffc(W^3QcA@eRuODO)hu5gjDG(75iEOqF_#2Z6Pt}fGW?KQVp^+)-wS`42rm7a zc}qF5j%|dY55FemN5SYI^91MSmm%3DW3aGp;vjY7AV^1w@JW)ku|X@9KzN;Go7l2P z>8OV!+{vCR?^U*uG13P=r)GsmqSisw;W<181Z3nDZG~8qs?OL`XhPOt%tkGf zQ{uWaUZ~;EXHS#)Y{G`eP zThHpF{TG@+9+XFZu&To92-dwY?EfEV5-g=-0e~bvB z(jQnDrCV^M7~V@1gS~$OtGws{_GkG*&5uJ=t5h_U#X<1x5FLsuU%5uCWahnW-JTQQ zN}OAfK>K>VKkV4&k=XdbH^{<)g<%M}puBnHQ9d5vmD(Dnn1C?qF5y4@?)u5b-1>m< zS@)yU-6BJjOiLR}*;MfP`zY%Fv_@{6*4Qq}E&^?}OP+rNqBXYxU0{X&{YT!%oYa+^ zY@zJ>H{2wTs{{J-Z#9a#t=Q3-l_@CdIX%!!fcYS=Cx&y_>OKrXFrMyCZ@aF2pD4Co z?bm+g>7Jam!s-05Q1QJ!k?)|m7hLl1Ud_ngc<<-Cyj!vl27NXbvM#3J!psPZl8tpZ z`_t$Y=^RP&ovcodfQ|(In!B1aD-l^Q#q4Y%!j<8z^j6rACUSz%T|FezL?w7-3wN?Q_+=bI*n z$_3l`O{R}G`#8n1LJ%uBrY{d}sb|%?!$h)J@I9Im-p4UxGjyueqhcI4l2lzHHio={ zEPP4f=p85Ac5;QNK=A0)k-opPz1fRcdfJC_CtK>*=>mD6r$8hxFv|9-4gt%ec-!{x zsZc;m)BArSPk&wkZc(80T7eu@m9mAsHm!qRR>f zcZa|Tk%jA&_<%p9Pm@4HVcC9(lwHEMXEeotjV^t(q%8tv{Wn{9ddro5K;b0Tdryps za{WwNz@6)ZAaf$?Yog4oN3}2mVb&SPFrQYT43?5Fe3dA+k#YK)kmCoAsJs%w`eF?$ zUDDpf(88oeFVLi-g^OsffNEJWzb9594)h+lX=APF+VP{b|o@55$*9KzMnz2yl>m3A$8EcoZHodLn z>omS^AFPV2)b0tLswG<(T!G_ukVi^l(mnfA9&N zIKNe~&o#2W{g5ljR3)9}pk_yiGeZc9o%aDLqlka?ilxW#Uo86qvS$A7V_3x{k@ICv z?}xbHlkSq}c5cXMlE)jS5uX;5J2d>WAA;M_jub!Nx9(66+i7sB7&p9i_X%-%!WC{t zzL+FGyPDr9w*p8)?%DpQ`vsBnFPk4n0Ia+3iV*}5ampwxuugUw)`RtGY=E}hpFJKMEDnHTE zVpy7m``Su8z;lbCie25K7J{2!PqzcQ3#eRi>E%utfzapUNfTq!$KPCT;D|r~@hn+v zo4t*&dMxUI`_eJ-(SY%&X?#xB)Z~2q$lSjB&(w=$#qun)4+uK>K0Q=2=-S&&GDO9R zLmj}L4o4zf3>n`5ts9K)-Cg7)T@zzDY=zI6Bod6(mT5s{eJofnE;`5g`ubZr_n%sM zyR8_0ng$#e;Kx{S@D{6y7(_7R`qmw^4r=k*QRnOvXNy#1@oSDJO!zfI1Fz<3znbkX z|H`s#??jW3ckirB11CDumGA`&pBv&PK|(3#3;%)U!edbkywbz&0==aDR$lX2-udce zSsATc_s2Lyi16rol-{Zgb*|JU5p8C$@&a_!&=i*4x}6~f>rPV0h0}i1uf2uv-D2O2 zBIs~Jv7ac^-8=4O|C%zhdPRbw+L5BzqG%#1p_>!3@LNG95SmWYqJ{@jP8i>$1-!)uCJ`NFwRzWSU^!ts$hYVkp|S~MNA zt4HDq$a%(JE>MIFzS=V=#PKE;@#k2k1xtBOl1wus*BM_`{>Q&yVS!PjO)g&QXvFl( z!NEdan!fW|O%*2W8H*NxdAmW)Y1tnsip@}T0lp z?XAxg650(*D!t*v>h5?AXgqCw;#ga|l}w9)m>kmst-M2|bS% zsLqatL_iLzU3=b(b&un{D1SJuq%4%}M?|()?!yC}rig>67v0gkV{4NG-Rv@jWKPBU zH=7sOLKtDoYgZv{h>{zS40rEV`ln`|Qo{$m_)SjKq6V?$aM1LlH97bbj|^2C)fmfI zg?dhTNeB4N3ONb?SX=@x{e{E~hVjx`Oda8wZMAM}@Hl;ijG$y2qpxXaludPvd*p2! zb7YyQ1i5wcNeqa6D1cBEDU7dL!Ap0SMgbuTRgX3{Ui4c|2v!tVy-&3AOn}5q9a%Ek z=*OW0Yg#z`UQ2r(vbWY)_imZ&g-crdW}+^G%pWj`bB%Hfe+BDw&?4=5S}ydG2pQ6N+ImXQEfYfNdp@utHsjBDX?I8j!Z>1c2sZ+N zUa|h92>`I9zsmwNTiO?ni*m0AAA^QQft>}!Y1Aoa1Fo_g#(4JfvHxbO!{C20WSu73 z1i#pNo@S@P8{~2r-cerkh3XQPQMbpCAnJ{RZcM-HWV3$*{;i)L zzp8r|S7=W+4z2-J*Qbq<65 zZp%Aj10U-UypWxTH0rPH7Z8{c?u!YE!G z^@>*lF+Tf|Eb=NueU0fVKKT&crS!N%NnCI>g%vWQ^V>W5DxL#pC;qdiZ0wL}ZeH2L zfKk1s2R(K9rLNzk;1|_D(ajr!K_`4tD&vz8kpJwWB zG`Nh}KtUfkS=*9R?j4VOm>NQ1o@?Y(W7~MWkFES3bS!ChRd3pJB=~UabxKJeuR*4s ze)C|5hrwShw`Q)9&8V=R*3I<~!T5ytCngr(pXdU*obg zepT}pb+))C8D#2aYd$RyCqcFz^v}o-3v5!Lo{hZXO+$<)0|EsQo_jW?Zrn`j(NrQc z$F7VWTq%<@f&$wH>hhCr$B&Zb3(J<{glnU*djub00Sy^XR7m-xA?OP#qTW`!jWBgB zXL}1RW2Z@)SND=&6Nb?6q0b$8BSmVkv~~-KGuOl492#%gkz~3s3m?xZvsG}003uvG zi!6-uRr8)xPaT2V+t1;B_$gAK7ymhnb0X=lGVDfJ1YoEF)W_w3B}dLr<@W8t-}`6l z$j0d^%Jkl9oFs9TO+ysI>eQxJ(|Y1(eY}RY;$zC?RxqdZRz&dV>rqe;QwIEC8?N8W z1;!byHFmZ%LEs8d1+!&qnwp zRZJ6CPh7|Ux5dV-TG*kWU+s^}6+ySJgx_y@ha_*|$wBviKu`4fmlZWYo43DDGA(IBPW)u5c|gM& z%m6(4+*|2{mQLWbfqz>}e^x7MLd9AHs6MKi1yS`gae z^jM~N1D=^}MCx(csgmW_#H^s=%;*-0*{w;<4ljy5_1wL1*&4W<=zyg6x>R)sdLl{r zni?xb$KCiuKL@cxgWrAJ%ByvHMLsJ!n*txTJ;m4#%Vb~yZ6t}Ke&Jb8Ow#Y2-^@dJE9Zf@$H+(UGpA|esbPxdi1I)+tUU;?7O8XrxVbe-kFK8<3gMeb6jl@ z_T8fPjL9iB_u?o{mhRQSX?ci@xenh+*8ME7EzpT^acq8vV*4iiL|RCTF>XZi;+ENt zMspK!V0TXRf2-er3fYBM9t&&eM%G=xr|GgCk%1En< za%NTfBLSQ*J_O#qJnD6NKE33X4}^hMl&P7VR#mTDjE4g^KG<9E)l)*O{ zQsMdZ)~5D&?kb;Yi+tY+p>{8)>r)Ertl01ZI1#m%cU=OWT>bWWXyPq#oLrLT@sTde zpvq5qeKuvu@7Bw^-x*GwyIAbTf{#y$J~xy}9_sh&GfBS7e9v$<_SCaqXseq2fiIjUccYS(@VIDgyi;cuFdxV)iMX83pM>$%us1b-}F zM!eL{;+rKj)BcPf;_ZXt^6M8yW%s^wcHKPOpUK`=5z(4;^n&X?Cijv2QF-=qGvS|vBm{^K%Pqj?nK>Yl%Uyb&^ zsZ_e}GL5tlJ?7kKV4CQOxAB4RqZ=iVEFz|#gniJ78>ZB+-zb|5_D%Um>fYbCoim@f zm^eB+cp&+@d8Yfo#VgZKg2kqT@pO*q)>3EV&cLiXi`(Nvh82MciLQNpb;Qq=BT27E zkZrHR#KrDG3;dSLyQX`1;K$+zBL#SCxy|(d{??3-I_Ko}Ym1u3eXy#cmO{ClWzhcs DTR#Qc literal 0 HcmV?d00001 diff --git a/docs/schematics/SAKC_V1.0.pdf b/docs/schematics/SAKC_V1.0.pdf new file mode 100644 index 0000000000000000000000000000000000000000..79a4962fcae624f7b972d8c202cbcf3e38a12826 GIT binary patch literal 91656 zcmY(qWmp_d6E=zlUp(02?iMt-6N0;Y2+jh*U4s)KKwxnXPO#uE!3j=qcXvC(^Pcbe z&iTXkG(9~%T~+s8Rb4}?A|=Dh$;N|9+kY6Bi^@a6LE&g-gDND%{?XFG%Jnk^FVLgL zE@N%yYUxbDE@NlvYAI!D?r32tB7*AT>TGFhkLm$EYMoCY9fVNa$5s}n*v`ab#ByCD zw_Zs^5Z5y(xhF2zMHgBp1i7NbN`26F+i5;VT0Z3w;-*&4_?bu%sR7H7#9rO#%|cY# z-!9BTutWa#mbv4GeED&YZ)+{f?`C^t8Rj|XB`cYb-0z7FWU}|t?tj6D*}iw`-v+^a zX?=M_3o|BbyF(+vmhgMn_BXMyM(L&d+CJlic`ElObvvBz*7m!I44oEIY>kK1`8O6T z(=GafcO~=%@(|iO>&9L3`*zIR=DJ96#AA(Hp4~{4ev+nqiFY?J{{9cjDgjGeltPV< zr;EmIclcII0x#EvMsSbfL80w0r>DK{tskbsod!!}A3?dlhiV$P$(FDD6?X7m;x`J? z*PAgNMHC#{A5!>4Z!1bm1d1%r$QdtMLKMpW9_9@H!x^}Y-Pann9Z1SR5|Uf(kPa>A zN3X3ok2W+szcMG9nRY@U(u_h%ev+I2WnBapVE?pEnpO(`ouw%VT4&#%hY&kcKPD9IMYjg{jAgy7*iHdRMcHdOlN6s*J<0yT}D^Un*q2 z82|6Q+K!~P*<)&$JoTD-YqT(93o|)pNFqjv&4JQe?=#9w4e1!T5x%cxIQv=4qpyaO z_1IjOSNhQ)*ygySmYmU>_vj0(^D)f!vUdQtOXYKdSCKDD(v|i_S@ZKzo@Hi=Qn~DW zb3F^W>=$w`%UH=9c1*4pxj!z~G7s#E>CSB$;8hB&99Dkg#K1o7`n=dT49J54$k=4= zs#rvO#eSWkeSJqyt0gf%YZWfK8;uWRqJBg{M3t`l8jT4zu1_#AY_Y`>@27^$#JU?v z4F?*LBOutO8){gb6){ywNRMU@QzHT6mCyMktD@v6mqv*>6AWSUmnB^)=r=tMDA#_| zF=0koA|Jlp!2a!ZrOi4~0AD=I(JK8;hkN;l=fTjL6__gl6D5!YMz76uyflf4M527( zD+Wg(g!or$E0FXdeqNgeGYr>v;Efx6zw{8~EC4rwAlA0m2`k;Xs!Pr$r(DhUEI>VS zdqY8og`NcoY7ma0cC8h3h{?~(^Ho&YenhumI|W{G7W%z#aE$dQ_{5i-JUPIAcv_Cb z8E+8+7u}uumzEdY>jov15uwPHFYkp1G0;JEv`W5vbqo&4VGLWT;T{Ugp8u1t+AgEP zT5?OP5MWkJ{4Q2~0B!&$b*24cLUg39Drntqw9K!ku~h}8(!_|+vgxgGN!}6*;<2I) z{H4ZRzZJ6T1>LXiqi)$&f$|_ViPd~?&}B_(sUtPfA7#yW&&sz-ur~Q&$dH4KY0GCX zNS6F=;~djB|9132LEk28qaf*_sN-eqT;Ld>Z9Y# zLf*xcWu`ysdtUIY8I5$l1$3tT-;q(Wq55>82VrMeWUqg4Y&o}Fwsdm^zw(hw^CRsA+~tXrKSm+PeHG+ny3U<6gCS#;sbbw3CF}3B z^I^03HxzD+yNCUY)fHIQduCdep5fjL@3Yh3v31I_b;kOwf7+9yhLhiX3iGXe&B5Ps z)>J1U8h`M2M%y^MqfOat(%un^Hj#(O=AGp|=t7R|-hp)D(ww#SAwGrJWCdjXR$jfo ze@$_h?F>uXCuVY?-(isnTN0j^^SfUsf!;2W^K9k&ZWL>X2#)Ylye>f&kI2GDW6Brt zD5Fdd-*kmZ*$Hpa`Iz}igx^+HtNi`l=VZJ7lqyk9naoJTjcB4_2a#Xvt0BT&vG8L9 zsz(byIR~OI@Pb>^yz38=OeXuW-&d5!KbMVgFU&(;l<{LY2x#7W9oRPIi- z4m;|h>Ig@9-NbRUOMFNPc-uHsm>rUnL_6s6z6RMpNk25bK;!dU$^y=JsX_rcG4fvB zCAjl0#eGt36S13M`dameZwqQB-+suvBmO<9js{O+HUU_(5;i-HPTSIeyGlz%y(M7@ z>x>WXsKB;DBHgbS1ecYK^<7hgTHmn{mk(EHM7(vp=ZYe48OU9KP$70mwh`^%-F^0H z{O0&lO>yTDidiszB>`-Y`expbKQe7kSYeyN*pYIur}2(`0tL?#a@$qZ|HiT#sad*> zVA5lqx&(^oniQ6Go!hrNSZOi5_x2lsl?xbKyEDF5AoDplK=ZqF?_A7$9Y}vjdSyY# z*+5=toA=!n(YTuR_1W28I=DB!>vMG93S^hKIQ7Zg;4Mcu(<${rZ_@FSsf|^GQtSj2 zMAmC9oy>$k*!Qk|$UehV(}!r0@N|;r(}BU`O;hy9RZUEYsHY73j7S?D_g|f0$RLH_ zNL<u zqJf#4^XlsCS|=jxC{<#3SJc)~aA_!Y^s3a)mO7gj7L!Q3E|X4j}VZ5UfIx%C7>C7FwD>?TLS>kD__!_93Os@ zS{kW@vg~eHAU=-uxN}|$Au(-4)-`?BuNy^=j`Cf-M!*-TS~779^{{UEaD)SOAErdC zf_w>QoCJ!I`OIC7t`Jk~elPnp5Jt57$$enbla*eW_N>S$s& z2F6XP6OmjNOfb&qmg*y@dikTGk_1)H8Hy6n<&y9y%h`ejsV(@xiPgfzOVFJb9NN|E zWQ!I=CUF_&7oH#3_LatBKps6(TvJE>BJ~zU8Fy`ejxAE6ij*!1JxA@<|Dx=1P5;yAY*w+Q*bmOp-$UNalSu& zN^jzaJ4j%_gC$JtJQvI1@Eyf1eV|WXZ6(Z#{zyTh{tbhaWk3@Rq_A(L3ACxWGsG$! zBkgv1pFS0$1HbNd^>5ir>#t*uKl+x8&ziqKl6B-h{8U%S$~xUNb1Y}8P;^W)A2a>V z&ET&!Nrk|qpY7k?4%w@}N?VmH$!gjesKtV|1+wLQy)<9mOILU^YW$2r7sH^fn$<&{ zKH%)_Y05A&*Fy!P!bZxQC}T*9-zU)5S17Y|KD0s{ipk~Q1XG{~QILTakUPGCgVzEj zIx+sFS`Z?4VX-2Dq{U3XG1d*3D~_ngT%*u>j2RBLw2SQbYhO;#+BYSLv*%J>79mSu zMoC}?1aT3PF=%Lqo8?B1XHg*wvxJ#tJ5@%Ns4{$PC#3c_Ls=e5c*FV-1aY0#{)X{X zF}*4R3cz^ZO#C>q=rJg)auPv!ORODg9y2%g_Q*qSa#J&RGbZ2rULZlRjpjBrzpBtM zMTT_yJ{2)@{o$1E77L{>R2+b==1tIacjGsuhnzY8GhV|4M^k%cM>3q4aJhSvo;CW>AR-Ka*i9lAs{FT{@wlA2$Jb z^M55}(~6NPekLm5bVNk9wo~D|#L_Uplu=DI3F4u`#73A<;WPS1G~8>z_FX!l((o?f3z^Qt@xtq!Fa}__Ur-Fl(STvFscuj~Mz9 z?Is0AK3ra7mz0r4T>9bT2mJr6l4B1I+san$XH-*4`CJJ6jb!)d3|6@VJ4(iPdR7;c zg6SzU^N*i1q(V`}D6vuVzNq~qZ0pF%np=9PuB{@PG{u*7JH07kM2b3IEp>ksMo^Y?z}r8Yopyrj zA-YCPW%*&C_IZxrc*|u#`VcLCE~VH+9yKDK&LZ-`^6Q1s2(E&vCrlyK z90hKx@LcL%Onl%_KsZ2(ELUO_FCn||T;(U>E7-+&8inzn)FpRy5|n7e#1ZptnSk{b z5-*Uh+()WQmWZu*e2jl?v3wa5p9h6K$|k~o<<9yxVr4T&^08>}V$bEH(Il*s^v>z~ zCLILRBXWQYpddr@Bv{(anQn19N&ZV3!h0E1l;9}_@0X4gTv$>Y9IiU-EEBo^gd(bW z0Z@TA4_I52=j7j9*hVeAd_ zb3Qs>qIL8{XYT}Jk<#O^V+&8%{H{Gw%b3e3O6;c(f-b4kP@ zOe~i=tGBf{Em`#@N1^rCwcw&sc%4jstA4INPa(;?O~|M+WoeR7B}hsDOUCjer8>zO zN^(LGl*V5j+c=EhMoolkxC*@!c*A=Q?0;8PAmqGS;ey=wYvpD9<1C>v6qm=`r$iNC znZ-6QJtbxX+hj7&-TCZN(3@@{1)_5nrd}MvTq-R7Y9Wt*NE`4p=NtfS1TDlPsY`nn zv#&pvdm4rCf6m0ajK44TZO@#QUR%u$1O@%w6$1rf+KsO1zi<4LcjF1TUv^OXOXmwl7;*7@b>Z5*BY#qj z12yO60ac+C6@61zA%&r{cCkaHou-uS7qsB7l01{tBu!nQp%It=lC8l>vrThHlGuh0 z36tMT?f5+2p2_Rj?a5ibP0MTcxbDK*ds1Gef@#;^$#3BQE$>RZTA;tsO&yv&joFSa zFqi_*j4HW-8}&U>;>}%;^Hn!Hyy}{*I3)^kmd=N|57M)bBlJg>U%4aM(r|Gm$TCu$ zO*GRgmAkHk1SwQ3B#WX}8x1y(R45cDA2vhupzWfn-u z@7Q^O*KpO&qR|^xtwebO%lG5^35ykUU}{{8`ln~8%QFkA$nIRak}bv6{FpeCGyeKL zY{`ik+hiS?cP&4*P7EyT6kyvU{81fwzp%q81SA=x&l_o+?cm;sQg|0K#um@?4#)L&*=tKj0Ja6 zJqgg+udIW+R`PBE?sC5>uCSCzk(NPIN~}#y3(0WA_X6Iq4vAMPVH70yhF(Q)F#de+ zsdNx3FwDu$he4%51yC)E^799;p!4erRE2<8P)@`yDaEp?Ip3|UPq}9GQm}XEBjoD z#Bt@=8iAUWcI`z;dwey{$=s`Kl@ghTsVzY2y`V$YwdGb+_{*kLEP$?B0niPFS zFuF`tR^(g+RgcANmL`Q?a$_NQ_~P6zz9X?f=sU9Ou62OCtQ39l4yT4s9w));?P5Wm z&*pvbXbv8?SNgc}w=w>@--=>_mWms-Ht760SyZdnr&s3Z6X&#lC=b$JYM6g6h zL5f4Uf<8#-Bq1u@af`Rt_9BPJ^n1qHsUeso@i)_5LF-G->X)+^9? z_JX3P_*lIGLx0dsEQ$a6AhH>L@s!pL9pW)dU)a9g1eukpb?6z~FiO*~k&yKQCCq16 z>pV#v$mprCe-K-uDtzqFuck-xCWiVg=-T-Uf+3wGqE0x0VWj;%kQSg9x^xa=dccOe zvp_UXdB=Ma<1^ffH$Q&H$k52$!wcgyCkDiU(exJ3p?!SqXso<@A?qy&^~XNkD&fAS z&E9(M|JEcgAf6K3AS#vedGQAjU=k_&L$incHmfS3q-E#Dp%f&7_b96oWo3wV1aGul z%Lb%UiWgmh2Fu4Wzs%U2beVkBhCQ7o!TSOUDV398bU<*GSPGqxho?~fvnfaEzFtR7 znQB&~+2N@p+t}^rOtbeO7W2V;V$iuWzQI8G2-oljL2HEXpD_DDdS34$TsL9*uqklO| z(@1{MaMH?xy_^U)VRQX~s&uFRQRpsCyX31DYB*egIaTUz7k<%7-%>E(m3wp2kzk1N z#8PPQHV}F`^KPH2)U36n{%T{t*gd;P)#I@G?V?k7IUP~ikI4qf{9-VHlv-EnES*(> zj>@8anR6@PN2Glj5xMX^d%Q7M8DK&t?aRHM0fry~%F#x4JBKS;{l&zUiG~!VzFxat zI&hJD{#ouvH0Odhj@;buDyCLPtWfv1K47eT>x<(0Xb<>+92HZ5zrr=}Jq=>{fEd9I zDq2u))pK|6*TU$x=IP?j)M@lM#zlit{CtCsB!Ur%AGWUk+6~;Ckeyp3&iKF3bK7Zu z2y5r5mTmuNc}Y{g8xpVws~=JVw@Hk^ZKhQKTY&Nk3yOf9xtU^?jzADzfrlT=bJJ z^??vJ2(EaCyJE43+<)<5{QtO^DeN`=^_H!#_Vf|v5cGAjzUAN@Ey8TUoRGD|iPAP0mfH3?(^0Z!rp?>3VKR&Zu!>&sntH>Gx_YpB=O@c#GDT93R{=E zw=7!{8ss$jnAB~U2|sNU5`PtW8Yl2uWNOSl{g_!XROaI^A)Dzft-G|`eKLz$Tit-1 z>OxvqCi#r9W)fi|j|A*nSOM?$HCy4qDa((1Kotg+tmMx*D&aYXJX;s{XR0r4v;GzVFoD6*~as>O7qSy*XsN1`I?SSW{a2(dAAB+ zYQN6ePMvM-R0+f_O}UdDWMF(Ne$C2N?`qDdl$QnB3*4}x)i007NH4V>Ghw} zb}i5Y8{8pLdQC0hATSwM#$OlX11!?QdfGWCctfE#4hbKYOre(>G^6T8L1bIo5?2O9 z0Bfb0pyZS)fR)dZ=oOcD7jy~NtS;4+JkyHE$%VJ%W%<)@7yin=c^x3+O8x~t*wiiT zT~#T#@tL0=NC2%~VlMIdnD96CI!VC|{dU9+HZoFL@^JX2u}soSkf(Zj?}7FvA@saf zWSj1!8F$#-_f7rQs;S5x64rIpmWRPlJr@qdSjp2gNk~0ZLlzt`3yv@sc!!WH15s)ZAvw5&rx{#&jb~@-t?YsIn z)+OsYrs+AoZ>$;DZzxvwy9a4OPe56Elo?egn79DiWS= z_K+?k7b`oMiJcf%+y{-;8Hk6C7bV6-FO}>LuH@?}0CG6dCmwP_`$OMqgC{cv@jPH} zC6#rI5Y=C*m73sgoj?nN!BR{-ixG$M!F3U@!25%*CYYy>_zq#B$(jKVPH|YeM4aJc z*2TN+Tt)78QFduSJeXxt5hNS8v;9*<)Qqj+R{AiMgSelS4Yd}JQ#YKTM%VU{2@S@M zJ9kSu2wS7a21>&QrT69H(t33%zEKH zNt@ycre23Q5^wyva42~x)i01bfRh5~#NJ)5`%sp3&v-3ucwZg6M!hA&LbT+X7MQDHJ-!Yf7ua4@So>}u&`P+0 z!f?1HhMP1&`rtXE?RM$sSkg*YYsJ@FNZpA~FRTODwQ)aa6pvqQ-?C|LFKBc5%YOJ^ z)`P>`ZiIh_U)6O~n;!nzb4>-t=_3p$5AyfBEl!wd?5&&>hq5ymbZU}&6qzzztC%>w zvm*FMw~!~Zb4>`uHInT^(QdA5WUK$*>%$h;NZ*?7BWw!mF{zQL5GjUerEhAz@v4H- z0e0TWvWD5yu{%b9i!{26*PY56VR%-5cQ}5IiFBJ74C2xvouT+x7$yBt;kXfTJ0~V8 zqMsKzLOcSsFfSfq?=}qJglW;}$8zy4&*a0yZdL$ItO#r4Tx?n|x-)SmPdiSt`*F5^-XS>f`q13AkhaRE(q-Q@6Z?WFcY>CE%VvnUym+VPnK=!_+|zNO_?O zoMy#~goy@HYcUe9sWqZ$5uA0Xr;t=UkmCA2yudc@)g<1ns8sIOa>a+DDgOxCl%Pms ziXc^YGZRVGS1+C$K!&NhgOO4fXMp3N3;sSFzNe7c=kxdpHC28^4ckJpeaMH^$mD%d zM_spU`Hebkm~H-512PZefo0xP-~?RR8{IMUt>7FBpMO5)@HRrvw^ug4vBU{u3m(Gh<=37huxy_< z47vE0dm*?z;YY=~Zt=u6n9?wPG~?|5JbeAeh3q#92t2C3{TUJhX0w`O$Mc=M5P3j6 z-8sY^Av9USS%Z1b_6@2=7k}q&O87WzIzaY)<1bB9oOQ@P0g60UA3dR3L3}45z!*%j zHj4R!M>_O3$cv!3CVPhRcQEF!+^v?eDLPs{koYE9#{m$ML#rL z^l=#FI{kwo;3E;{ZP|Mb9X@s!MP{i)XQprJRC|Lyd!L)qUMI_WOZ@BkpJ&G#@qxBHouR{S~&~-`{zLkdap#1^HNDhhW+O zZfA>+1^i){8iOpkF2XK#6oropCmyVkNKGnnDC(W~1(;m-S{jvH{Yv`hK$u@2vOr4u zzqaQBt-&kXDK;d-PfnYn*cEP{#)i<**SKK2Z&5W@E#Jh(4WYC3GfdUW4b(>8T7T0p zPl9&Y{D2*6+&#kyF(;xo#R6g&Ary5s4?)_!t=-ZCJ`PqR?e3bFqOgUjn@4kxnR^h1~MCy5QEoJI3-VoVWK4Q!D3; zOH;#!>2Bp8(q*s~9Dx^%thGiW05NJ5^2Wf_StH~;nK8fteIH{arXRCCV|iIcax%Ev zauEUcAmI;=0+H9~o(nomih|*Hmn=qTGxp)W;-V3h!U#@>eiuaD^89(wqVxr}Cjv2q zieg`bKrK%tqNoWbb@0tYZ7NtFY%Sa9Oo(DLeJp!oA0}~>R-JzYP1D~gJdWMYoA2= z?>FaL%YoK^Ioay5VXCJ)bdmz3Q-n>XB6CbAqByNxqwEpH?0B#uVGXYXra15lSF|?t zHk=6bqoZF_6DJw<50Rr^_8sOW4dF4h=MV7fzq_2OWc-H7p38*apl`#Gt7<7N2HGNj z9-^bvg4M5n69Jh5&}Lf32N=g443LR;Y-^8tMr#i>Feo8m(I@Q5OrVjiYAYb$iA5q` ziB(roKMf+L)}$nh6JlnG<{kUR1Z1r6#e{7j%;+9GIbo=WP!I+X@Xni)oQ*AV1Yvh+ zAXbn6(VrQAe$_j$apM}FbuAUorcVW=0T>K=EH&Y_Jeq)j4wYacAED4>_x4|JTI`SB z{5#$}Z9DHKh_O*3#2A=8wo5>dTZ$viImdA~61ec`O%&5cU;0i;%H3Te99Tl+wJ-tE zkz$tOAArLOH>^sK?4TmSYTORaF(E=WpIpEK)xh+a5`;(unBnYxh7X!_EY+mkhC9RhR5T_WQ^x7821sA7H;Q2y8e6(N|Z4#0r`kEhWgdUUd9XWE$lUfcc_hRQ*|fYJGcA*7&vW z%#ez*^~1YsjJdAQQWzXDHIk!nXTh-YnJC%uPIzC}`*Y15bJt^0!)S{4=F$O1PX)r8 z{^iF>xY(+z0>sz2y>Gb+boyAQ+J+YNi#ce^ucPA{?5Y!J2-i7?)D3Gl2220;9SjX2 zm>V4={L;2X(=rm|PD5D1th59<#X(q6qaXybqmu5N^QgA8q!80|uB;2R3#NV0JyACU=tDO@}ys zwMwmi2j%E2n1;xHb}uT3>0~3fF#h|?2&Th3$~nO38>t~X%w|#_tQX)l7xQsBa}#g@ zZY({Y-3+)1y?QG;ihi}u-JoB^ZVY8(9p%s==710gHY!3X#nH8bJUTkVCW>z0%hQ&|BqcigT$H&IG9(Wbo z)wYGsUVIKl=sv)t@R&*b3s#q8tlydA7toD0@dou(mqei8FS^))KPn2m254WR*}9;E zX-^l`mu|n7wEgzt4v$Z1nU($69$E&xmvM;aGJi#NllFCh_>G>d)20v>UECn=cshYX ziyK2?5A&Pf`z7h^p6P6?DOAc!oo|POx@Vw5qF<24mya-XBa~?WITYA~Q-UKls#emS zZG3{hUqfVUfG4}_^0HyO)%sLU7ztw>@zsRYA>F(o`72zSepDB=krA`8giU`>KWB?0 ztBwmqn%=V<-H_6sR>yrr>0@=*ex>6W$%e`Fy4ECCj3Yg|P= zcqnW-FV&qeSy_3-F5eJp(mX($L=EcyJtCLL-|04)5V!Sjo|XTdU76Q~xtrMGqScpH z9}|=>L5p^hk{#Djd$4i2CQP~u4M@i_pAOiA7;d%KW&Aq#I`mR#J@g7CC+a@VkjWFD z+@+VS7A7(A9%UQF2$N-r@pJy~hNz3;wG&<{jVWSRaobP5^VKR~=B@jDo4P2M?o{+@ zgDjPDItUqQVg^?mlXwHdrwwLzb?W{Ns#b|?%=F}YkJi(+VL<*QNDe5N|4ELsq-2M& z_q9ij1pK=Hq!xJRn>tqvi*a9auXogCV?N24ve6ycau~5{rgzRan-X;bqjzR%I{E49 zOu7HNsIU3`ca&_jQMh+>BXjZ#w{}bI2fEVVMBRXol*-@m7NeK51molh;6u967-^TR zAHJ|B3Nyofjs6$cq#o9&b-M2P+P?AYVTC%Vag{%Xfyx3qu+AAT7??fUT#clXZffFm z7FeVDe`|awJNUCT-nd~hM!>iD79=4$t;9Q8UPrniG^ljI-!Ogs-nJqH${WZtU#2BuuYA;`(nPU@1iqw9Fgt;l^i~jY*W5(E9I- zsTNE%1&&=qcE;ZreO1{rCA2LhSHeX*^{Oo=6HWSOXU@o}US<1<` z8iuu|aX4+mN%ft$g@SSTEMpUudehKPPli6K9J{^r)3iz;pY8h=U4_Iq+Kb|ofxS-< z#zb@U?IB_umBU(0PT-LMZ!BgqK)AsW7Fi#ArdPXU2y}kUA`6*^xOXnCyW-E>PLOEv z^gxs)`+k@2_7C0RuVh+&$pIM;LKyqh0C3?Q9kC3BWLk7Z ze=Xf~*kuHiGBb5pt@{h@b$Y(H_`RM9D61P1L?0(OdnE{9c74^T&vsDLVNz z=Jc2K9!{=zA9?1@cFS?RIQ6YgNk11C&r9h6kN=;{kImYSj(G3W z0KKV(1d)0&*YD&vt_=hLcHQ5{=r&ah=#X)x`WX;6#TsQ!(hc)ZHg-xX@Av)Np2u;b ze~+F&7yHX=e{+V)=B}hrzn2o_k`aNg?;G=IhWqr>*8I%#q@MQ|T|~oGCwG`D>vvv& z731#AaMA+C7L%ghwJX63T=#KqW$-K*PkK>WFNFHEt-yC$ne{~XUT3_DVYIwZ8IrNU zp+(fXA7;Ms@6$cEU>H1itdhHEUv`R%#mL+|B-QMFY>dmVJ$<7hUahbt!klv8zL-MM zoKAh_G_)aq+k-8?J>lrU;}M0E*MAi8D;sz?p@(}o^`Fj+&t$2%a(zSu{32TLe+-prk*Ep&pvVuL z4pB)4Ilq@lzSP|{x(KcJ_@Qt03Fws1e-K-&sd zcFu}}<|WR(qOI3&TpAN6Zesr}5K*Tg(1%m_>Lh3Xq^K#V>=t!!mSPZU>>77Pn4g?o zBj>qeq+=0`XdMYjFPh$krLrNYGQ|--QO9@{rCQbhU`hS&PCUR{|A+Iephh1Q)OVt{ zM^milHmVu8J0C9wn^onFeY&57c=}&KmmY5j$h>s|wWPlAAF2Q?=$uNT2DBgx@<89L ze+Ah%U%&CX7U5t_*Y9IUVp*Dv--n#sZxmWKFcj;^jikP< z7Pjiife-B>noI6xFPxwHV|Kwf6vDmkWMf%IaxSp>P`kU}-)KF)g+ILHD+903gj}ENqsv*U7 z|C5_%g1jC0gT2!$z*J_tkQnK2W@84-!HZx>+WtoeS|n!R#D%Kx*SRjdt111UW@OI_ zBiDn}%tOJAO?&*U0~DAkUIb)L5u{S&fR@Mo;wM2`f>#y*(@VW>(R{D!{Ojy3kiX-M zW4ZLF?K9lh8#3LEAr}`Y?mekx!kwmCzPd9h;aVfQ<61+R4pbc?VO)UlD1!>Deo?{= zBs+AO_kFMeg0i*G_M}E9Sv4(o(89Fd$98WLlX|TnVbmHoaCniy^zLsWea@P^MP$D3 zYH_Cs)D>Nl|GRHv_=vmwxqI*JA4M&`IEL`@>6ONj^8|37QH|DR1q*a~pd{(Pdrsx$*+PLZW)v%C+;p0i}{`xahHF-b$qR0ep#_szAK}9u2vNvl*afkg8g#tj&hBND*dG#l91G%D$Yj zU)M!NZGyg%-?QhxR#9L17pW|KBn5>(@AOOX(_Qjo*?4(+>%l(2QAT#DfA^rC2nnc8 zV6g^zglTso%0G89w=8Y637pA_H}m*h!^Kc%iAmSHRuP3GsiVIh3QrM%F!9aD6T^%r zgh5KVuAl=~=jFeNe=squ$J76`=SnWEpQcVTtw0-GAA3|h4p)#`?c-mFO!9lm=jW@gY1|u=X60So~{j;pxiZMNU4Qydx5YPXht$S4`;DrDO6ig|VqeO_tEiPGD!dJ$x<75(c(eVmf# zMHKI#y~WTlpF=jjpmYv#Qe^EMgxU>h`lGCFe3=>;`?q-VTrfL@$4*&@q^_>m&C|v+ z44T#%C5st0sNox%OS|1jn*NO9&ud*y4Y^o9RX(yVBRj6SOuKMM%JzO>>u&a~_SITe zLo;ZShSrm9*qG|P5ah}EaWiMA_R(#AZq=)OvCj>g=XsL-TjIp|W5JU6qI-D5lxUTO z+$Cz>L)A6eMsRm|%LsL@LIGqO-R^O;|1!{YKkM*Zm+pVGZQOkK|8K@Mq`&-iTxh~z zRfttwQ0aN<91k)IIFhb2FsQJO7|+IgjtP7bg@0)dBu9T-qsVySm%Cuda6~u2cw7N> z`FqOVx?yc+VLX-wbBOX(aaXldj;#11kUURzv~!$p*&DqrCd6LinUH;87yTC0E}(L1 zuJMyPc<%CLx}%-;4PDnznoDVvxB#m4h}$D4L< zvovytfrBh9PongRJyM;7F#3u|kGbhZ0%Od*0%YOchqCCy-BXEWkMU`O3#RP+UwLsz zZ(4mlog~^FqYutJg9%;7dcg~v$0C`#w790ojuBw z_9_O>T6b>^%#j2!j@e*Vy}CVapJO`CMLZ_+%He`pu?vfTJDG)!7W;{w=i*6BMBfoI zi{!~vs}m6wnQ^;$T72ZNMAxq(oTgV|u^8cxmaO+UHR9Tq91?b0pJR)O&N#~nyn&-J z592fs;M`Z+b21vE_|TU?P%w_>lh_4W7!F)C=n8Ds2>ZpN&F@MR6|#Y=3uMd)Gs&hU z1+&=HEfm}lTO-C5E-Wb<3Ha}YKBBUImJA{AbE}lZ!r5T&Ibn?}(UjD}ZMOjmIBk6} zAB~>|qi}YfaNmc!H%GxE&b&2vF=2;zLnzALPDr%Uc!(wT^KRyH7hcYrf_^0{7p#P+l|93)ugdvhnKThkrn^Bo?4GMeM+o+EyLpBXi!jQl5KrQozCT;PU@!K zWyC!4DeqQ@P;+OxjJ&^0=HD_822a>;7ssLxMY{aL3bIkefs21Tw!tf1tG8www_Ix- zL19iXVYk85B}W2Ouw_)}D6c+`0dinCnYWb#*(B6}r?Nf-2V~?HS_@8|T@ddreG;vH z(oX9*Pul;i@P?o`310oZ&t%}vH{`$2YJYizNO7x#)79=&dAMuwH41L|*u|m*s8I=m zucPzSeEv3hX-IepbwME3j&ju|W}@6+)+z<=huDL}d?aNVx#xsDB_^I1S_O|(aW!IO z$TLE^?vKqer+81=-p~lz0wivQL1Py%_5K&8{=K0V_E>)YU@*87#IFI*z*7Pg6YvxE zH*hvzjf{F1fuS0REgDklbpf+zTxuC&tyU@WhF{T?_u*Oyl+Gk)%nfo-75p-kXY+Fk2c|IaSNvwk~uE1pO0Mh_XvHTASSbrt(hpRsG}3&4cJYk?og zBB;vDr+= zc*g~t1w+sS9}kTL!d5f=yEdk4$$M+HHjO4U0sAlBmT*_Nz|8s7@)QeV?-3sCsBnJk zM4$>UDw;c|3F^IBE(|-qsc>R=PO!64Xd0zNB0HS1G|?9L9H&NLP70jVJP3_@AC7Iu zKAMLc$O}vJ!!h{k&BY)XDICY;Zelqc+E`G1DgJ~QZICu@liT=?Xb!5rpc7cuInUpz z$X_+e02V@=)#~5S6IlIP2r=wOMorGi{i@j7e{HPB4xd778 zplMg2c#soTJ(^tFLP$4Ghqk*M1rSp8b>ybVE_@%||G6g%-e`T>tH2QXU5%qp{fj;2 z8lf`(E{KB7qd$BNkA*%I1&Qp*emCK*>A!5%*p^1fFl59T&pRpDlS!6_f4dl}UZMz1 zn0|v0RS5XHHzP6B|9*IXtqW3iE~D>e@7SlohjLXUE|@YU{;qR~ReUBtm<`&VtfYN1 zF3q3F;34}VIrmu}Opv?gp)Kp#BxnQ;nxOYf5#`W<<`6XGJrrJo(GBAnN!h(sG}@wa z29jfcV3T%yhhAFDMEVuQ;RyY)iyXVXK{m%9Qbs#8o^8Flzbn&j_LFMX^UTYdHMeSu zX$)aV4}m!_*(5HCX6+y40t3GMoCqGsNRiRaTvaXIX<<$WjPn}ORc{g2h-e6|IA0c> z+_k;!C}Ixy&Q!Hi`e7lrQ`mToqGKe&^w|AP{Z0o4{CHA6q7AiX!9nO@Hq`x!doy&^kdV_x`NS_ZH z%FHvH74UWa^!nwa6@-_kv%>k*6JAjf_~g-52H$c_709e6aee`Lv6&pQ6q4NcwtMMM z$eQTKr5X>N#g&3hw_UO&zXrnxi!YlgF~;J;L>lCT3%b4F|CZ=4Zn>x&z!#L+GW3_O z7^nPsc+2b@3i>(Z&<-Tch&CSgC}H@TY}Jbiz9go+fxI|x*p@uyq!0%Ih|2gpwX>e=1cryD-We#WM=h-~C_(wOCG>W*7S&6yf4r-2S48(ME}^RD#g zD-o}dbW})L%105$+>l~2l?z!3JUcv1kidMrPI|Q@`~g525fL>wBx9-Y+Y}v?qux3U z;kEW6np9%>D)s;a`iLE8-kRJy#1QpetE#f_enG9vmuD;B1ny){hRj1jjPQxdqY+kF zMJLTef#cb5@)Sjq!@&pVBgEy~IPXft?{zY3DkvfZD{Xa87_qeBY?&~q&x-Iu?1c38QI(*RYk>Xl~w$F7R>m4m9GR` zRB431{uMr$p#9v@DRe_&W(tPv?xO%6eShcRMBfjH${JaQg&5SxPPK$JI} zP{#}DR$spIw$N^hzH>yWd>XZF zzgReFxqhG3r4ThU)%5cwPkI%0IflMj=0q)9mN8(^T|?4+3>mSAte|g~DF&OUTj`!D zHa#jIiL3zTetEOl_xmfWBdw?=y^(LNI5&Du8N zfjhdA_NHIOnNgW1kXz=V; zJLOeU96mXbQNPF7&5zpl(YEh-{M2^hd6q%%@`+0m?H*=pm7mY`VJ)=*FDQa~4wgQw zbB*2>aBVJ?^aPOmO$4B?{N~zC^q-f=RH*X@F++Uj$ali%qq0zz$3guy;M|e6thwXOC1f3yX3FXSnBrTnYozl^n?Oth61KmE?NR>B|50vr}Xe;{oR|8iad zjjOaTW*H%57#%%6RCD{_Hjz#R;&wIgS$V0RWC#`am&y!oq1`WT#E}WF-BcK@Cn$KF zHm+TxJ=BoTCvOEzPq}RBEo@p?m;K}Qw+B*diP07Wf>^DbF^ABBP-*Ky7uGOyD+J>Rs zeFL6r7Z!N6OL1QK1qXQy-G?+fhGSQ(NpA->*YDrNPxYq-5|qVJ1;nS(m`+dbHGX>M zQWZAO+{&t%6siX$@hZe9yWAEPl~h5h$Rh#zoUHa= z<=iqj{Q=ym+?Z$n`uAZmYxFDTs3(ajLo4o|gWMS-igNS7fWs?VfYlMzE2~ONI;4c} z)qUX%|ETIdE&$F`rAHw<4Q|^}PL0`G_DzoSQAYlHzC5vBeq2y=fahCH%mw;3yXu{H zZPsoT^tB*U+Gp+rWkuA9i`K2G9bT|K#A@fCkIk|WEUodq z*?JGtYI(OP%6 zss?zZ6agGxR~emO!tQ<<8_Uk~FsMzQOgOeT-?rW!V|=*Cel-uPCT`oMH!`9PnygU; z=bfmXb9(k{E3zf7BW572DY`kD0Cq!}Qvaetd6sv__@Kob!iUA`(Dh{Ps2x>chz5~p zu5FU;o>#rad;jXY%pY~>f&z(0jIueHmzTd<`&tF(t3ylB>}l74Jnf?FdD=ync43z? zwH(gcPH%qi>Map<|3kt7I+$FeWUN@%8QX@DI*I9~*lgq!!eu&Br$(4u<7AhXCLQ;M zw5Nyo8eR6q06*R%PlbM{MI*Y0rMa&E*hjb&C&>dKjJt?&t1xm`?ou! zrSx#(#LVKB-%ll^pumKOB>jl*?j^J7GQTUSh>tf)e?^7vvHVg$+TW->NfnT?5}SO5 z0;LnNrNST%%_j136}EbrUIa+?fp~p(DL9K+v$ggN9I0nIi=hx)q_Z?mR<%)+)(A0hyOE6wxT2NFQ~3^RYgPU2J!|5u&1iLm=jh%s z*}gnoz$#*WyUL~|)PZ)OrG)VToReSfcVn3OeXd(I%1J0gBBIKe0%@*Ucxwp;Yv9LP zIOPL5AAeYBisrMI~EZRDE-4D%6Uyute)&SDZhi((KyY8Q>)MH3_1Q`{B7-Q11(eTMBJw8b$qk zB?w1TzmIPCU)+8);1q#vU%g7BTzg89;?_12eD5Enw+gpY{*cDj5<$8WH;jeR2-TZy z*;bsVDX@=bGOw>NHJU=TMVNh8ujCw>fj|1Sd^S|=hAAT#bj3^6YyB;6l6s(KiJU8= z5@pS}peK8o<}Xs$d`UD_freM2#*ZY06Z@wWLNBlWwzgy4(618o_#@t5j>UaBz7+U) z3lVc0u9&d71jDCVp}Q)(j;1nS)(>kWS~=Fq_c~|pJyCnD4P$1Kctyw`DO6_f zN13so282ozX^Cdz?tJgF7OcSyNsH3iwrarZ&7Hs8;XGAZOFU=ETv%JvO>w6`DoET) zVQKhd@(R~~7Ws!i;?!QMqs+7}t)A|LmlG0J)V zJoc=*-tXvs)VgwFyxyFDxw47RW;ZAF;dm^YjUuAznl3RWRbyz`mhPhqzEG4Zp??!XhI?4SDHo(<7fj=hrmJA6@HF^^I zaedXbaxqU}OsnF{IEOxOkkU!(m9|FJe#wX_fbF@SGvlclK)OO^Of9rw!{VfZCmfE^A=!geefjUrWC*k!P3%7OmCqCW#TMiG z19!&ze|G~!Bnyvsu(+$_>SBFwR+bV4^J+pPAZwLCR-DxQUm)^hm95tiG<91G1KHBN z_qVGFA`!OU$gq!cxAxYSsB`YctkXTa+8J&qH_tqY0=ZV)0=ShPb0i6>kGHD|BCg%4 zI699?C|(B2G`f!L5~9_>uA+nm+ru<76~4)X6N&BxhL5GiH>lpIhA*4rBLTwEj-#Lu z>5=WEY^r)^&AyLP_NiI{hRzD)W62VTE}~+&T;%Mq7}r+V36mCn>t)82=)ZmMvt(C? z>1G#Ls@_r>t=&Y6K*@98zOiSPo# zylhsn_$2-PM}reP{i;2rp))8)p{#DN>dP`UF_m5US3mPRFU-l@r)GRNMA5Wf!c^{e zk9qKzh~-|kOI9;c5FSIS=YB`1XO;nZy&HV|73A|n>F17j(|bdGy1Gk&EHZS)6!zyx zNV62X!m)k5npe9O>GG~(6t0Gn*E(U4EL7tsP<~?)*f+ggwN~n=!xp&vJjYAmTF8P6 zM>ER>Ci06p1hThIR4Wt@_xmD%GL5p<_T~MYUgFi|yf1dBR>})v@^PUXf2}&w_ta{0 z%47w{LtVB^C73D@#D_5!1MZN+a>~4FtVzYLwS9*vcmn%EEzRrW`W3wlWb17cM;9}+ zPw9N&@*_3&+hXE|hgPk%3MBO|s3g;*bPx5{7ndcoD>s!@*VZO^{IBiIZ0J60VBL;V zWUmf`Fn%({k@mL^8wEwXKN+)!C5aTVYXT^9XDNkZ4+e+BiyQL6E1rMljmg@)6%7U3 z@uS{uBihcAcvY$AVdEpbJX0hI4N2aBB9iIs1`b_66y^W2&XWS%amKS>6i9VrY z{Zw_=Yk#^SKfbVC@OBtZcB-;J^63@HIA)?w#c9w8|X5*Q`gZ zm`bpj(9Bm`{jq4ltzHdYSghHfwoP5fI(pt<>X4nnkRUnaKKR_G*0L zoADyw&~6nwysuLaeO?v!bz3r(*`~eE62{d& zde%G4nzjB8XY0*$eEy)PFzcBa(DhmkUO)PMSfW_9XVPhBZlXk0@{8&gJJmKyn*4_5 z%el+kIiL!RZORW|4_8#jsk5wCyC{O`1Q+rMyna+TI6KjpX9;5Lk3IGEA(=LOIAr2U z7Lf4a$yjCCbE(c-9I#R_7T{+&jg=a;XpI+uB4_WLaw_tS(OW4X-)?MKngUEawMGl_ z5VQYKF;4O%RA?*2nrv=;GXa>j0@E8JWEYwP)5|bhNu0`x?RWJ2ot7IPf;xs)AS=(X zxnnWc^-^B# zkLRnqu=}+A-Q(TO?KT{Hhe^BE4Ty# zBdwf(H$!q|_erv{_wB6Ekz_%z5kfy*qpoUUb6t@{{2*zj-sNc30r|x8EwX-PSx!A4 zr`(%w!-7jJqZqH_&!cVSgArAf*G4i%MTy+9M`dggcE<|5EJ|>gimT$6_&uK|FX*FN zs^+}&@;n_)sz|*xnn=Z;Cofyx{~eYX95aH#kw54cKiWKbmy38Z`YGyxNMNSX;!xU5 z&sp$HR)&n7 z=vJF1=BWF0Ei3+geJ;4w2Fa}kQ7?6KRPt5hxT?S<&{z@G$K$GRBw6xTa7^~!F!ufk z=_ZNyNE~Z^6aE#7%HfYwf!t1;_bv{;^%9RffGo})o!kfLtpVMV1D%t5`J=@K8OIKZ zQgGjbN{LZxHuCiSwTN=uX_#PvG6oUE9PXD%>m4hfc?5}R1c{iA)vurwEJ~H(&>iTm z2;Zd2sXR|(zB~WGi9b#jD>h zl?GkWsREqx`c=DAsG$PkvYeu=%^0tJL=35EGv>Y@SUFU7dG|3w`Rc(?jI?(}|4z>z z5QEAl7AH44oKp`RIeM_@3GbP!ya%ieu2(eLA26HlFdH4zbrf zzVSrq?e-Tn_5WhG00Ko`k%nW1nq;i7Lg#$L{pXpi`{$YTdM?PI8d=bCyud3QJh8tW zise@6oG#I8FwHR4#2W&sM?m49FliBv-T%(t}{L}-){qbeNXX{Y&NqOOQlZC(tPQ?Z2o~D;AL%_>%D1ty`vq(=po;$Ww+Y% zHcfZnQDT@nu2awBD)zXM9XNMbmYs-I{C9xp>!as>3Rf}+YYQq+{sT!R z1w0yuU?Rq^8xjVO5mjUwN8>ZApkEpCnf>!qKM(pl6bBrOS6*J%SGSm1pPDboJ>sc; z;5zABy3p+1EChPiVVqG@B3D-ma+rEs>@|daSYxp2Q!vw_^QcPj5q!b9+i8FSNpcix zR@e$myoUj?Kkpd|_TMx4+DjdtL`Oyp;Z#c`JngU@BqI)#^VmvBDL{>brrQj%F?e@6 z8BKa?c{q*cjI41ACY3}Hbivn*PFg#~gJymHC! zBGp7a=`sR*a{2>;GK;bIFo3?9o?q;2MQx?W%~mtHQ>?G~#%5h$HOxsE;G~h1la)u^RPdJ#oiCsg zhp(uvSf!IS)9dr{9iFmTGtmfA@ZXoUI!fxg=PTmH#yW%XXZta${&RHyyg?Rjg#P4< zlmj9WSr&AASs+p&4cH#U$!yN$CJX@)4@GlS{v#on&xhG3kY50eKyTWAKn-WqKqQ1I zOFlbq+MA#$9#uc+K^7|EB%;1;e^DTeR_>mEG8%x2niMww5yrUm8^_}7Fh8>t0jJ_6 zPv)OMmV>pt!Z>vIot;r2U?Fk_#-?O^Xvy&Z11gYZ5_qQ5!NC*{eL@o);33P3>!6%T ziwy_MtKyXaee(MgPU;i&3fM>>L{jYFy+}XkEru>_i4!C}il*Iq2L`AJvg~(TWQ@|- zI2tqPPG1#l$?tk-!DJxDY??$g7=TIld~tyqh|BAj1hF6tUMaX_GFA{+)Ft#{CES=7 zYE;sJ!FmiH3^0tu?i{?3fICV>j$Y~%p{VY4Jc<|+lhAc!&?RctPh34+A{r_ei#VQX zAi4L^MnUSmmmNaf&d>i-Dljjd}--`k|vbFj0tv@DdO9^Ab}j)aMWe|AR7-f{@9* zC6IV@Li>$+#oo&{MGeVTs0b%Y2j%^n#>7+@#Yj4BB?}1CRl<^Gm5iKzAjohd(vN!p zD}73fD8*t<5XVxemK?$hM4>Ykm)ax!4}}ghMWuobVdg);G%KR6J&GF=IUASZnfz4M zddfZ~#85f-CR4vcR&-2lreKQS7M_6r?s_5L0^uYj&Mgh+4zLQjn&L3<8d}^-#<+st#JF`G*ld0o)R0;Sk+t$ZonzA%+Y>_{D61 zSe1Jr{6JVQCo*KAL4Ib@EW;elh!Jp>eF9k&8t!CjWpfbHy2CpVH1{xIHz|IXd?xB}K}h-pK&-rZY-HYX8_)$R<5Ifeqo4BHb=PF)adrtbL!(qxuJZ7V=-~6W#UW zc>4HtGg-0Ajnmi{zJz3r1Ue^)h;$S^ssf1sF4%CCA!;C~*(cJ-CuZ2U+p9kqY(4Sh zdy&S{V5(RIjz+)75`eS>bM0Lv5RBvi?;B)kHOlxV1jH|OG0Nnz(fVvW zKGRf;b5x|Lr7MNNJN3(JeSdt@4uivt$Lf+uC-apV{7Ko0yTkXjGX4$9KWG=5KY=Cr z{BwJ}zScQFRGKutejKNAB$nurf~YpWB&Twsz}*^;*imB}Ka+9=Rh(oMsepu-`TxPl zn4Me!q0tUt6++QrR3>`DO$?{E#X{YArb&quNGNVlFdYCupa8o7;HBzHAb89_h`j>) z8h%YlR*VImDCsFSEA|5sQtXm(2IPfrz!8+5`aS;1Q02_f&*84pyN%X!90V^&g6~dp zjFHbPyxBX_%t1K4#Sm0Y4lR)vK}%94`VZEyi**th_S9hib)&hOd1t4Wh>7|bbh;WL zS`iWpEEwUYWD7`(`3*#33>Yj9P_9sB_V{L*hS=6@(yF%LM2}E1L09^PgTzp ziOcD(mh~rvKYL;D!aw&6+YEwZ(xIUc#>q8YI_ZgPRv~*TaMw=&3Ir*3GW7QmUXyr+ zLcmQ>k|vQGec)&DxrnGCH`6c?9x@J}Lo#=SJRe_0YUd75Yu`-kI!H{V8oXR7A` zQ{j&YpB2!>Bh{uQ_y;tZ4~e1B6&-#E1TS^|;kCPjlaV!|Vwz5zK_P0&aC-|z5X4YB zh8#DdVv?RKg2s{Z1muX8X?kwPE6ZdgiaCSM6(85cyH1=ln6ABe{5UUO*xJE$;h><~Z3Sq4E1_Tn4;MUdUkrE=`=>|oVMM=-x?(TzSz==>C2o8k! zWQ@}EHbhYbDJxI_qilf_v*2N`w16?F0xzypbmTZuaC1|nppGio)ajw1NRP_?GvIz0JDD3seZ-2YL z4`qH3Z@&P(o7$TE57Hm_^!XWpPw@ZG|7;wbY^-qqBeHV*kNSUqB!Knvy8-|IUkUJD zt=((3-}3Ywa6cAT}okCW;fQtk3kG}b+WWJH_^GN?0dP7Y^Rn|$DAZx zElr-hb8-d?mo)=}KnsVss#5Hu>@Nm=hq;>PzU+K^y1QEy?0i{tMR^CjrKk=TS8ZZ~ zhdjJouWt&zb@qI`Gx5Kvy}W#p6Xf@PC(mWAPcmqK(P5}4*pMB}u17cVO$t98kZMnk4OBRDbSttMc-J|H?gqnh5tg-o`&Uzr#q8 zwmcw9i-Dc9HA(FAQfaTgtAcJXr|A25-?nKtk9b>kc|zIai?h95)#?7cky;^Jugol( zqaAD@(rJeq!jf8yynQT+K1?YXeOq);%-rSvx0B!Zsm)dJv9_j!Gu4nLxAexICY8l@ z0XZ707e(nIPgtJF_~I9-=I%HOQn17JT+`!1EoCF3mgq2g7KP;M9dYW{ta%Qc|20is31w! z2-p!}c(I6}_>y0P7*?nXVnA%->5R8pZnGTDT;lba>rl+rU!y;%1^~RIc2VA zz6SzjD5p_;NMPg$N~Dd7eIzOg+AQ%g0dYrS81kjqJ^5!efwc9=(S`WMj?1!~x@L(N ze-~y3M#Nile|u1`U-BLgqOr?hgC?5n8$IxO(aHCcj``+Ho+INznu=6&5c(|A9(biBo5 z@nF;0CI=v5fC08N0}JIG_-rZ}xl;v4+BHLh(eSlr<^i^|E{(c8bPI)_Du_|;N1jX? zAKKb45K2t{!KdRgSFmDg1+5G^ktcizH>D>QF2r;dwxB22L1k9T1&VuLNWzo&KTj4r z;nPQ!EQ?Wi#KM8o>?Q!}arRW`xT(MzRrW%Pup4%MxUZUtbC(0RD0^OWKA07@$4(5LHPwiM~PdpQi>Mb2o)KP(C9&sP|pmJ zNQ&bfxMTG+KnA>ovDW*CSC`LLK^Yb{7U5#f*rFcv8_1`TyTS*hK}SGZ(NYR)wLzvv z(8{{iKFb#(i^SZ|s@q5O57~%ssM^T{8vA{V!PeGbDNLvq=-O>uKl**=*%C42l zl#pm~YyHDyf^CI4rQa%31)z9|xv7&>Un!Dg)_S|QW+e=_NvD?xNyZD6rkEM`$pSU7 z@X%cG3EFGD4AK%vMkcTN`IY3AyU>q~<8JM5Z!71+F+}mx7RsH~iBJGYN~eW!Hz+6l z{2XEKLQ<`egGT1vNf2uL{;~r}jvlqo>!BYwdtPg2kypkY9Bf7K2ppqv6*^NGcqHTH zN;9^g;WVb@Y~o-P>tMz;;NN8eXaxJ}oZ!o)PyTk?k^W(g=Sp8`7l`&5{M+hh_&uU5 zQNCsd7mwmZFD*j8W|u+bjD`cG5cszh4gh2ix?HJlaz>$#;I1G9u$zLHu&Iy+%~Mo> z%dQf)C~J~X|H`Dw8T4I)wA;K<;PuUl@8AVMk| z7bIKP$J1@7SGr#(mfpytVCO5ncCKWaa*#q$vH~I_Yv|F~(+tKt_H4S)b}y%(t5{G& zq<&xhwNHic5fQDI}Q+5GJ7 zt#YNPyDhywxbODsU3@=MC$F4)xbN7-C13vlSOCXwYn|mY5d1CQesR{aAyu<7C6?D>!Yyj1K+^$iIYQMf%0Y&!VYp39S-90Z>nP1`7jm8}oz)=**L zR@DzO+b*EmAs;x_DAexla#cSP&vxX+GYcbdc3-5p9B$RZ171=IeK!*^&gUHztvLX_H;$?!lm6%{yinM1}Vt|j8RgQ$iggA+<%D&>P3sq zz~u0yzaUt-u!syhC5V6WjnA*|Yn}Pz(x7JlpsLG@ipq|m%9A6(zPZF&;q7XhgN^1n zuz5u50_mg*VA^NMjGlD2mqD`#{H*O8y@@}obhBHioLthk5V*EEBITp1 z8khD~;WZuygHVH#Q9n73BfXtq&;r5Sgk5QIj;hdp&(G855z9L$-La?9DBaRxCqLmQ zUtKDQw=x)2?^yQ9S$4UA?64r6#I62dyNvBZ^k#l`414SNZLVHr8Jule!ItUq3ac1K zVP&9JL;atbo8`C{+VfKF8Lxv?z(&E-HvWaMAtO3s7YJVh8EfR9f8&R5l2i);FeE#N zpe@k+m7+}6{{&k(20s74F(R2k5J+7EhBbFu@*a@IRTMQLZkLF%Z*ysS0UiU902#Sj+@A;kRCis_lX* zpf_3p#ThchutK{9+}`Izrl=y2*9Gf7m~=!ivQ+eQTyitE{ee=xl@ne4V1Q`zUE*9u z3YUvTIWl@eNtLKNP-z4$4eA0&8<@!NMCpGr|K?2dxnB7vuvUce%f+jG(YCJKIgM@j zqh+qHqvIVp2p5gnwcIzf7Y%qcIBO3_0^bJ81@wEwnj#6>I*i~MS%)AVp7r(|R=s=A z$%bsJcv|)L+*X(z2n@O+&v07fai4xmof4Wl8w2}+gd_hZE!_6u* zx10H;@Wdhz?pl%46JGc1J?X4`sU0OQsQopN{As$L_cx~g$6+Rtfpwh4t`l5 zcgAtXWWnMe+zRamKjGV;m}iz_!hjJ7;T}-bt?WEC3JzkblUri0P}C>51TxZb**(Bt zLah^?JEVvMvSKs6YXp_I+bzBVl3C?llnrMJ{+!eiWKTL|MP#WeneaGv8I0y z2S5>Jo(Uxx;sRU0OKPp7S!7`$THTH<3>8cudY8JmlAP%ymPYoaaD5~{{*V0SHU91& zxkNDXhGg$oDSWT8xA}v>+NW$Ee^CJwKdkPo+bAfQK&9f$(8Ru42lQ=`N^KJv?(2Ul znu}9AUSS)(pQqC-U>oLah27rRTuV+(1~5=&Q+qCy%1w#!Yeb=aVSy+*btSRmYzFX! z3qMX;>W<)(ayuB!c$ZSl&UZbOvMZK#OFa-R-pq7;Q5>AQ$XfKm=WIZodoLRO5>_3h zTMrYQCMjFKrWSfKN&nUT{~#%MLEe!7C$;-_-p-qc%F;TPlEc5WM{DS!((Y4VvH7^g zpQJH`Mra!Uw9p6e_{OtqCn-PZ{+Dse2eOo&c67zyt%sq$-|q{}OC$)5Eh*UFn$QW> zye12nbY|l@(pq&~GQ;nCq-?QlViWAPQmZ9GJPiF9;57BHYePf=a(8qAqLNn}Q6emU zA{pJ@XQ%rELp5Jcbu)eADHNu-vkH`BVB;(*j(@WT8iIpirk(y2&YVbw<9mDAP%nyM=N6 z|4q98GKMTNA&H56%&Q9Dt;%je0e1YrV8xt-#(_7Y=z%mZQsdwVB3frV=}^Kd^|_Ru zG>$94zbYq3S+nV;ZUW71MSR?IX-~Xx+r6VzQYLa5!Y?+MFv!0Vsu8NVaI^LaFh3A> zZCB|6{U8CZrQcOAPheu_7>TM=dr8L0dHvYT;DKX-Hw@1A*kMIO{E~^O4lk@kDF1XY z?-JTmMv|r&6if*;X|Xlh+r54oHGDQ>+CGIxulcP{<+qU@ah5xv<`r96V}Y(z$Ok4H z1H^))FQqXof4zM=W|KQ;2QI(xApF3?Y|B-tdWf`A4|A-2;k~!&`b`BFtoa})cQ2>T_4izxX*UQlw!xFuM3UE~C_Ue61hG%QDHPb{!&UQ2@o-^ZC zVOnc$H;=z4tRiS9fXWIR{jDHiyvDemGoP*CEkVuQw zjzepj#UR+b_kvSMRkGQl4Yc)-_5sX+URfZgL$ttUA#CUYvTs($zRCHK)23;#mrt{K z0X6Ca_Z?HOfM&n5gjY;;m+}aOfaqG?bYhs1Fl1p8jJ;3LFg5MxR>9H#vnjV5FNO%wwiDvttgiAFhPF6!JFl2ZzP$M*^Xd2E3Kq779pYOsbhs&$a00qVo2T= zj*Z9_Z^p!U&{)^hYsYqvqNj*HZYqJ*Al0=bpRG&fB{0it0)a}i4TkhfhJ^j(B#Yh& zY^&5yG=vEp;`a5gX}khirm=^o_(*kAt1y9e6VN}ykj-+D&2f+Vyt+kx65Poe^Ec8F zfRqzReMj}}9bV{TxRc%3D&OwG?+je->(hd=7l83d3wG}bNFNGp3W8>j3$0_=a{{|d zexX{#!sS=$fH@5Y7-BfVZTJaR~yp;|}gqE7K>SRm?H6xCsZ7vj!ofj(?e z3d~XZACUm;!MeL%O@k_I4=k&pfVNKA%SSY7#FmhSp|(}XJ2u4IM^7 zB18caMj=E;0qTGT+e|BEuX3P@7?%YC<-(kQ)1rXZo0|g0fh;1q>p47)Q1&-L$08c^ zb{S)eAk=&mEEAEpI_%QJkbKmG8e09De9o*Y<@{)3{|Gcup%lO!~@Q65f+$J=dDk3q6bYhII3jeNXS!~_u2^Cb2AYL+`F-yy1MTf zRXSTDsqCj`LER>D^7hGg*<~gRHM`5%Nl>N}4{g7?Nvcn%q%84C$prBT_9)Q-K38LG zbb=_n#Arj@5Qdd#@$vD9qB?hvShEk+fkxlx)a%^-Cg#SFb**%Uq z2ATSlVG(?_e|+sk%mApaq*>Y9It}bIVXR#S+&u9GY&$j(brZj$&Eh!f!+z^P!%Kqf z9XIn|rYFVXF_eGmD-0;oM9K4@wD1YC6lD0+*4BqWPe7rIZ5foC#$uD>v?v8@D?1g| zUln<5^@^yG2#+fa^UQeQrZniw%A0bSJI*KtM6p*@RYpt`&`gR{PumU>4nfpEt07{IkcB~r8_2TRZkvZmm7j>* z2+{Wgwss0nH1ltLPAa2!sBol8Y+k1PqqX7cFctP8s?hMGE zgT`la0@C6nE)gV( zPH$h&9}3|!_)t4K#Vod)IGRtY@vwa{O#jm`A+@0Wzfx?Z?rS4gYgd~!p^C|Ur?j(s zH6*Js180TP)LdX@`_ZkiER>gvSZdFdY1p!Z@@scB)7*e^o;VWo=}hygeO64=%V2At z+RmlbRgkna28EPlmKO0sP+6CvtRL+5hVo~1tLRlFpmMMzq}maO`<>595HJ)}?JvA& z;9yV5gG^)`SYc!bv%(K$_vQz3484Z^{d*o(Ku?Hv^e^d95-UR)EwQexP7rYTf!^<; zw6GaYF!{=~`vke=?{y)+G@Ky`J`9W`LwL=0N(xZI95Ig&A>!f*y4By%0eHezagK$O z6GOBPx`aGx;1tw;n%c7B`;OVvQgr_46C5RQLjk3vfKx@!1bcz0*G(Z#I;roN23JJ# zQ9r-KPVc~{&JOgYMVyL(-R|w&*2fIFg9|oGDHJmiRcv|lFRfd2411!RazR|eF|by2 zrlVG?;=3)I0=b=H4$kz7lGEUU#IWmlTFG96_#Fo-v>T{T`cPeELE3c6*9L?eacue` z%Ej?DiwI!LcUGIpPL)8MaYy{s`0w0S)P$9=Gk}IGF+O)lBd9LQ6Sy&E!_mSGtd1H6 zK29yju~BP2140(kBB*pn0$B`{jPfV}(Y$?;jGO2gF4C;oV0}Cz95gUu*oY`u*U`9A zuy}C$iuKs30sR0iWx(=w}>?^fOEzC0!S6C0{^(ZZrADbIl!Xoz-i z``z*QC4jQu8Gj^8pI8;TVRynJfSd|Q8}67nVhzO#GX}x5Lx4@fOWIAHtbR*T@%UJr zoGrSqOW5!=#M@@h&VTGXWaY52(C5diaDeNP6&0b6npD{)GV7DFh%OnOQB~;C?>=J{ zKu!kWzyf!IMD-HjuZ=$h!DkKdLJWOAcGr6cLir3GER zZ?c8|`$DDLCUUGl6pU>QOr)1%sen|FhZ&*|@cu@9cB5n&@aYyS-$jzwYNOX~D?W*_ zcqbLLGJOM;M}$IeuNlY8*6r`QpLJdYE=AdB9_ zgjm5U5X(Qkd$P?>vr*4N%n z4%EPq1)N+-{Va!jJEB-#7o4AiZJ|x3P1hOp8=GSeFps)zc4fet_Lu2@w#u)hQaQjp zNWG%5Gs?EnUmDj&x`E#}o^jbRset^;5g6MWJaMd*x*37pIPRThdAVMY#goy*)#JtI58N_?g1P z>tpL!mkIcIe-gE1ceg>0mfb2o%dI6|zd<}njd5q1+^`JaX!V&+TijpfWhDF}%e(Wk zv`Xl*TVZkMN5$(GQ9fq%9rcp^>~g*@pXGWZrJHQSd}*~P)_D?SB2(Y0lKj0JIb{L$ zr>o4Jgn0{8ak0gB+{>9uOXwRH*ZnZ5KsqMxcG<3C*qC*v#OwLawjG4FfpA6g1UD=D zO%qPU%Gjp6HsCQuRr!E-&ntR*M+|=+4!*oc-{mm(!dDi0vm@qbrwS!r5&y3mQ z?waY1h?lq(iEZ1na@9yj5cVWyFsi475>Iom?JjY)P*Nr0#Z85{guv_@_$V-|171B8 z)^%v5N;b2`m!)S+P5vpdtkfOAkWs59@y}yd>Bh7WseP7EVE)BtvSFeG)u6;D4Y7t! za)O6HVyc0e_YudCpZ%7t2b5y?LX)_7n9@g`e`az&x-@|fuw^u( z^2e@8;YyR1*%JSe;|1$LS(DRnZp3I)&EEgHjqPe`Ibj-c9cNHeAALTnpcP97T#)#?Nc9X{BU+!YrJ ztB@-QlcMK9==WQ#yrA`e;VXw|A=9qfJ2v7x_lC{+MQa;bM6Bs2Z-fbh4uH5YW;{ni zU8sa;`N{dm-|4g9$g9UzV;#D;Zm@~lv!A$$;is&ny$H+$eA+3` zQhk}fDUdmer78w7c8vd?B}=K#^nOHI+J8ZnyU~Sm>y0}?Ue{nkQM#6_ zs4XS1g1a^ey!Mkn$>cLhpZfI@*AhwE7fD?;xma;G9?F#_k^Nh2$=x?;sKf2K}e+ixrSuD+a53e1}Tv{XQeYwn7^?LqVVc&~% z3;T7Y=rLUOde`=7@H7z2y}cM0_>sEn(#0t}V|O+;2Kqq}S%kxDoa@2GPcy~>84(Y( z1Ak)MC0GzcEd#Kwm^^OVNi}&&K4e|NSJkk;Hck7fwAid!TSVKt4{mjMNF3(P8n1a08<-CDeZJJsUoEQPSK2ioZ4?cGSoausW(~xw}vId?6Z8`1@b=P3am2cz)x4e=r>2KTHGY5IH%J!j^Pm#T2}to z?!P$(OxDBBScmjn4MLe0p&cbOIhFw z7HDr)p(ZpUWYL!`K1ax`+dsfkI zLkQT_x;ogHaL0WQXUQDvF0%Gpnf>GJih$c*ZQy`q+pzEwZ%-W4@%${OG}BFSX+n(A zTWz=*_V7Qb-_D3}g>f&av%I|cArgD-?$R`*gjH1XV0)qYuh_3K9U8(-NF54|){DaY zDhw)ahk``e=1)LwKN`zV6S`>|$>=6gsWjg*7#NMzatti_7rU+a7i*?M=UjxjtgBt) zw1)v4w__(-e8cVCAGkmdj%CoNc@Ezx&Gdmy0h*am!Cse%$kr3>92cQ-#MuGaZh>~n z!9(CsSZZa+*w9c-5&;dQb)c~##TdyS>_3hj-LV%_OYe|HpDb9*tLcCrVVa!a;-Q+H z7q0tqv!*$hc`bPG;{pj!a{#DgXi;qHe#LU{3MMB8(&tWGKU}A5{Dc!*We793!Rp85 zhNlx)v;XTbp}lV<%#q2IgXbUrPHA*~oW0MNA?}H4up`?)Q{Qr!1fK$yLC3w z>cIAE@vFjJvZfPRp`6Dk~3Kqf5Qigss^4CROeN&t`9tGB^d;~oqvXZ zYPB`DCq7!HSNriq-(ppU4%ZvxgO|;rOvw+XlE=G^Wx*v}Kf47|kVdUeg{YIighOCW z`-0w5CBFjutIkC7pWkyy94j2XK7LL*(woBEzEp8uEWY0~;e0249?flkxzYLP_Iz;@ z&BDC)&BY(EnHy!?4b?p0q9_5E7{2^iUT66`&wj;M^z`=OgJffmCF}NqxRI((HfK%i zTjf@8B~9uXZdjJ}QDWcOFUa4-rBtchzK5nA`0M)`CUTdHM8n6eZb+UfZQOp~-cvW( zliFXWe!;?3(m zA-grN7plE|hphZb(tnU$=W$At8e zgWU3ucDRE?PYfebm+{Z5sUOS3d))d|OCq-rvG`k~pd{P5&HW3mHKHK^C}*(u4z3XLcwv#4lY}>YNH*V~tvDMgiPHfw@dr$kmKiu`bf84d^$(l1cv!B7n>^bw< z)24g7e&~Q(=oEb%zomor15Er@?Q(_oe*f|-JzZYCY0A?@!beqr0Q(io`Od?;&*YS= zO6J%{%Rw!|9uLmuHA0R+fz)u?rd@x#faB+wT~a;k;qz52Y`H3#3`NdC@-wJxp21Lr zQnd9uhL4Ih8-x$3xA}vwK%lGw1a;1W-|p|+{0+ZV{j+Kp#+M;m$oS;CfUEokyOwt; zxP+i4%NqtmSa^7ycAP1Jg6qJ=d$DscyW8HJ=6__p4ukFd_}gM( zvoF(T<@affy7s;oqxMVAW7_;S`GCay$PfBG($nQ~by>al9u(BIyF~%vcd+Hx9{*?s z@%t8S1YM*%b@rX`E(pciG!?um^`t=yc9FU^kTWe8)X@+z+WnmjuR{$nhNuC(0(9A5Izlhed=O z9hT11R%#DTrf)3PgV<-5ad7B+ct%er&ml&*T=yYHz@PirF^dBy*;&RJxHQber+d^R z0XiWbOM^@L6=(-ly=T;SsVHA|Gpz%R%G_Ft0F^^K4b(^5o7MRKGqq2ZD)`MRN6X?U z@hAl2KT3ahZi)57*RTzv4>s)aT1XubwI=sZAsf+A4FE~;S{rFgNrnJNsSTR%AS$^c zAKzB5ac_|5bd~OzSm*E`Vz10ZT-V!=WSBFW784}3kw$GH$(T*4{$U_!baK8{=Hayi z!KfK+(6=?w4v|o$jBaSAYPmz1wW;;O0203j>hKciZ8jf!#z8Jn=f zi82(R@4d`E=zv=C?tLG#4Z6`1lIV{A`4(*MsuU{3Fk_;d3M%jK=3~5N{L8U{>|IX) zMq(}Q)tM^F3z64@e~i9E`gWt7HHI0u%a?^C7qI#Xhq{_c^{BnFrBVd_7*CWvjlgkG ztN|`0Hw}=D-v&iUM;}weF*T&0oJl&qwev8^ZU;7Euuq5CV;xcnkZtJYnR_wn9QoTF z;BtpTKQ>|EAyI3`Aw|Q!I3E*}xFdMRx5aYSd_Odp#E-*&%CZ>yR?YD0j%#G0{qvKV z-ZZb>fRjj_3hQfxY5DK~0k+nmJ66u1#0HBqhU_y&$NhNhrSrzMPxGhWbEB^YZm;PX z0UPPt2I&E2JjpQ$*xpUZ1m!#}S`L!RFe$;dMpvwbn$4s@o_YrKlI5;q4%?yAL#+?$ z`!?810GV*lppgN%ClF?>XVKfS``TFDy7_Rw5as6zpiC*$HVBZRMe-&lJiz(1JrG_^ z1;<-=)j;<2vToOTpSMR)Ysd+YK3^h<`6&!kQ1XHeu^9qPyt6bp@|AR_bSOMF)IW_q zVcGg0-c?@H@d1Av8G5+|;nu)JrqplR3~@how#&MZn>v=3-4dmI!_%-WVB8IP1@(1l z5uEpMU{^UwJQ?(tt2TGB1Arg z=wB()$XG>Xk&n1dyc&S6X;?wkqMq2M3ZyZ}My3W?qwa-adq0s{YhhN>#6=g-E7mI04x8LD-tyJY9O^){q_w(0W#w^+8g#^blLa?r?zQ z?39i4saLC$0g#?NMcStlOkcU-7*f(hMtc`I%C>J9E{%^9PrqT{zbqeTG^u`6FO06k zYfleyB4y}0@3NYX=w86)G$2omZeZ1zrc-=ahAFQRktVjP`9N9duV%2WWL`W#6z z&CS5XRcK&^TOaHeCp~omYCDRk_6^iud^fsmA?>z%K34m`g(}yUvpnGqd{tm$ur3hQ zPGa0_4A;ajV%!Q*-^8wA~PFW?;tFWeW&2c!2c+*AHlU~%3*XMewoUu2kmD3d&E zmGjz`Pra>VwMbozXBiHchX8khA*^V$fHE`Du<%@!GU3+2xq1KVMrY9wXdmz!|F2>v zX{5P$9%-a?BXzUZg-*6HFb)_GUN=|5IArdy(sRh$W)@Y;tOlU_lpbHP3Y)UUl3dfP zuGGq+`j28&`ftSwdw6f-u_QHgzO+6iKyQ0G$H31k0Z$%WjErdQ^vXbxPw4d)t1)O4KTNv=t) ze;{ie`e!t~*}XP&Yh}FqZJ0W(?Bw2_g@1TY`7@-Oju7Q)rE=~je!N036w5Uzyf4rq ztkZTRw!fY1;aJyu#miq@XJpV5m zwrH~n$Bb>;!dH%lQo>D4?%&0eP=R{!t1n+|;a@c=83ELuj9r;aGgCIORb?tZf4p01 zpPr6FypypSJs%INL4h_?@TK}GG?HnKLH1N;_H_MiSn?ttw_;yMDUdv!VgFsL1wCoenkVZ$o|wcx4-! z3#Hi@ydREh|5&h^+amoO9eee0(c-g1xQJyPLj-4e`1I`5ImbO-iZmmREeR(cukEaB z7~zUaw5kw{RWJ#!TLTWw#CgjXI#t4>NFCSe)VygSjwi5hzM4)Q9DE17R6GQXn^i$`m@4l;?>v(5R&^+AXJH5faw ztg8+|N>AkN;Slt~btph}6mnE^&x*IK_960cfzv6?swgrx4B0Y!OEGwk|Ale)^G^H@ z#$z`D<`XEiIa~C()y#00PfQB5Y7aRhm7o0&0re;Hew1LyK61~VEsIJ!Oj(AN&E*g2 ztzgx_RhqJk%eQFwjGR-msC-#Vx+(sf5!=n!-9oy#zLQg`2E}|oVSVrEd4knMSty7M zi@~NV|EVr(WY}CP3|o2F=)F|OjQ;P@s6~%mbDTa}cGv5x@~j6Elwwz~qo*Lgimy~n z)3^Nl?1OzjM-oZgq4#Hj`%~`TNy9d+A$XsNaJyL`b69XcZOA=Kebcqx2<$7`>4Tmv z^DM*X9^&mumcrR%esdB|KmtZFak97eUjA96I-nn$QDwGOdq{eP8U-!nGYxu|k=d`V za=W&G1l}rmIyV~;HkYOvESJ|1?Qk^bu6H7!Bzrd2vFs6?P?p)P1GaXH#G8K@M&#dc ztGg&y{bFuL(jiKQ*RZc7UfrzHl5xkQXETc&>Oea4yQe`>ps6>3Z5%4F*nZ}uQ{Zi{ z!^S>3ZlG=8=PU~acuucmRCm9xuI@5rLo^3^$^VXhiix#6n2geL`A<9dxfYGSh zrLP_RV$yUu!24a04F|BedM#9A7<2RwmQp`b+V7kMs($u2247J%7B(gaig}RtX{_lmMOA0U-#Ob9$IXeS_=7a^l+dB!8g^?R6zM=!y3!0k?%{f+?X<2?h9R#$a;5c}4G$7fP!P_qsRC2C)(TGes z-R-+BjDk1zBr~RF7?i=yB%q7a;thFw5{)}1(qF{V7lUt5WFC#^O!~O(J4!JkLRsWP zW@(l6M|iDsxy6Xp?vv_eg^(RWtL)PYVXToKDUgijLdzU;0zv`;8|Tvko=GzhX&Z}P zPlr|;TbLJ_hyBL=w%lX4k8m5Fv{fUR+AT$fKts_K<$rxOcTk zXGhHx7Nql0m3Sax#{^@>3+TmilYVTf3=|8tcZqMxEm}OxtBV<&t;oOPortW+H;eUC zD|Sr~uKo}y{ur2@>q>Mh_0!7foxjM;^7n+dWQ0GF7?l`x3H#k^gR*#T_i=J$8ug%w zsYnq;>*`zm0ju<&wqClpkV)M}?- zdOViS)DjQ^J9Fz11!z8ZSR(9*t#x2Jn_yof^q#2E5^QT-3_H!LzPG*9GS?|R;*Q%= zaxeW{(43QkvAhtK5^}Hyyw8Aj*E91GbMY`A@JrsV8SA8tkD@2#8}%JY+8y`&B$6E z2)`8+yD6WAs}~ge2IkUetI>)+VW8L(Y|pL!kK()!Z&SaG8)6oSH9gxgu89=p!(X=1 z^_FnBIU!`hat7gpmikl|+vd+iHV$)`qgF9DNgtoN^G;yySut>?FpG6A{D8loEWY$s z`fS{IOX=DBMjwCc8q9orVcXciX=z}wi=w*^X=I({PaW0pK}@lD+ut)X2->WjCDddS6+HN4lg9j4uf% zs9NXcW7Tq7+hrG>ZmIrASF;B#1)0-}tl@I$Tz$%e@z}c9oHa`OIF8hFXdj&X>J?pI zzt&Y0xAR4c3sZ_Kvmg1QXw4%3H1zS^rd}1*9kOQLlGmXD`X#VJ)h-tFeh^b1y=h<& z@$6DUi_lwAYf5*sF`$ZUB`YConK% z%<$NjE(x8@LbXLf1G0Z7=je=mvaYZ%mmBtR>%E5`N##ArT_SP}B7Ydk9N(?F^SLRl zMv%AGuC$;|Yv9+l88qVo`*em`)SdKg4*(vvq6<@5Iws_RwcT)w9JB3 zrGcCe(n88|Rpup+rRBK|_YLCL0{T%XDiJpV1=4!!qIxyrxlP!|*C)2TMQG4;n_%-` zIU?+)H^+v$I?=lO3<9@6eENoCB&&1|Q*ZmOlv=cHr9oB40RdA&Tvr(k93_6tg@5== zb|04+k)CTMn|{HGJ6gus4KZ4Vq3z@7VJR_QH_^U7#&t|#u`#4^P0fjM?9l2WwS^sF zLV=;T*-hL_WJ89$cOlB0qIf5qqF6t(k|7h>hWu$C220C5lbgnj6EHd=cJCN&+3Ay( zl(2OCSV36baP4B27?1JgNpwIyK8EH#l#hrL#)1G9g%i=>elLVNS$ zRulb{E^;d>ahsKdjG(e(k*x}cDmKDsZJv!i3djDZR)4@1)y~hp^+(Sko6R$lomAKR zm2MT0I0RY}xlxcmTiX*bEQj~7`ORUIXQc*k)UNfX*?uE`Rlhw2H|yk`DD=AzG)5$^}D>RnM(2 zl;|*lX5T~Tg92BfhvrjeD|Ll;^An>Fv@U;7{RG6K?)-fJWrk^2UfWkPiF=9HV8?Zn zCZ=RJ;IObU8`a^wyv!8zR@qR&HmW)4IJh=z-Qs+{))9Bm$nvy6aqo!p*coS9X*=z? zM29mQJ~oJJz3kqv>So1fz3#SKBj;#lI~xvs%*|{cJ>fH4>+Ps4qV87`>$Y-Cy9wrf zf4|U$`)uxO;ziiz(`Gj+d~u8J7S+hU_XtZlm(YG?{Vg=>lPmP}dKl-$cqHVvdmdIe z5YyL=-mi%fVY?7pEc5CuPqHX+Nx95C$G*L#9EPzOsydLGWL`a~y{NGwhQ4|dtaEuH zZXfiiLL=hq?%UlwPe9K(o>HS+!Yv2nmDBzb_$8x}WGJIRkNV#ea39qh?+024A`@cG zVClU~*nDsY>DO5*fnV~A(Ilx*Iu@{@kUbfbEkhH*6?{X*av}blM|Z~$#6^+&u5t{T zY6&liwWdsW2kuRPsRSP~1}1*gqh5if#LP;~r%YWGHM0i7bZ+cG}s%Js1XrAkGTc%Ci)bQd&7Vl&1a)rYPU+za`vLzr;EcWV8nN$bdms7%dvAX$Fly=vBAIl$Jo; zH*-%u=mj1r-1GvD4u{iq46!W8j5t%tD5zzYEE*8nH$b@$S^)feOYsl1??mJ;w9knT zoQphLoIya5#CW>XFj=A2r)fdkA^srZruI_-08Qkz_s`e|T6`~21R^#2fqiXGi^Sj0 zD>Y2y=RGQcM8JmO0|V6mh(G8T|AXvgrTQuvN}fS&4qox6f>{+1wlt7XBq87$TzkL@ zW#V4TJ)2O}OW+msWF+?eI9L5GhKWot-}mfKk8cv@?RGp69i@4VrepC8$~;h`E9my` zjO-Hd{m$UxZw3npcm@mssqsH62zdU5Sn>Rs;Ht-9LjYO?YrMI!1@^CL7CE8V@nA?Q zJ||@A1BrC2;RS7j9|zEXa8wwI2!?G^q$Ep}ejX75DN>HHIq&;LzNv>7pzW>z+riQ8I&V-fD*`J}U9#xit@~dvMh5f#) zixPB@n30X28hoUD=lZ^=?9V@Bc`CgD@i!0I>EjPN38^Y&woLOSk(m!_)|+g@jl^Gt z5C)0SfNWV3iA#^BL|&^0x_8DGD;R?^X}ub+g6^VVvpK!oX_RHGrT90OztRbWA)CI& zSib(nykrA0Fa0bsrX88iG+O6!)5|$K%Ke_D55-4kath~iiSdMW0wN6p4*$X(cKcC8 z^TnEe&37Yb1(3xUll!;j_y5h81%xOf!ld=4pCYm$m{-#U^ zj?%x0Yu8rsZ#uC70HJ>L5(6IvZBxxF`OT1en<0TYn#fn5G4)e(|5{7q(p;+B6?hv2RtQ0h2ggcM;uVO?WM zO2%p;nxpvN%&LEHkhhML0sjMMfrF5wLJyw9VZ{%|)v2ZU@7fcAKj!|X%+Exne-qaq zLVwZ;95%4g;bHu)WuNuSu=u3{<^uh57z=|Z({E;nWE}?^?Q8Bo~4vMDbX!e!6FYR+)X{_y5l{)CWC(5E_k^OGqV6l-qmRhhqua5~3mRT(JpnO14feG8 z>4$^8UZPk{IZ!(?7Qsp;x45=?O1>*|qWL%jLQ)En!IVJo#bZSYdF7@C3_%bs%K!?W z@ie|g#aLnj5pC?Y^6~>5o0D%r80`uwjH<0WO|>xx1;yC+eETP`1n5>h%xam{xK(X8G;z%RXkcyXSC-^I%b2-UN&F~Q;1Re zB@uZ|pHhHpXpU3DTJry@!#xfbm!H7u)v==;#yH`3lrxGr=?V7w%TbayDHM1RUL(#Z zmtQjc$9Q_eKX2J2KmN<%tT!dt9znmpmMB7xV|&oLd%+waB+LlcI>ZB^`GsMU1BrQY zL%so5gnRz(9}nxl!K~yum;NI*$={eGe}a=Rajb*CE;p-=O)CW*%heAq6Ms|7v6r4{YzCNv5O$*~^*Z7mR14NN9ZH01l z4gBkxb@94zhKZJlPsNaGiy4muFWbj*8EQDNdVQM{U-;UWn#m!bUY8F}x5`t1qPzbUVQg303=R} zNwlhVBPUARYNrHtUgn(WQF))j5d-e4V`Y5yaD!yaH>#9^!@W=O2X@GqEm(w(G=swp zJ>Gnb z$OdZG5x}u1g=Q{I0?@VNFqTw}OdGC7GyBIG%4e+ScBdPbOcB z%Hg>(+Skm0eqcrpc-^q~!jYSLeo(Px$+X1Jq6PS0c8Xok{>DUXND|&%aX;stH0X^Y z<3$CHFib3SBIM0O)y>QDf*fN}v+$WlRq+zN3Xbl+2VN*R%U{Ihu?TkSm2(q5iq9V- zI-f+skMb!xbCU)ZXMiFn#n9n{MP8%xg+gkQio#)0UwI^eki1$Zh%gl>e-)bn2mM;_ zzmqvp8viw&)X+8&`j){&cf0$QLF=oLW;f@?U++zxj7WHOfCTTo z%{fUW&qf+4ilQ(KJzM4BRLvZR|*i%l{obE+Rg7(4N_D!Nvd=Aa6N@RHv$jLGzWDq2WLvZG>Qrz_?) zNC?b>?x`CVj#1Tpdm&Y-N{p8*+eaz9jOR*HR3}y;n!rTDE<{Zy(S6{i>{1`E6mBhw zTww$kRth$KVU`uYh$~O-MbQ*b2Z#d~41PAIjNK@5VArCEH z=%wTy>w`B+bFYUdA-e&}N*7t(C_-oi98MIEJ2kDtw@n5C3Ewad_+o408LNzM)#hp6 zHGYophu5@8my)&?(nEpFeDZgg*jFYcl|g1|s+UHU!C^MAE_#?~N^AW>er*ML8?fd} zah=mdTtQ%>a8rx=NHiQ>TiO+WmS_SQdH^YnIH+)+U>#%t8EOidWZ7YjzBIvJZYq8Q z86@jVV6&e!CJqHaDl*D95r+f(DClz(MyNb_RvevdatKC8d)OJnc54Zt%6ll@yEmmr zqn(bf#ZD)Y77OkEft^ky5w@?|QjyEm>rB}cF*k*(G0+9|y&dm2L1SX{y_Z(C@@~2- zSsDjsY*g0YT4E#rRm-FNf7fbIYsS)9U&Q(Nxt-&xWV-To!?E?qS^wJLPk$)vcy#W# zN1eVk*cgUYY-bi$JpatjbkbbIR!g&D*C!r1DozL92k)Z?C>nQWV!+z zc|X&G3{qeK*C$AD1e#lhtgffjWJv@mlh2oZ(!@iUT4u4wIG>Ue zAr|WgQh=FG?V6{xXDfZ|$r+^vC=m>6)eBi5*hr^R=9L`VnG+`VQfnZ}s+3 z=CutApSp5g^xyJaaC(~qQB@rZf)5~1oW;|OEX`wBvw}2D(Pkx$ibMDiK5m?0i}pXw z_N-@f76r9Egw9RD5@o@c;(I~?5UZT@!76vO%9(H*%*weoXVSHt7LS9wE5&3H3&|lL(O}$Af{$^RL{Fb$ z!Y}jh?drPSUeLXHE>hvS4d6<1v4ptsdUeDAnS6sBvs^XNF?=Lg=qYTqEQ9XSGQSI+JFdtlyA0TGOqLr7=T+`V@lJnplk+YRDa<){|`fjox5Y%CZPWif+p{SiewR8nr-lfKjQ#_q4I0b&jI-=Tv|gEVt%R4C(^#%1v7 z&qkH~Xr+*)^dBm_RZToho`?0K=g6t;g=}(N~}}g_RmI39h4Z z#ov?_wQlqpRUxHYX)JPW?@(Z<=T3au%q_T#t!96aOt89=1o_iR(iD0_NF}Ho=3~IY!$28 z;6*la`WVzhvWrx|kWKOMD(@LIX?FJ1Pu%epgKG`?+kv=F8yJ&53d)SOTAl_hpc zQwf9O9TfMOl?Ti^s6tx(G%lHreZ?(%vOpECZtL>`6I$sSbYutFVuI(DdJ}s}Wrd3h;PA!2xlpLHDpfPTq z`uUS><0Q=Zr9%K01O4H^etUB!M#}AuqptQuuZTqP3BgRi2-mc8n|Wyq}<^FOhp|6}Eyf zRKn0*Y_G*4jYW#K5<6sXPTa0~`0`BJbuB*ItmMB+C9FSDrm$Rd48I&9*<@ZLo}K`l zf_syya1LEZ#Muq6Pz!|VD*Q2n9_aH3?8axrNL-D#%O8Sx#wOUOuR`@h5T$ z-1wenR6Wq>IOqDlttu1dl5C>{EX9Y&C&^oG^rUrp@A)PHVV7bv3oO+Q$=^)`mIgb$ z6Y96M1I!vBoZbkY5`gjGm4q-BUqlo7;~gclqFRYs)6D_iMhMcjN+P zOML!yt`$~fr^nOFaGJc8>UL8X`ee}91QqJPxF)QN!ZhMX?(Z{6Dnr+fL~T2My44k0 z2CPqISDa--bN2=h*!V10s5h&**Dbr+vUkWQ0xooEGzC)d^zZL};kPPzF`UkWrSO!B zUFs|8nx!pyQ56c^Q~f0Ipz^XzWSGJRz+W-T*?YF7IrH;X4D7WJ9Cegy_gtKplSp5; z2Ue;cbEMuCeI}T*)2sZvnUei6I)9Q4%F}z&T|OW4?pwDO2qB~5>)}C(cC^9I(|dC@ z2wiXb?6{fQQp#=XaG0c*UK%^S*2vtZh!LF2Uvm;wZ|mvp5K7$_I&x>;80pN)!x0ur zU&42`KKPd4x#BT8`DqeR?=#6@V(w;xSOwd;*bw; zovcx^`Yh25cqVJ$=qh-a;1zy=?~HgDI1pImq5}O7NBFOQ`^OPx;b3F?uOOYw{~4r{ z`TsLWr$(Leki*97TSUwijOeySwx(Rt##jGDI(p`SI8^vxA;F+AG(axx472dZebt*| zDYw+)IggL1`7j1b^Sg>EU7CiArQXLrYgU$k%$;0M6T!yYR{1k9NN2}$3di2Z)W?Bx z@A0FZBZSiH>)pYnoS*sAq}|$a_3H1gpx?)L^LlJyR-ih!0x1W#ryN~htpQj=kVaG{ zAsJz2hN77S!+z?61Y_DnjNOH#*>UY+G!o5%zO|tuukoDAgxIT9U26qZ0GxMnj-GPE z_`yQiOZxq}fT~)?=y;c6fsY3nE&zR|BImRB%Wn1Nn`pdkrk{t>M8F4_LI0*N=lhip z4f1~Cgi|G1_J$ItTQ<4c?r|1RhZTA5jM5C({_HD)9lw;_=I;wY>;?xP-LZQ6Z{^aa zHNKSfJ*ru4a|vIN;m+m!q#C5cDVFMZuY)M!ZjXWGA=uPK_aFlwl%oWV1u^gJ0^QnLUEurO=Or4vzNIz9b@wU}y9;PL` zPrlK&nTl$51PNt!&NH z5vFj@7osJ>ZiB&XNq#dyuqNniVaLU8^(Z^wr*yp?7L4@@Ln+$hDejl5jm~7;2bWF7 z;@AV;&^bKYG|D++j>;btB^>ZQr(ID=A?GV{Hq(=?(#&1Ui3g!WcI);@#SI9_erRT% zsdU`XV)FBjQ}Q&|k71Iz2Jzws;U#!y{hR$Rz@Wn-Rq`Mw=19CjSB>|NL}H zk^q7{i%Zk$L`B^vLxzCsWW&kom4|!zKCG}9D(q6>Sb|T$$*;2|pCihp{OA$`tY`_6 zB&>3Rs0C=UX9YxqOHEJ)95>E8k6^JDhL$;vyCfO8ZXWc;v+M=#Uy?Ur;97nJ2XK)W zW*CX+CBt)Q4r(uQCT~z*fA*1HgZpemxd-F9KqOXU&uEkR zlb}lxE?$rI5DU$Ov1gNeC2EDB6t6j?Q7+I0i-+58^hD%l^y!;OzOsY(Sg&XB#va!o3yBK9y0eW_5{i4C|^Of2QHuR*l zpODq^T@*E7{LvC*ay1+|VGln?LBRC@@98=!|CF$O1;H~Bf9m*fy~)Nv6$AzOfJpF;LHJa zA|56}5_TFRX7<+t*sZHfx?Ije^z#s=_$v`FYanHd7i~#=;FQ{OCKo56U+CuQ#*$1VM3FU2YJ>`gajD+5@&BaCelHxs zZ+iAG0ZmYi`Ppa|kuuk|=a|ijkyxT6`|rUO zOhELB(2}zPka@mFIt!-#qHSg!Go|6@hXX##5wQYAn@8pWzjrWHQUa$F(`2|(rjrje zoi1>6lgIX1FZ@I;IeJYwfTSUSR~J?%wuC@YS=18#Odr9ec>zt@?|gonfLwZ1QSS9A z8d#-15f{*R_U-ZZXlTV;X&y_u2^^6*$`xT-s5uZ<(6NVC=k#fmTu-aXJy9h4%q{_F zI9Sswzko?^oS9A!V9WE>Hep^&cS><)n_5UPJ8xFCSHJ^_(&Ku{cs?VRl z8A+<$j$_8BpMIh+0<)A!auA+l$)f{AA=@+};vObE6=Wsj@_Sw#mdr7i$`L{V6~*=+ zu6jDdm&oL&q3Hk{??Aq&`_+akU4p;|#0x*NeSv4!L0#Sb7D?GSBmuM-@62fj9?>T= z@GjqjG{MMdbQPxwrohK)WNcCG3lK7DO6ZtdxfkvX#IP$pFm>=2k1AuAj(5~&hn(N_ zgAKyg0{Q#%%c{>AXWHgjVN{oy6zO=J5#SYGeKX@CKEJfwNeE_05txoJIN=-iz91b= zT4?29RYl!az!+6?`V@L*yDV5wa8D158xM0+jqnrM9MjA@O_yG_oCqP4K>SHaPIt&V9Kcs&8OGq$ONhnpHUPa!u}{Zs(HkHNxk3xx6U3=tcNLV+d@OjKx6j`7K6NcRP)!=nD8jopR^*LKDO zh0x^|n^GGy56U9RlQn?<8xD6v2N6(lH{iaspttL+R1Rncy_>-bE~EiBM6Y`&9as_luW0?Ti5d9lD2& z)G`HDQlFE`zRUgmY$@Ay+KVQxQGeNK1)mQ+2?FWFZ0ksEJF$d2@h5lU zl#4#_;sq55_(|@>0&Y~TVcNbM(^?TZppMz;E%;N(6ekwvAgax(Z(A)Wb zuYwD~A$dz7mYr5hWj&#d=^+9o@c66xiKPIIW5eRsgcTgWNo7y*n$;DRRmXXI?uwOt zKXeXJrsqqL1c7j7ZifiQ7cK)OqVK#*BWNh{!AQ! zcG`%Y-aVE4h&i*)@mD2Wj0nU)UY=yWzcQIocX1Pu>yq2z{SK5VsUNy*M(NEpA7I*A z6Nz4!y;3ou8ulgX7MNRPV!gCn$|IKl<~ZT#4g6DZq3ZVJUrYWgXI1)dZpzg~I&pa6 z9!;$G%-)O|yVRhsM_YB=X&$N;bEgIltBNhGNSzc?_zp z#=>#_gf*hi-`;SOxaCez#CyR=RG+>{rt@dq1@wEgdU3(=I6J~QZ+||}`Z%%SGqarK zf90aP+&dF^y*WQyZ&_%mE{}uNoM&?F8{sHzQN>0540Zd$hIhl}G55n-anP32v}Czv z@2BFd^%S(N);b+$hTUwLIIxFv?v~t9Sp-}Ym-d!N29O!x2P^i2y-r4$+E^+~i(g8Z zfvX|`{U9OwNj@{4W{N5+h~N-!(Oz@>(Tti&<4 zGv1{5kWQ5JP(!Gw8c;@tEd&>@X^;5uQEbQ#17md4*@;bQPtDIA?L9MJ{Npd9Dr?e# zcFb>aT6bbHBQs2v8mDH&w2JM}AHeI?yB}?@jQx%MD_S?u(C`HU?gIfu(dx>S-)O(e zZ2T!QOiF9KI3L+(cT{-BXaQmKaRSMsmr5=O-0d|K`t!vRR^2hymDg_hax`FV;d!r&-^pK+pe)`%T%ycl(0uqr z3(Cc!WnAhKg_BO0`?EiT-`@yWnX;JWo88(f=^S_Yzs8JZvN*f*%)kTpkK16W=;0s7 z-02{qyD#WN$X{m-NJ^vkuEW z$Io@NUAFjR)vXJe^1{3x#~z?sYNOg#@Z>&Zu#B#PI1s>vxHLNJ8Rk&9sg5LNXh{MQ z(26`98|Ptte{xc_y#6ClEad!uv_FB!UR-}JF*05jAz}jZ!n*Fk(u@-hSdE_^D9%q| zg1S#H?O39IhI#?1sLbQ~gM_TyQZRasCCRD&{iJ%|^=6Dwe4CKB`ttB`-~_dxviiWk z=at8>`CQ4#AC`~TiY>+p_g#pvPR&|OG!Hkj_CT?3$+4VkKzwIy8D^YAd4)dmCI>N` z&^32L(gP%7MC>XUp}TXV>6!gC-20+w3|z!lM>cD2;?j72AECy&L#m8Fu3|H* zNd2_ATxBrcupNa)IIvJI>ZG&vO`9M0XpeUr(Oe5|9h#hy)Y;yj&&6sh;i7o=D*JV~ z0g_Et!JB#KD(WB8r}tt7T!YSLZ`%%Y!wci=>ZZdXhtk)7`7#6?&Ct|eQ2_PTor{(2 zspBnwGiPS>^3wF;q{Yy7;))9BqQMlO#-GUeW53kn(aK^^P`>xE6sa#$6dE5pCB1#! z;XTKihWSkuHXtM=!L7&N>bwqGAXhG|#Fe|&COr5#=5bv23R z-0-*YP{t|)X|41n3LgsGjmfRqA7Q1edj|hFo(V+-$G2whK^k!CP znPHw7KtfU+lOuQJJg zOjoi|pQ>x!C4fYv^b251k#!c!K>Epf*r;~$kKa+36~yt{OCT|oNw5V(yBXTv|UjJ&S(6N{Q|_m zFOfe2sl9sc!52`=Kr)nq2d_9#jvRO@)R@kJyMc>8-Qtqesn%O18dcCvh}||tZ?@Hv zy=3-N#hg zn}Cybq9$61dvmjMx>(&orxBWdHo%_M){@29H2CxwWC);evf-+?D%)8nA0&&;?A^B= z5G>Qa@IM|lJ5(lM$6Xd0i@h+x)<<&nAf|@P!Zg@NYi`K62hV}y+Nk~#{BEmKI_*73 zf4kqKNBH`c{V-+Yy~Blxf~+- z_Jv{YwUPWR*`4tBy&mQ;bq3pMdz2 zqZi#H#N_S*JrPf7i(MFz)S?hoW;vz#!2rGvM7-$D<1{o`l%)xA(82X3Lo54W2}&dEQH8eczVO zqp#N=3Zd)y<17|`4Q6bRqW~;fvnN8Pm{B1%JmG$!P73p`YRI`buwV>p&1S-LI8HFx zTX8%ZuPet5tpQG{6N3IgZlQ2a8ra#|u!C*b>}cB~FWs>E2H?DkP3*w4xZr(s_5U#T zmO*s{O&cfpnBn&ph3eQbduhD;4CBzjJ1K<__L_%HwZVYCC+G3E&IiNNUQy%bzpkA4Bc@QNEV| zf{iXWoj1ZsbsUyY7AzDg?5lGS2DCNBqW7{~Tn-}PWkvjtz{Vv#AZkB@Nno#Zr=$Rf z#xbPl9>AhjJRc#pVt-0{P!V+HQ!7icjxf-En=@U3j{unfZTz|6R@ewG&*%8xn*5cr znSNwZvf&8g6dAtso*9cq7*JVP<58%!84hvFfaBr9eysAo48Z8s1!opb>({ml1=pxz ze;Bej`Jz&9q&|YKmW9kVO-GJhifGQ&k=PWU0_GgN=V48bYQSQ$veutz zzf=_ei23xB6?+05C)Fje?z%|mRx8lC4ZP|HsD;nXPWdjnj&6j%mLMXtY%VJ4V2f(F z*!jhHqX;-;c?dGw2D62G8Z+}M=|Tn_FtkD(N=Yf_kwQ{x2x8ohJz)<>GV*B6aYsxk zw~pj?5=>pVc&mmDzrfPKI9`qXXP7>;-acepK;=e32h@z6gz5m%8bs`G4$j2cMJk3k zGCqB(s}WkbX1KSQ47_CP|G*RXSp#ss2 z22zJ0|H9Uhz>*)UP~(GAb;^kq?v@%1=Lyn^>9~|E7mSF-j96aGqYDxad0vcVV?M1_CR|Dv(;)4`Jg;EeqV0&unn|*sgQE3-UKUcq5hj+c>rypRvzMTra z3D|m+y$id*ni~7@G0_@K37!xDY`EZ91`EyJKzKr=0Vn%z@dp}1RQqdpRNP$urOU3- zE^mh#nDc7>AXM*rc6DfH*5x67I>3(CtDn8e30h8bjz?J6!}ZlrgQi)aj!PCogYx7G zpmm_q%M4~U6gkvcI@M|nw@(3KhU;X-5!Ezu!uCpGF_|S;(0Wi2gn_8zADrNtRw$`@ z1?{Rd%=ZZwTmwQk!ueygTadHPP`A@#-fal8n|1pJTc33-&TB^#h-ILv{d5R;x%Y$w{bgO};t6q=^T zYHCY7Om{-+4J-*d7xJBXmJYf~=1Q#0>rIc<}>;B7jYS^ayMV%lvxTBWx{ zaGfO1z_Rc)Qkrz=9=&0w|5?e1uCMtTp313$%N3Us1`q8j?p)P#PL_}LHOqUt(<>!R zo5IgT*AmIP2dOno@GeyfSkYk; z@LAH-S#}kjzOfi!q(@21MaBZHr36eqOCEL2!?Vu5v2J#mC^WlwFn1u4f}VD1Zf*I zzoIc;J3TQWR9kB1+nJ)h1JoG1E`ps+hpi|E;#@Z(q>!Aa3KT$wN`SAW9rwpiCVCF# zdKf(rge&$44CURKTj3%+d#AumsPr{@)s2`{}hl+n#aF=ZalhnIt2LKgbVmPHMd?nOH-iONcOejAY;wjll17B zRQ>EJAg9BdBkNE*U{W)PqNp#h{ixa{7m8+JvbntqWT0j(sTGgSQ5-BywY_u8W_>hg zNIAsqEWebK{qBPJno0+hnNH)xEw20@oknYkm%`VX&@l~g>vZMYUp&7L&_MR-V+^bL zrL%+eQG!;#(SM#Cx<%51gh20<{9R|xbL>Q(=bCaXsKVeYGNG`UwB(1C%$Qb4%`L8@ zg^ZOrwB&p|210*uf{j={bgnJg5S;<;jyk}=V{5Eq`V^aobYm<)%fnoXzIR9hwvE7g zEw5^vj;r2Jrf*5hdCOY2kOg_Yx7gt9)y$r~O7MYkgZ}suB~#Nn;!qB|#sbH493yjL zHhb{5V0}Se6<#`21P5|b06ht84To(*3=6-T6_Y_oXTE{_FaJ7sR6wM3fP$V+=r}+% znP8L(2}C(bnqx!&UIF1I`kXPdjyr#sy`v{n;1XNwQJIU5#{~6i<0D&`w4Snzn+^}; zjYl9hmRBJFpDpg7#ru{b;?#z*FU6yuZz~%PH|6p5q-H3O%Tq^rZ1G$9-Mz=!0DU}r zP3;kjImNzjS)7Rl+k|^&o6Y#d*&W+8-Pi7R-aeC3rH^`3A+Bk5?ihAni+__jUXMT# z=~j1x&YpsY5U7WOUB|Iurep|@Rh+_oY1~1u0g+D5ZmBSd0!Ti($YqP3{++F-%E!AV zRUY1zc-HOG>c@TL)vq4nbt^ly;`@jX9V@a)>3426CNUPxunQM14Kvlv$AHhS&BCrE z7j9;ULYlL}m*x#~*c^?~6a*I)I!7EE>ZNyW9x$CqwiZ?V0GnwRxA#9;8Nf~?N&c6j zrpud_&m2#aZx4)!FW!{z9_<%1;wMub@5dPrzSk3+dMqNhzMBh9;T4xtLY=oY{Z0UrK<7Y4|FLbUfN!D49gkd0xJuf=a6ik|ttUaQ}V1Ee;eUkm2( zW{+R1tm(eQF#pYIvPd63<+)#V?(1CCiwaoRy9i&&dm3|~TBUhqkF}6gOkm{w*l+D6N%iCt{$>eG9JYgR1%9VZmO(nDXO``GKX+v1@ zoZP;u{M90aC%J_w;QhQp{!mHz?acRlZ&6Q|s)7C5VrzZX%NC2F4!Ejl@OWvbLt9)y zgR>;8@#K}+?;5?(VEP#dw=UoW+DWfWT3HG?)7lnNOj=7!Z+ml%*sBmG$*07a`1Ld>b6Zyfw$~LOHB??7;f$p z(M>OJ*2*Ww-(kSs6>(FRC3Jn7wKM&CU)6;j`tB9*+_k1yj}0tZ-&rggG8ImlxjD#_ z?{4v9SGl@0EKB$bOg5N$D_cC+5zuwIUQuah$E=bauu}d%w>kACk3H&l-6efciCzIr z$ukegDjs#iJv+5BM?i9Q;zxWZI_cu?9Q&gLRy4A)_O^Ojd2e37eE7HG-u7*Wi64r6 z?fUhUO3Lb7qnU-bXIIY%Zc>q%o99Yn{NaU1y~^-zB5#&4o=^Ps1w6Hc^vmXc>!xdh zj+ceu(V?qi2r`fsjpz@e0LM&=erMhC#k;bD{TqT{@Xoo_MQ~-&t%vxSg5CS()BWxx z*r>Jh*Irn&OD8|cQm6B?^Yc1B0pZ*tl{SuEHREFPtYtIn_PpAluG}zaT`J6E{vRCH zQR*0d&z-J^=&-T^=sYh+E5W}CO{)eQ@;cct@9-J>VPFkt#(%D2C}5j2Tt6JPsO{FQ zT}_G0&i$2c+-L%{e>`#t!j<~rN*x9Z!f!+V?-!n@}0j%;jgu_RN&os*&PBs z0XvZ#=DSr$*D?X4sb`1j)B|O}k@+9}s-Adf_&O)^heCq(2fxY`@^R3d%~{QqV1Eu~ zRB-^>6!VMq8qdy)+CZ632W?*Z%j`h;(Fuaq5)US&q;gczFjgrn09{i{X|gsCnLS8&;M)FPZ-vrH%6l!x`E*MrIGfgdRbiUO8zLXdAv09pDeSJy!M{|Z~l%-LZ z&{aUI0}&I=lPAr>WZ#h1J2u}=;-`SB@(e3RvIfAQyRMah9uYwsb>?wvKl2%li$UqF z49isT?$5EGz8(f&G-3YMfwzGlg8TC8cj1Q46e6Zq?_~uVAQ$cIys{)0WEKgrR6aAF zZ)zroFy*{M{XhR@QF+4= zb-rxiFbWn@@=|vPE2$Ay$57BFJK7u@-#F3o)_TvR#H}sR%uvuJJ6a!${_?bT_3Mtw z(L?)ZBZFD9EU-PkZjYXFTX+xAZ-L{_eq_^#MvCK1x&P=Vuh7it>A=y>%;WIPJ~oT7 z1nwn{az_Z_uaxH(o0!a-6!}`8!lb7~C3=V8G=Q_@M!=?#W6-ySmPr_os9Y=+oo5jm zwir$tc7_5C;h^kNo!Yf2=U4Y4X}PS992fE|-CD6P9g(_T2RFGuD1H%% z;}~92<5r42j@@d{YxjHPaCl^|&@Gj%oH*{yZw;$)>A=9!xxkpXbkA>-aQvI!9)U+F zMZ}`^Tf$I>^y4g!DW{G@2&Xt5@_CMs#9y24EV9wsH@>;8p|uCoyYZEl#L5A+lT%7; z(!*aFCY5(P%p+h4XVhRbWv~c;qD=oboLxq6w&yryv;%aPm`#H};t)3DJGUXfnG%jh zBR4dObc-KtQLgMipcD222xsP>iH5>NVYh^xV~Ot0x%)E!OC_%kt$NO2{35W#Kn5DA zV^d6*6>tw;IaSc3pzlt9IyqNSZd}V=@P0+DPt0&z(oeluL=dJrl2+DT(C(5#Au8u% zI+tGEyT=IjR*6%&I!+}^9*&vj1GX{tgX}Rq`HRy(0rS#Z#LwI+M0V4tG4UT`cVZzI zziFin^-du?tzjGXI%i)GR%1m?MZl~p8TZP;vX$_YH(n26ZP?M%f4WU3UnwNpwIAe0 zb}bU%koqF!8$3&16k~%nQT1{Oj@Y6~wR`n?Sh)50w->wg40y$-o9@tkgKNuS zYJ*G6toYS^Zs0;Xz!UpKJI9#{q2!6(AP(mdHEcH$-b{X{Lo1+4nwJ3-$L{7U(-jGN z%BM0(ogDy6Vj-Dl?V%dG1;_0^SGEBSRYhQq5w;J%B}EiHR44=5TbDNVcEEm0-Mbfg zD;$bf;FadsWm3|l>xr8Nun)e&$|$Wn3VGY{HvF!ku{NGgr-Tf1&mkrJE5G|%CxaL` ze?L|BpSKvXrxUR}W6gc^JTPLz&mm)T^f(39QT{x?2B^A2aL5Zm+c8GC7P{--k(H<9 zMA@M2Kf$(dVG!<*Lo4r9P}^@dr$RiUD(Blrzif`nrBMM+g1>n62QZPSwaPsBlbkG| zR%BSeVs8mQGt?cDOrd2}tng^fRTo!xhrrQBjidF_w>GZEA>&~y>+@T7QzK1m!_QSf zkX6xuc@4+rBH_>?anxb1NDIRUSkY0e^cb2@JTMDSvZnR#|C$zot4mA^AbUI5X_xWU z{N4c48HAEnlsQ8xKKC-{`TA1mD-uon3eR8Y$ChdezS>D({CoJxolk_MozfUmuO(zj zc#o+lDLf(%AU%w0FEoL^0fz)86OT#k+VN^96iF z4%&H#+snov1Lh8~bwycb?5+n0`PymKLt7C(Lw$VDLK}hoPS*7#Lzm+gu?uF&qj#;z zsv9vlpUYG%k(bJcdEeontf<#jV7TMIw!5OU$dzWF>e1tO%3YMm#17EeSBN{VNjv2p zS|nk2(m9-hYac4CJk8|oq_hT2fj8aO6(7{2VXj}rElVhyf70SQkgSPSQ97`)7kh7~ z*^lY zdP)Pk9YAb^@P>;VlEQ(|3POjio9n4oJz{pBpz4;r6A%!9-n1M+7s3`f@#`To2y2F2 zM?B&vi}}v(zPDCf?sSw*DG7?SE1Zp0NxJBQivi-9?77r8Yl9cAIly#6I{%{hGmXKn zd1>aIomx(T-3fEm}uOeR>={Xeeytr^;jD>@D$ zl9+3sIihrFih$Q^dh{{tmhRyH#jcd8_At#8r|i|$}Y|$ zfc_BfC2*&a6_VOc;mq^j)~NDz7#;$e+FWNuUwqzX0oyNO5Zd}nn@^k~S9rQ)fM(Sb zpW&a$kA}VjJE$f5tRvE74^2|p*da11j{ZXu(ZUoAack=|PwkwZ=r2ZJh9rPy@-F1W zL@ZungSEU~xebU{BuY2i35uf(F8S@tg#dTtfjx4s&~`86eJ*!~!;ELDv8_kA4* z$WLj-R?g_7rz;5z1bcYoGRQIhM^Q|ef7k#VOiIsE8V(Pvu~&4Z?6GFZh4tnCVIWEU#*TwEu(b=(|hoh zUiK9g(ucln@{8TI+U%P13$Ai*nc#;+6Erzg&U*>t!-6Cuyu!J`^t!g?)HKrBoZYe6 zeA{@r4A}p~kZ32^b~BGM%JR_*qqk21T1mc@ad(rf_e*0%qmZEY9%(oH@&PyFC0S0P zH|@k%YWuGfqjsKfUgGP<9m<2!`_p><%)C{k}gDV28`^pChijo7YZ7sB$+F1#QFC4q!H*-~p zPwxA(rP5&;_z%vTf6U6~GA;tKTa}mD*R_@}S_AT0F%EIo6;JHu`8G>m8s&}WvrdrP z=hjtcvuGLwU3Wq+wg_^0)LolS_&?rjnVe^W-cMy|vdm{`)>ZOw=JIfc90AYQDq2&t z0GoftoS&6cV0jH$hi6k-kc#qqpR4S2_{H^-6O>*FqeLJ2LXvTG1X}X?8UqF|D^#)u zctzf@RuKYS7lXR&0j;v8;a5LH5C`0uZ=vBIwJ?`l60d$#QhmR|fo3dvf`IO=fVbx1 zIhtK95(t_v5)h<*y7MOB_qM;AeYpN5pY!t2^^d@fINwv6jR^~E@si0e10_0ngLowMAN zmkxq)kK&W=5U++*aQA7bUwX$i>0pTJ9^aM-ZEvgZe=R$;@a!JAFCB_$Y)f@P(srnU zePV$BQgN;cerHSdbITn3?xGkXRCQBJdW-vzKDqmq9~5s_)pe`j0+?}8Z!K_i(SD2! zBxyAgd{>+At0=W=qfyABmsFhh!!Dhi4pz6N@4E`;%H`$^DF$L!td)AUS9-S3%#y5M zlkt@yyGbs@NNmKY_Sbu7suMF8kjwWB;&~j#iJ1`Ri;~+r+&9pIvptl-L@X;f@US>;#|$5$Px~r`Sx!<`W;S` z(F#h4=+B2}R*3{li9WYr>3R^^!sr;y>9yU#H`&%Uv|Rb)sX2kCD(JA`%Ij`~5DlNw z)kSq+Bx`>*9CIlDF|9*0F|QJ-sB2B#J%T^`TYBat__n6g^O!dtosQ8ehRR~_tlq^e zRLRRc=sw-4-$?8eVc}R6E?xAtZ)wVlF3ZtNoS>U#&8k&3nQdILNx8n#MUp#BUz~k& zrBm^>m3K7p`L$i3xnw>q+H2W8~35xbvU0A>;W4%7L z&ZFTqU@6-ci}((owMgAE3WIdx_3lpJ1>bh6qkaTI93=1Ny?m_g;!|!)2E#F7>l7|$ zX{#7n9d$0&!453caTXN=TT&7)$qcOEe7Pr}DKP z_^auri!eEaovk>3_7_@JI1>iQ+M-PF#{Ihym~fH9F=XPA>2)caw#%FqFvyhc76lYE z$v27~b4D&rm^*2f5uaj4x{d`cw_cAb77mv3X5WfX*6V3`7>-=;(Glpwyem^uH#(gx z;14~X_)`{@t~B9&YUaf}S*>gcw1O`G7G}g87en)jE1!dfx3UwAiv*}hom+QEjDR=_ zg^upe$^UEAf06VbUx4~xj&j_ehhiAkXl1$ST%&-zrSHmRM4?vWDs+7XfI^&{AEe@ zA*N#$H4SFn8NITc7!2>?%x7~Y?f5J&Bc*9Vug#tInHu2tiTLXk>uiBVK=*pK&K)r# z@r4t7&?)?VS!1qMkQ^oiztHa=w4;mB{6sCJ9bT|;Ja2k&RTowBocYaJTD zgNxCb`9*rUvUbUL-$yKS1$2_DH)AlRfD|MkbH|kT`p%!mxK&r-x#5R_t&uM#$Tad( z_BO~mtVCG59NvKm%Wy?psBrHp(n|2kpTN2cmC%%rMQ-}Fbgt`yy(h5ptvJqym1gPW zje63S?9a`+PbOypAwg$@uB?T{TIW$oMOA{xu{Z{uo{+@#&$gW>>0ir+#EZnII~H}@ zY2Eu}80kU3_w_S}I7$TA3;Fxey>W?i85U)UOR5B9HqURF%{688dP9!JCdS22@R4U- zU&ydrxhS*S@~iM3i>tU7_w|E1OS*oCcX+EA*k=8lnM*6|&LNq9SibZMPVpbEb&#bb zfa-g$FdB~tIMH-s^v6KI*g@qjVA@J#C)kLQZNq0>;f(n-h(I8TN+$VV=#F{`!d$7o zfs5*1FfL}>LYADt*W7untXcXqyZ)K4iCQ*4d?(^yfoRsN$1r#@Ar;)FU+|8kCw{tP zl;MJS^ED-@j0P9F)dW?bP4uBcLsrdnj^htZs-^@-HnxVs9K>=9ElDLfUTz;#W;)(9 zqB&p->Ez&=dwve+fl;0@PIIUZ%yBzL$iR-L_x`}`Qczg|^0n?HqG`pKpdDeuDaKHn zo@qvD$wdv^KxWcH#M=ultE6$vs?zc~6>!>O9lp4?Fe+8kc8 zpovJ1=D!Dlg`hVy(x}$b3Lw7`w4#!O?`bYAD9I__ptybMCu{+ol(>Pq4o!>tlH3;y zT(-FnqkMW_)j-}nm>y}X^5NQ?-Y;T|bTc)RVU?AFkHMV<&812@SUHiLyhbq!s!FwY zUEa4DU2aqk=CY|#Y$k|Jjv!~4KoW7bJ!<~JdgMNS$ZNDtk{!ybIh8px8a@!=t^>qL zs&gljAYh590;qvlZH~fnidNhrM0&?bHh;L<5(4cc_RuV8PFRwNh;V9pDV1jK+T4jm z2vS4zR8wZo%;+XItSn&SXAmQ25OY*)xp-3!s67g149Q;p`Ax8SfEaydx4f~Oj4>IM z2}36L`!nS^1s5MIyXKi}+3duIV5$1i z=-5b3{=r1}m;A(p(eHoJlQb#+o6`p(!R1Wceg}Ey?5XYxLR|$(pv9vN4dG-LvR12P zll)vP^as(eS5$^Sd@Vj2nyJec!CSn&h0s6*!L;*;BQJR(ls~?$K{RJCBl}##0&=ww zaQPr4=U#lc2sz8??tkKnsG1rKB>0yxep2lLb%>d}kb@MRCLPE#L$nMPl$_O@eG)RP z?;x#qWY-E!uhX((AavJArleXvpLd@=CiyJ5erQl)&tatvK{MF%*)V$u&FttwpZ)5jZS(atv5j-$9^r`j9-UMy)8_um58$I#sfTg>?lvvib}a`pF9x^e zIa`?x3x;IZCKcODluGm+#O&BM-w#T4(8bU}^$7Y$aUZ|s1oh|qeQULxCiYH*sKkF< zQd}bwxp>C{E>lZ%Pld$LH>`AqL7a9il?fCwIpUhzAt0n)FE38vq!KE>T8~3A3A6VC zI==`0SC%B0zO#SQ{YL_RV>I+dbbz^)QBE<7-%z)D$jxQW;I*y%8k5HlF-bHry=OoJ zA`t(l<-98~ka!cIhIjMwCvHr`X$rgN)aQYd5h7L1e|gD&Q@ndFTLZG;X*r9TVXl&lRy`vcNKn$@ESt@6}eTj zoA~(0ruBDV^;rEv`b<7mZ*inn110})HWV^(EHxKdfmqRh>q>t9u@f#xb@hqb^Oz^= z!L}eO>Fk!Ec~=;DMAOEpQw38PZ<|6%IN$ni#Vni5e@NI>II=dDrS(4c_ilOM#295WNC5~ykRF@WGMCOnoO z&&^&QxT87@^Kw!gz+ZTpFEK^mHD=M;kd=ngF!$U6YURbf0$rj1a?v)p{rd`o_`@j> z<(4;|lTqGkS)Ogyjhq|AxP_x^UD5(eQVE{USyKN(5roK+TESIF+eK zDC~Y7pd>|}{I3DK0MmoO;p+F4`RFtn6L@Q-dB0NWm>feQK31m(4)rxF)$urW^b zr+M-FPyO4t%H?MXpvmN^45UU19yCd9iS~Xb_hAmgv!7t^KL1wkPBj%+qBMaGd0=^* z)6=K9$6oqh;c9z;23cDok9CQCl5uJ?=XPB81j^Z>QGTPON4WoSM={eDCHi11e*BW` zNu%mc<8|1I(HtJY5XV9%COFU^6CSN`Nw?#4aKhl`MgLI4ZDUSSUZ?ez6fs2I?S{@y zRCCkAb04--Fz9d4o9@1`^JMHs}xoDGuyFNxHmTdW*4`-#0h=(trA=c;m;2qpduU_&0daZwWqyG!v z#>U0|KjGV0nEp@rHWudpU*X$yP7?^4qTcy^MSU|R*Xy5r9y91(E_OIuL{_9|6bqC( z?~Qh6xNEXF_y69DgOP>$M6#A;PtY9JNYBK83H}mfe>9K3J^v+U0|WieVei@HdFf8j z`Rwy>jy&_Qf4P-FsCzC1Tl&|#>1F*&wVcst?d&}zIY`0!m7y%p{xUZ61kcHn~J zho&UA#;-8$3Hy<&;P{$x&tbcw{fuH}yvVJ@aE>1v5fwD`)meZ-+J zg>D%;M1ZdY87R62jCX2az_(Bv$3Sv1U8qxqB7q;FEiI~ge`+G(jqKMeh%1{h>+7_L z#k=fJLwYMXrNFzse)ru$EzG;nB*^?_hsJh@p4P7A;8cZL?NCiL7U?&YB0x*@IE2r< z?!d(QWq`MbXVFm=F(@nUTu!Z(y5^vUro)XVL-&}tTtso|%{)o&S+v0S3oYNFL=rMs zSu5g=|Myu7*l4htaMY1Bn3|LPVEeMaKQy!0Ot!rAYS`l;OSmX4HbrtHp!|SgxP0d8 zM_2Fw59#qE?vN!`{7{qfmZAfK_>#$3-RE5wDg| zqE}C)()eyrs9>=?rwu28PLdeh^6PKmEtYOJW#bld7DoD~X*IcTQA9y-`hrl>+lga> z)$kL6M>Yk}s!k+dBm))j4*YL25?qF4kV#$Cq zfskQDnju%im}*JX`Hf4Z9+pn_QB!S;QH^Ls4lMq;;O2 zxGaQW-u7cD45ZGjqolqV%h7T2U+Mc@B`TXxWV(l%TlHrC$W!(?+H9HOtPvAX1;P{J zV^7y8vT{?JIwN}D4HH1?(FyP15vnj{VIZ{H_>JzSj`Y%$=S~TM^~naA{?aC=iX(%e zxl}E9QgA~1{y)8K9Vu_!qXX~(F!vr1$c-N}mCx#>bR}jz25F#5Q zIQL8mV|*7^F^3sdT@#Hz5?bUYf)xb&PhOg*#y==U6N?(QD!HOEz0}51SYjZU{5d?3 z4iP-?K@kA&Zn>tHg20f?;d+NP<_*XsXC5_+q*wrRB0mQg3!|&3up_H7d(GxOD1I&>JgKx%{XnhcOJLZA8O5}GhxqkJMj}8L&WYr^q<;bH zsOqR$R)?JzH$t_Y%!0i@Na_oh+ys}{lNvZhx-NKT>a3{Ge$oCFnMan7?yzzkq<^U* zwsvq8Be+)uEi2@{e~O+cGF&Tic#lb9#P>?u{GY#0!7>1jqWr(1f5g!{T}CZ|&djxwHXhxjUSHruy%dZPw$hq`D~hO2sE$rx3h%aARR3!p(wu4|~Y0f(HQOhDirC}F44 zL!;T_Hy01t(Kg!Olf5DO@lV1j)nTWB4Y~L#H0*Bl%@%~#5uAw|P-rmSI28*DC3*-d$?8P07O#Z z$IA<}?=8D{>59he(8uosU2hL^=d^|8_;97RY9a-Mdk3vw! z?KI?_YU|=7I5&@%_Ic0k+a#_hRIN66r^WJc2_1C4lut$m+LQ_%tl04p~B14P7Yb2=&5K)rqwpxKHNf04*R>=uic%_tS(x(-S zy?vj3J1cD9AANB-;`{|_n?#O|3zRFjP+G{7?N?ze#-f6QOxZVfk2^bjU{Dv&dw_*; zh$6R7Lx}^X>|tm`3AFeqXAXH$K{7#Xntgd3GiQ3LQy`*-epLNLI<%RVvAR(j_sO2Y zcXF>N;lkO@?3$o)28zx?Nhn*$pK*!58QvK@4CSz&5->o{%(F~gR7Dd;tSEnroZ|$- zxS%La?_@jYeFE7qcv_M2;+rEeSrbY;X(P`!j{bES-A5Nba?(Z}st*2fpHCz;sb)Q> z2Z>{3sApS7KQG~aW`vcFGNgyMrY%SrAv)2o0ix$aekR5iyxX$gH~jk&xHM5*oebzQ zoEe#C==P1=%k)_?r#O3zN8GJioDF+$xJQ3Q25{zexVB>>zeTA_cpNvI@294-t|Y~u0sdWg^2G5KHgS35J%x$%7~ zmjY!7{n1~E?g~G$h7~Nvuf3madO`V^>$91bCCp1v4Py4f2CI@d*%C`KqrjJ8q_MmV z%gWJrI;>_{C(9L*&xZufhmEIsla26FmCKm`Pf45ZUEwL#w6f0f6J&7_2bs$SEHS=d zt$XQ8b#M_h;6=?kydU;4MN(Us{PFF(AIp@feo@c*D@qNF;iCKo>`A;km|dTk)NSOF zmy*M}ofIbOpiOxG>ds2v!lZgC#0Wa)Y=FD}l`fph=8v!0%IkJ5Jl7F35V;f{BP7RH z9mO+*Z)nDh5yNUT0Rs)L`RdH9Uf;+?I9srwmWAcPjFWidn%W5)>-T1U<{<-w@1>_x zI}_=-l6&0cuBMu0-nqKOW0mXYHXs&PRvu`zmk*4g-`yBZfJxp4IQXwbt)W;V#{uPaDoUte)RNv|8}Jg;p-&yhsSRd+YR+Gtve?x)|>oFPj1OY zF73hW=-D!N>~M`hQ{LP+YRKRePcJrNzNahtLNyO|pNA0LiQCJ)#QEn&nOxQWx8r>E z2xwQi@gpsN`#I9gWCb^T$i|G$zegzTN2&WtMxT}nk+7X7^VGIE2;UA#64Ao%-sW%R z51!scynu)~^^0&h>X6*ucs13zZPsMbna_di{4hVyZ_ZczQ(ojb>*W?2V_F{vR<%6@ zbzqa9O=hEhX^}Nfi&2lqYyKuErZLK?Zyh5ReXKU ztkonOR#R%DG@LCm5kjMg7oV$G&4ye;zg0_7T*+p#3dU2lJA|yOIc$v{vwn81IDN>l z>fTDN>C3a;02O2C9Ntv|8;Ho3Kup{9a34B+-6e&J*hNe08i<1^482DH?em1En-h!% zQ;UdE1m?07MsMpUKiO_isbQ$jTH{;n?*)QgjHHzrXl=Om+D+-xa?iFLq?5XH^|oS$ zEcyXjY>?Zc<5Otdf(Bx`9Hb8!0R0g0hJY(kL~18UhF7LjQ_A11J6N6d6m8P#X zJ;y>Mm629Om-qef-km+P_;Xu%ZY)1u5JZK~?^vN^${7#w+iUy4Tq@WC(DeGZW`^Z| zSWPy$m{{RipXpo820@hn;k4#7sOH(+N~(c4Yqy3W?F{V!53Z*?yFXlQt7SpLDogJO zWiYgJo>gG0`I>2WwW3>P-PZG@M8cm2IxW-u;vqf2BpeEarar0Bt>(9s> zaZprx23MLgPHbhQgTA{}`P3y16_!I+$D-Q!4maE%4X%z%OB9|J*&hi7TxqP>IPYu& zE_qgM?TO^sLpcCWRXOOpKB}UwD$KT2NCK>-ke1HQUM>Arv&hV|B(G8xM?*S1!&ONZ z*Mv-x5dQJMd2@54KZn@%(Os6$yAd?+21976i_HnsPShfgEvQ^QeKHHu|$|24N6xw!XUWK+=*p8t305-v_>lOt&B)U-J{ z_aKe1`?TS%Y=E1^ab;A{GtpYoDA&#a(@m!XC0q}`HQygN;F?VW5B_Kn0u7#@yUku@ z+G|q>%a63~D)H;{yKn(6+nk{gEjO!YsG49C1J^8>@!xJ4#jbaiBk zsF;6iUE&cM(1u_*weCxV*$Y)Yk*`~%Hk;HcWAvA;%CrHIHd;NhGCnD!#Fq8j#NRDl zIajha13@e6P_Kcw-}~}3Ie-~HV|lBd1qBs~I5%vD^5Ah4wk&Ni4qMh`tf#k*Iz8$e z*teS&qEIMRFJ|9Htn4|Z*`l>i7jfb_^=hn0 zDDRPQOq8WFbWs1778c$>3=e(!{i-=Hdr&vM6revNc=WMB_m#reF;=)w^dV->Bmca! zPOo8K!C{&2i%N`+QR;%xtXq#P!N+~(zk3@TcvpGXD2rN$8eOuOjGom?JA1>V3!OYl za`p_$BrNC9w4`WP8u!KHhD`FTJ4?E|Mo@?xKiU0-8+%uP@{_Sue+Ea=`)icvW7Wl5 zN=usn%=;S9k1CkO7#@XumS#-Hr~?VmjS?puB- z*7I-^&Bp%MqJ*wLf6x-pAC>kYe^ox#xGjc!aQL%h(9(gq$+*|Mpg1-}TVtUe(B#er zy?oOQb5j>gW`{jsBPuqLxk#qDm4Mu9~Mz~iNh zWwhVZyNqPJrB|J0X-cOSnV5Ms5iu1r-t%yJ^)N$TI7j@8d3CaVkay_*uup8)*$Mt2 z{E}vP-NwOD0y*omvH92VLAB4frKXLz;k`@v-Spva$b^B`caZDlj8|v#6ZPOira|P? zOS);ZyPNbl&LQMu&cLPjNHL?o(btV!_n2hSB|+cDV6hi^hj>Aph?Bo$wzRd|ht?Qs}a1T1CyWr92q7 zV`odfhS^Rt#$lmG*aGUmvgaOoUZ~oejZ%XpY9#vhe}AE|t1h>~<4d#9>3;rXfgo=E zqH?p`T!!}(paYLpajL{>Jc|a+quJC#s)^mBuVeZPki_w0u}vvwytOk}m?o9ox4%`M zJg1_q6#U1cy83i$=d%zyVO&`r0DmYCfQGnW0;8$!9sm#?e;O&r7v^jJY2hSZ{!vKl zM+B;fM3E0r*4eVZeTN<*V!BWcGuzEp`Lfqs z2c7?7f6(6+U{itq7i>AFa!hV|zbGL)BE_-c>t?G`Hq|xzg0KtU{baO+Z|Pp$Uy|3! zU=P)mtxv(^SNI`L1xQ1m?`o8&qs3^z^2JEvg7u)>+w(p-EpRfG@!8dCkB3ZEJdezj zQfXH_lkLFf`7qKHxYH^*)AQB$C;poS%k?nntU51g!pdvnMn@+)9Zof z1emXTM3HAy@L>KC!cP*e)W=+Rwz&O=&9Q%y941Xj+ch_g&wLWlLTM|nbl)zv*B>YK7w$7Sw}<%VdTFmqP7nw!44R`{E$~nUc%i~a>Whd@*)J; zj5k>l=ucJEt-7(?OWy+F@2mA$V4Cr@bew=jmKOYOi)F=DH_dfp6&kh{e*7r-VGOo< z7nkK0m$%{)`yVzDJj=G4f0U^EiV_%GhO1J??= zi@P-frmyR$)|+Wtzu~JVDxp?UjTT_kI+=z(ppIrQ=bD6(-3ee)^dZ z$|`~U4Dp@hQ{&PUc7GLfTbw{9%)?BQWQ9n1gOZLDGM-1nTxE(W0jpG5&dJh1sTFW} z=7h%x#b%hj@piWbZ6Nxp zzS8!gr&D#!eZ}#g6v98O9Y?x4hcC#4`GBJ}&G*jq^xfn79t%%tx6y4z7P-?QHpDGz z@!oOHiLdPBd-d8P*21)oNVtM%9^`|+!^m<#jxU`gTZ^MAH~|UrLZ7o42gCUliZ@vIV8}?X$uV=uORY%7f5T@hDZ<4m z3KT>biNW$o*o2BIk-AA0K%vA1#?E$wFUHTVFe5=W4^dQdnazGrJaM}VqFC!}e%^EbfnXQZS6bAk*59rEg8JC7p?vvCxXVA;s~J? z8`R%p)HA=n=Q2QOz*7&X(GE>Adj>t(|en6 zxw5FWq|D-JvZ}?5;A3Gdg!G_F^Aijq^>75n{vXEPG0c)CXdCRF*7US(O}l&Aw#{kV zwr$(CZQHhO+vc8m-u?F4z1~0j?_`|JsLaSaBdhMJh`dPpvcWtlh-JK484cEz3rkOi z#2G>uHNvqR*bANoZ$rf%L4gEeB>_DCYeG%IV=)qArm`D3e8@%;p9ItEeGplBmHno~ z8>?mgl8qWn!U=8`;!a3iyBOj|uyyJC$eoB2^!m2yhIhO&P7-bp%>^J;KN;236@i0& zVC8l2ARqrj9Nxm|V%{z}T@?)po6BpkC#Qq^sT%TVQC{h)BJ`Lh7(1-uWz)INu zix7$DnuiL^!iWO%D60nZVy!q{#4GCeI0+Pc$Q1ChU%g3P5jHHt;m#Wqx$uABi2}(l zz|T*?5b2A^V2H4mGwa^qfVQzoV(Tfn^QD`p-%*j#6k&xVUDl)u!0M|*0tG|=Lu(a@ z_5Pqcyvr5An9;0N(p2{kR5pTL#$puNN2}DLIAl+(-2@~;8GojWN&!pY0R;=@!aIcS zjwGH&joUux+XX-_vAEoAZKiR#lr}z01WRi&fo(9M09J$4{Ezz|-Ahr1qB1CHcxEE#dDw9oK{~g>Hq}Pp=J02G-cRBaYS4aA%(sZ1;TweG zW+@wf7$FbDyqgd@rH9ZsL2f1_v;tUWq$y=4Y$ZCTj5Z}!;d!0-0SkR2(*RM3A=>X0 zLG@oUrZuW^M&W4LgU^75OMjN+UJd4;VDMzAX)f)A)Lzv?{qlGvs z?rt)3z`O(JNc8QIJx8k_oDQxRIoJArF~cF zhucotYo2x!4;nfQ&QQ-rW8}AgvC_zxXch)Gvxe&Q*?5#gFXe&=wVs8Hg7^*aomOaF zO`_N`@gA#!@^YNNIc|U5%(}8LGt9NV-w{Kaji^3_vYZu;mj1%)IgQ`+n*3cP634ReCP54$D$<0 z`piLa%pEfPt}$n&kPsSjm_gNG%L+0!HIU1@VfYi${%^g0K1zH zaNFTQGRIG&4k(uJ0g!@{SRiZ#Il zUCoSsur|l}TedlYI*JWv`A4veLu(8Ul-qOk?N3c?hPNgu0R)!)1Eszp$cD7DzwWo7 zM!mT~kFC4-*;9LfjCi!H1#(dUulkE&$Y`G|xLCG0g8+Jv1lw_vE&U>S16T5}Ne~1rXV1IBzX{M@{#A z|CjS02Yp!YcIQjVh9QUd;6&*6uUNfuN2T6PZk)7H30#qt@7m_df}u3; zII4HTB=D$1w1>Q;#<6OF5x#H^$8~Le82169MtuIFVY_=Z4OvzqV@4h4cG+}@F4Ui0 zG;E9(Tr0E2J|HsPU9|m4iP(6dNIX*%>F`A86rGQDQL@XjVxfvIIPdq4PPUy#M&ShC~3X6q2hU{*2x zZV?oNTY{Rnn;hb8KjJ7PhhV58Nwi^G_6y%dmjzotT*+t=9MY2^Xh7TuD~@Ej2r}Rn z$hy{@e5T%zfoFEEeTq}5+)qIDE$-Ku;YLkD;@hg;<8@l>c4tIJ#w{)q$+5L>l!RK1 zUwC`_O}#YtcFu4v%tyyGF5) zFpaij?6`MB=cpOj%@}^qz~rW=?W;ar@wEyZ;K+w(7ho#`I6i4eRyY#h``VwisYt^S z(qDAAdG?%uT~tg(l0tb8;hzs}jkp234BwZy?apZh={PrKHHsO=s?hmeoEX2Ux$U9b zu3Z1CTTR2)vGI*qMH9AtLVnwtAy5XBI~^*xKLdNsaG2cf9Ly7eokMzt4=qvzyZEH? zaM5MJ)(@7g5@1Z^%}5p=HZ~9XTx+g8=x0pz)&1#pUUdTWE2VO~+%zT?wUWW+O@GzE zZ$kU6{^t)StZt4~vgITyIVP=9VPym~F4gKtO=l`rW&_jf3R?mHWlbp9z}*!M?86q9 z2!FZSLEVe}5nVPyV|nUTI~!|jyYtud4RdM@BWls*<_2Fav@P!I7Xt&ae$C#ql=)o} zl3)h@Kx)IfOsiXUJ@Mh51D-{U%uksU8zkiMM_X!VvF$i{6w)00?gM$ZEcq4g#AoxR zuCxtAx5j(z&8c{f{NM-at1MxB7G+GA8_B9UgJDSa6?!Z-$EhfJhZR3+z?eaCz_Br- zS*8C)DgU!Tk{3umtI-2P1SUn+YZeUFM@q8w=<{@uh;udDQ*(y?zGEX7n4Nr;l2~WY zRzhuEnq7eYE*QsfIUU2YC(148m-?M!g$Wfu3WnuW5jYF`I>8oJa!^`o0l&i>O=|EJ zdgUoLo}tv3Ol!a-vt)EQ3;8iuti?8I_Q@S3PNi0S;3i*+*CN)%M%$C}%*ofZ!rJ~G zpuRh!aA}X{r00hXe)_~GMp$*-K7CNtnSqgOF4ahb(2Rn+?g`iAG`us&LtSVxCb8t~ zbSVf{zwomc(Z4+jQ>q0RL;|QHB zgDzjAON=!`lk#4JpbHWlSffMHTDJt>bo5kcA3+l(M>QC9nssT8UkMu{%gdC-fLTlI z(t=14U@lloB)h9yb;Qv!#r9}N8m8N77;5IAVsoq0w6otq?%r8Zd+_=(fT`|G#b)|5 z{s>-6!|NuWR;L84dBF8$g6Qq-x1c6#OfZ!eJH?Amd#g zQ7p}}y;cPKGnP7^i!ww~bI^auT>y@c@j`c5Wy%VT~?^1QWccq28IxZ$y4sSFL z*0yG8_pXgl%OId}cc<#g<;KUhCavYAbL;xYv}EPZNXNf#k4-cy%7o3yY%E%LVU0awgqD%k*DfMnB6a+YJx8KrVfrRP+IGfd&~cH1 z={h^5z3woo$^tx*3LP(`w=piU0*Z|)Q$P%sxwU4|Xy(RF_U8{z^jXtZLp@a(HtLm8 z^W)1azulUOX7RSexLi?;)+bAV%j_RK+V5B|gV9jxdKkJcK+tfYe{ABzTO}j=8oTF^ zruLIf%RFa?b9xHsws-g)w<+lGED6aF*7S@rKmyWdJD?v9>h7V2K`Ka*)8G9XW($kB zt?T8U9D|m~=yPe}PLTE>PZvl@=n?@M7Ea1XClGu084Ig#nsQ~^)!an&&5G z$4WbcDACJxwjDJa?2V;f>>fbOB^8_3&;4Tnc6VLm320Q!1yXQ>%WVqjbLX-n$}#RI zfcoy_Aa~=S0VW=6IT%ac z_G}JXQ;pVE##|#;YZK}6)4^I+Mmd*if?nsW$6wL|6sXQv!a42_cCH}_7Q37IQx`%A z6p20uZr_gwd1!cyOuZg+))3#A{yaGHEp_VyQR-7U{%@0s^Xw6CILJbl&{NI0)Axb( zYFx42p1aAhMZ(`UcP+OVRk#>LQ&qSKp~fCZ=+*yI_#3*fKVjVBW)QQ|{WrIxZy_yk zS;L~lN?Bmjiz#E=#$-yhJh}T@QW-ezBJb^_)pFP5Dx0RzjLMEue9mG>44P+aJ5ay} ztbaZFd3PsgqkmE1O4f6%G?fllE|R;?^cn1I15Y;QXLBbXBQsbO{EUnalCwnkVw@M# zwAlU3g!Z{JRX26u%b#RYmyOILi-lMAu48;;7X**Hi-{{5mZk>aw8BB$zPf^LODeX0 zP4Fp|tIl9%a;8$&`94!pkEDiRkC6F>`9k|j`yz?2wUuNyt3OPhv6i$5t`<19EK8Y| zrM|V1*_o~VFl)MXy$JIA2Pm99HIauJ7irM1PhF>eO?@mm@TmgUS}~RkaUhHuVR{ja{;( z&XgYAoJqH*&|mhH7+gcBkvrkO+J7Rjt{ z+<__}>A8*S3JZ3%ShkbLieSceDDtG8T!(tdPAaxNWusZbyHQ<(j;GyLessPr_@z;qe))p~pX$MdarI}EcHW@p zQy>m{z<4wg`$+$1*YMIZCq=(du_qW$UG)RQW%>0Q2zU4s*fk4RUgvsFr}~d}uI(q^6^tRI78lNGW zSiG+%=PR!v`|I*#QmX{~;J|ud8ppDBuF!>Rrbf_Vi{qzdow2zU4wOk3)CNg^!^)t) zN)v^8Xgs$OIo_OJtq8|d?RAX@QR$-Hj8D1pp(e><_&bi0W_;(|I8YF+5h)xGkpBK9 zgRV!MjW2dMU$+BRY9C$rYwJ1$K_Zdh#N0Qy zAdmok1;-uOv5_E&+5w1pj&Kcg=r5qZ8I3`{wt0S~u?n;<*_tFh6!-TSZ@w=6Zn&8a;QKCpg zDzl{Gh_4G#8ZqoHSU+#MCwc$I@=sC_SZQ2FD8P z${a2j{k=}bL(!lxIDF6+4ow0p!Nz5SB;XTO-W(+x5HO^BwW|_0a*cBpSmJ-=%Z?(S z0~LUYLATVEa&yHYxMBgt%<*K}D*gM17d^k_>L54dUzdR_a~cW6SA#4lIf(Z(X8O?8 z9a>mwYY6lmR+%B3a~tVmehgxjF<8&SxfFQ%UC3)$?0FqhtT%pM0$@FhLEDet@o#Bx z<-MI(sN=O?36#j3(Q0F{AjLVUcXQ+g>+bm$+3(Cgj_H)bsysXSDe6$=Ht^nv6+ zh-g|Og0N^hXsD0*%9XR{+CHA8pIkZiY-ar$Yy+XOEP0 z`&*2;>Kr67xrEg~(9`1VZH5<7Kyg;&px%{Q1c!E7#`+777$33!f4@Ec_g47d+hZ1H zMz;TRfFtAoj)Yk2OlF*S1ilG=X2j55=#E zjDzBM`F+dY-F@6A#~5E)K>*cf-qV`q`oYQF^|NccR4hGn?;E9~t^4gnXv6cpfALxQ zqN{kjm$cp86NO#&;r;5&xt%4zyJN1M=H!^;qr}yzcc+qU zWr}^i=ks+H#i+k`q|gVeYNz}ArQ({9rSsO6JOF4f<{Q`(|;o z0`c6G4Bhd8Q!}=-jQHt=6N{U(K!VMsnAe@Iv%9zB<#Y44rl*s{^lF^bXiBkorns|s z`lx-bsf5@T2&jOEEDTm`bLS0xdpBjCg24X9ZrvBTzF=gqfGC6m?m zTsqw)@2HL;K56Rf)oHFb{ly?u#O*__lsRsBw2;)zp)5z9`ExfLNA$BatE+&A^SeIx zujxLM1rd;n-5MxUjuly~c&)sTSw5mQUH30)a2a>r@`~z*vw7|;7}q+1%{K=r8ae9+ zZmBnr&TQBROk<=IFrd<*R@NM88n(z8D1}oL>)hrY{%dp}5zc5jsBQaQkEXvi%_sY z2J`P-R+M|$h*@X_sZrF8sWBJe&re)L*XdyKYb=(Ii>VZ~)&0esss_auo|ixc`Qk(G2bbFW;cU*eCK# zB}YN>-=O!#TT!F{XKbL?@*@FSLWoZjax`+-tS)mS*ZZ&G^Z*7?3fGKg8sW2XgUyop zL(()Nib*hB1Cjn<4t+41KOEI306E1uZ}4`RQQi3l*dEB2Kcb%wf10D7z(z01MN2IId>!_#WdP^tS-RdLD8(+AK$W>TlP9gW z^s1?ndSL=xs%}wwpiL!_J}_4ky6naRrg`q~$z^pE-59bo?{et!`TO5!`U0)S29)9( zk0_F;(z6Ffl)HpHl!Mr1G}BQ+^C_rWw8Io>8FCWZVOJ4#_RDGlxebjGlTLLBBMdf$ zaVf2NVrG6saDHsYc^DFprPDo$WiN6hi(MZ;z%oeFo zdt`NKL$@Q)`Gp|K?+J-qzaMH9I@62bX<3IqWkL?A6}8iV(f(gH)lPg}Ts1hhs+Ta? z6t%A;;*?*#a)Wgi*Pn${GD{$H6rJk_t-PQmHChe|APG{W)5-Y5K z;Hh6FCb3c_Q7raoJpzP6#duh97o&Q=EW&7&Au{=^AOqz`F*IRRAQS6ST+~9)a7FY$D2cnJ?SP;*stCO?Xx)2x@^bc-^@Ty4#MfIq zto1XCn$*yd%cr(Glc@}$K+D&&=g(Un>+YC9WTReIO;i5Ga!$bVIaaHrRP>pMuoWN4 zJD^Z!j6x#y|UGB#|L>av0HD>Yk_}HHYLIeJi7?;}!lPteF?dR$(v1 zP+4o`aP{x+JWv%)mzmI`cB=OREh(r9?1Go9g+J`-Jk;u@GU~M ze+p3spvDpC=~F4YfS*_-5_9<0)+@f1rhdm-u;CP`tkiWPLaJxE1#`MhulCK!4yB3a zZ2%Pk@et$pk|2pXVKuy1K=9M=kQbq(D9$4K#Q20nHo|*L*}KC;6PlU3T&TR@^(QI0 z?v<)$(7gbtRXp`cvR&(QNq3*A5*0w^EHJu*w#y z=w^^fY$*wW?!HhZsIX=OC^|yYis1NS%v6@nPFU(Qnx#@@?1Xr8q^6UM7IqyPGaN!% zG10T16w^|>Ih7bTNp1o{ zdnP+_eQbpgX01(L2`bl1hzAMh#*>ZYna<9Y#2ND8qq@C`vqx%T2GRVT$I?N2v?J-x zss$?WY7S(i?=!7?z+ zQmRi1@-jnq(zgO>P6}2l_@th!M7-!SG7iSUwm`jrC&T*x%^PP z{6Fk0X7*mp(@0g%b5tjgqqNTOCEP9c_vO}-c+@+i)csV}d@K|>tdM`yKvKlCA)46c z3y6a2nS#_Q!cYN^B1>S^@<9RiEg3`8cl7fMaX2Z^fx?55O_%G^#sXm^X&4Kd6+Epm zkrcZN$-ee~ZZZM;-hxD}3~tNSEzB0R_5$Ssq_fYWy4Vr{d&MB-8g8`-L!|$r2S!6; z^zU>kV|ACHV%D4mccJ$QdF^N)#MRY#kVI`L0P&;PB%dy(CNOwJnhIgLn(vP7M<7akuwOr|J{XtP z_;EN;;MHhqp7I69gSPqORswJT)`aQCl%Oxgm8=Aw`s!##S28cl{XklUtFKuS2XuIs zYnP~AdFNseAF$;5in^wSq1}ea*ZATLj5=d>EV?F!eCxyf12iQdqf@k$BwRnh4iHxB z`GE1wvwp}AkX^d&A`%!jCJbxe?oZmA0E>>mi(7Cf0VZ#-Y(E*>0E#JS#aT8TfS-k% z1RF%P(mS&Wcc)zz;dAoYjhmrX&e?$Hr)T6r9q*E?!VSXX`QNuRhbuPzoO!*Px8+QWMkKQhaz@41hwXO=Lx zI~}UvsxE6x%=#9rn`*O%^}nCa_m{CT2kCNC@>d!#R}fAi1JzS~Lnwb7~C z9xP7OS$W+%s+sEnS@K=1O9Um#6lzH^^UTXYGM%w7zT86GLO?T<);ygLp&bjPN5|dk zP(Gi`%RHypiNAzfXR}4x_oC%J^P6J@ygwM;AjD;;eteP#vmV)1q|Pe3IZgCOzB-S8Zb$olcgL>$~u2pDK_CT7w8vasm*FX56O_f z+k6&B-o3r>R(dL#OM$Sw(<1DXE4^n@_IqD2DJq<0K-|hIb7QQ#GX~I~74^rDQ;Hol z3655|c4rLF>~uS<&@&mijx2Tm<$z;cdZF_$XR8##c6-r&=IuoH-Y`EaY=Ei{Qp0nD z<~HbVe&w;u$t8U^x9m6Ym+Vkzd3eC}JEWm2 zv+&S9iz@uS2%^ag#yV~o=^^E>{9v)PYNI_v_n{I-;*GF{8l2a?dIgW@)AP$t>s^fwKqIr@&fsE>v0|$Kp9U?`!m!wNqrFz zc1RX{rp0aNB~mX&jtS3wFEUdu-W{t#H1_;A;u^6xQ&6xLd+4!6r>NgL*fUZJQyRf4 zH|Ktb5%!Q<()>tRRapT~MW(>XGc%>d&Cwh=C#@omVp5MgD`=AzSU(%`1DUYAze*EB zALuyoA5JHXH{o$7+1l5fx^G>b9{@NjGa%J#$UIp2s%DQ@vgj+tTVjPD(eEaDh{|KKxa%>RvW% zG;LDAv|>HQz2!w2Fc}nqv|_zf?qp+~-k`K*{{@R>dqQ{~D6--Fl%AJnt}eHQh7jtg zkM25bKw#S{f!^#a`A=%EGnWF$+9n?5Zr{I$D5mu-B>~d^ER1vn4vv@SI@0qZ5&X@X zG#2<>zvJx^_bO$oKRU9mEHo#Hbnd~elcti|n+xxwtsKFiBUGFL;lB#3Oea;AqytIC zzWdS~Uv8TBsW3fkl}7TmqvN>3$k#Ld_EL{Egw~0vO)Z!eG7_P@b@LX&yG|Np^XK!` ztRoMO^}g9`9S39s$GWH$WKETBWEQVPaQ2#>#1hrP zz|{+5Tci|h7$lGR`I)>SyT;qujTKGvaPUrhSC#yehusD_uXskd0@vgmTOgU6`_}8s zNOH|OvYKYdtFyb!jeI@ZaMi3q)1$4pV}xzX#eIV^F;u1nEPc&x^5*wp{$Awj8_;su?X#+?#(`nnpj!>O{tDtlD;2Nm4(+ma4f9YXO}$03S_qniLTx&v+0lN%VyQHeuP7ZhLE7++Ft;wK=z{ zMWUIjt?B5m48-eykDW;RG+vXv2SAbvofYF&-?02z+^uBIxa?KJx>KmDm4c4w@S zVAnl%q@U8OHmw7tTn98p9K8ZU&MpOl2k$}S>2VuIl5{zTmNky7+6%j0EG5$A@{+|D z6X8UquXcZ2C4qjMOe-Z4=Q@q=>}X$N7-`#0Q{R?^=uxHAf@Wp3Q2oh~nn807E(UnM z4^uZtGFMHU6mU1GN)+5jO-BS*EDkKK0^C}vRl~q(>Q`D<3k@cQx|F6Qhq)%9*y~ho zjyqJ87NTr*hjKznNT{11I4wEjCFV)Y|KaOg>HXF3Tj`dm19({Jz>@0+nkFWm2pV|w zjC%rmJ5rNyan2Rjz$IR6OvuoI7{uJ}lB#X>-;?h0cijE%zvbc7e+NAaL%YyX6yq@+ zg0O_mV5Ib*j>??_1&UjSuabrbLnD>-}RE$dL^X#!$S7aP=q&If z7a!5Aua;nKtKHjnYs`-OP1I)0GnzkHeuEL}Q;weHGsL9zS4S#u_n(bI*}D_nC)JKdMSzC;OAFE52EBP%yt$`T);R(Xh5KSzxq=8rUX;@)@n z=aYC0X|lb*529JsJ#M%gtUrGioCcbhz9Mq^c)Y3p$I%seL2Bv!N77l~WqQk|vv$AvJK8ut4_Z0AUpG(Jk#3xCt@Q(Wygly(CvLAuCC9cH?F)3x zx2%Hm_<@Szn6KwR#?x;k_NOmmd~FaI?#1dnk8NZ+{PYDKFob-l_<>o<=`Y7A%N`Zei!feUE@1neKjScJp_7NyEr|ydM`19G|vfjJ=MJD!I9lh z^tjq8CV0AeI;5fH<@okOjBQ(Md316OqS|bGLc9;pMUXKJ^a}wWn%|+|{!bJFE z20Ss;@47PRL{sb^NV$cpF61C{KIF`dByeFi2%W)UVHuYPT)*?H0}gX91T;`51#}7+ zfk6lh7$dB2u8Ao#ZV)kCh_0^wT@Bgw zrGKE1ItO{^$OxsaE7?1Ivd}#|dSzixk4dFNl(2v};JVDL(9nodI(M?ELj<(wRFgyf zJqN&av=)-M=r|SbH9aXh#j1q#d*V8{W89!epGTRr#-UC6R(S3gW?}UyKR|n)WRfkh z@+bOLo0Vkl(p(V~Typf2-J;?ZxSouaBX|~iN$(wQrdJ@z6*zfx+ys71^|;^;y@thG z6e%F&XrvOTO5^I-?WUfneI^nr^p!zZ!w%zS-&xIN-FUL^g)c#M!5L%&d5r-j2^Wvy zh6a=|4%x>6MOBOdkhH|H34n307x(b!`YKO>LvtdMuZ;=Ops#AWlOIb) z$^CwPL~S}i7IoknjtCQhVi4tgZ-5RJ7CYqrb2~-{Y126n<^tP`=oVbS%(viV(B_me zQ_2$uwZGuRSP;>#3VJFxg~%eh10M!ES4niPkzCQZPcUoHR&qB-q~-$biLVe^_x5p? zaLO8aoMp2|`YGzG(LQHpaT=139wayV7Xn^@tN;1)E-*IIq{!O&5CDpHRU4f z=N=u%@Pi9g4qDH4upvJQ(V_VGHQ&?q*_6b=s|sVY?Ax>=Q)@y)u4vs|aIq9$dor;G zGa@s-JuaIc2%u`fQ;K=?XPE;(NRQ8e2jV@(XDx~OUhAC@%M;;kg)u#6VXe^c4gCYK zWf%`wpBl(dJ@R<1BuyK09|S4RK{AB{vqt4=v!@Y&@TcQLgKp0P0yJn;>v=<6!?<_u zkV|zm8e*V$42xVehEgHXMyB_K?kY1oQv6#}sqGN?#mBL}ZEA1(sI)LYPY+2=3F2dL zF@)HLy5ONXGI>oar3>eMp4IV+a$-jM zQ#S)3sPe?~6PU;nk5W|y7lQzY!be5tj$BG^=9@Phs~X$joDf#Y7Q)DJfGW70 zXw;RQk(cBSeQkW4Y|x!6lvzc0RN~J@V6~>##YfBeGl=Z&ewAanf^be)f(fQP{45)TL9D7reJQAiaRJb) z3Sx(DqtZJt8-n8~iVVgsv9`{1q27G(-%ZJ*&2XbErPbs(kKSK+>T|e!_j&LNd-STP4>)8s zBi{-qT>7HlL*Iwj@HdpEzo{jWH+*wC>!t|(pAK{({j+xB0ECX~bcThwcZqn@0s1){ z6I>%&k@fJ`xqZaru&tT9ZrfAl`~jzo+BOU2F#%=n#D*X2Io`&^8q|EV{`cca%@?b* zCCxviNcomeqB4F0<)+*!&ALgp{Ge`^lxz9x$A0d+`^%Ip4!J7%0#x1%-V%5|O6OOH zegh0v6$mk8d`p|`BjUm;s7$_pe(b;^Sa~A09I~aaLUas&YzGQ1pbkrX3;XmFqG?m# z{K<|9k*#AFAUoIw=c?aHOTzx+mXbpYtOg`~Xt}*5O{^6qdn9a>FrxDDhLYV#;|Z$h zu;Wkf4Dm(St$i9rssBt+me%*>fla#dA`N}H;H}8yI8s*SLH3-)qktP&MB=B&kPjTr z%XK#P0QeVWZ6!N;9~5gl6oBb!{`VL~4-mJi&U9!A!ypjj54VkhNW?i+FjqN~AUi)S zTRlF0_Hr8@1K@3+d{f(i$J(8r^PD&yhXBB;hI5@U=Ck!)vex43vQzHz7+h}o6k&Sr zla2Hs%M5F`{_S&E5(aWio6Xp9%20`+%}hjK)mz;HGJ5t$s0af?S9w3Vp=1$HnOXzN zk!DCk+K57eLM*DM2MVrOdG5eormDNq=jVg;GQ|Hw@n1C)Ks7bKQoHoTr>`G`wb4~ww*2o*GYPrd5ZI(<> zA{Mcvu_fa_;O8j(>!*^iK51R={(d}GOk#kA`!UxM4;Amt3Zm}c{}}}cU=+W^|7&K* z=)_I``^NYB9hh?1)am??aM@QrR?MT5X;H#p#qD+F-;~}yUMWzr8KiX{YsxZc&Gb7o zp^#UB6cfg~w8<}uC9H%g{0ENt%rarS5e0V{hs_XbNmKRMJ$4&$`q1KZrA)!nN>L_u zR!lL@O~6(VEZO`l$P#hV*YKaBcp`uzUx1?3|Dy<|LfCE~q5bkn3rsm;r!RV4-FJ`u z28li1C9RAj)K1;k%)y2+4p6`vm9`<~rgfBYSoEQ``aODH6%gEb{w59B-q?+@S`DgD ztZ3-+5_uc>*h`;Ih$SJoO5(;I<2+BwR{II%#@^lv7LeSW0=O=2j42S&=PLST(ji=K zM91>$Y$BM>LjZ<_h>9fwc$SiRQNKNQ7h%9L2l1RGaea?*0T9)uHfK5&9p-$re9q%MD{ZSn}VAU${iFE9Ri z3Tu|a(dQ*Qtwak#waF&SV!zz}XEGC48kiQIP)wBdlaGQRxQ07`vogc;r9V>yH~#VAFXJ1F0!A zbNPOTv^18*qczm=Nep{D?uV0+A0Ycb(9ACYd<6@L8O9gebsODk)sXyBX<5g+u&Mhq z)4M7L^dDv$3B=jcbIcuPK>l+wnIlXci2Je~>)8)BSsQMbeU3{*WTzH=RkNzDkq#r+ zUSwOB)m|;1*5?Wn4uU^Foc~Q&ML!W3!JZo=U^s_(b-r{};Wh}{AX8V8Jn9__A0UsO zT`j%`#%PqFI^L888Gbg$>Zdo2lG!5iG&Ie9GWEzuN7iU`qqJDA_tACB$LDJgZGy6@ z8{1E(r-#wp?XG<4z@qQp)ci7PU|5M(g|${`C_GWtBd^NI>MXu(-LIsLTYP&f@XDU( zxeZ;!cX*FUL$0RFy?3(Sg-Xn4?Gh0v*vVP?BBy#Y@X5yt(mUt}-=KbNrwcV}v*^#Wx_C_a)`pP|0m@7C+Z5*x_blmhD;b(F->Nb3b89alE8n2X(XF!0YEW##NaxU6Xl)DQs(Djx$a1O^IgSmet>gax*7D^Lq zNAK^JmnnLfnUjLaus*H|JD+$2s0iZq!IlHxVA&JjiRg9NlnwDafEVi z#NOI0!56l-t{423fZXW`@Qh_>SV z@h53WhJWpz$}lKg{P$5(I5J7}g4tYMR;G8vooiUXgw^*w9NUOo@S|ZpDDgxznojR! zDn)>iUar4h@N^NhoN;#>$M0llrtaU$ayLsIs2f8J)9TmLw->V%M+-r$bspySXC95bUD)y_lJ2ml z`g9pGQq{MGO?Qf^gc+tqgo{c#JQ``f3)Avw(=7dPhwMJrWpCo|<2=%9z34#glw;^? z!nXFryX4>7+G+&}ia~!;|HAj;H*0y9z zC;R9vvCOIx?q+Z`sAwcElBH_(i#k8v`^+4T>` zGi`;s<021LPpN}4<(NmH2KBc#*SOOqAhxZSc_OgLzP3+w#)J?p%L4@^pCqCn#qIH7ca_gi-&u-f3w2NyJbc`a}zH*f4<=N zFV8TH0dp(?^NUs*&4hg(7ueOZn2mgP3%<470E<3)oS@iNd_-R0gTVbo#FQM^3?iaS(K zn{gqnTi{axkDlMwvw<}l2PkMU+yj9M2exx(WG=Te0 z0`3Ap<$uG87Bav)J0^zxrwL#JPcwp7UOZ#gq7iimUCGggLGpIlW<{KT$`&3IBssk5 z;2e`@`GmIqGcp(LYH-{2QO-YI0E;HYTnKCi*~tRB*v~J%chm*^+tsdwCSXub-#E$5}LBDcDl^o`_99;slsgxSi}|Jx8JEV9p;* zJ1uHFp7(R~;xHaA3qg&pHC@NQlb)Zw@k98MqG`jZLstK<-md1ijUtK*aoYznNOj;0^iZ*|QH`{_t|}P&{r>l# zziXJEJ!#+jI{4=7%fG&G-~93RpYQ(m`rz+(zWVmnllo0t{#97rwyfn%+v<0ej$ceT zKJ?Bx?!oVxdOPtPoe~%}DMOaS&J_55V(O(FIRw-aK2DJa!+ntRX*^4OK5e)0VG{dC zJUzvS-F<|Q`8)-~y?Of4k+h}atG8gXAA>nK7H?cv!MpGtJWi4L>3S&w{Nh@WiaEps z_`Vy2;_h*YP~JM05yB6TS0LJV43QN{WNS#IP6Z;nYZjT{BxjK=;jH$VRaw+Ttli~C zYm4ZWp{On4tRTuim{5#GE&sa;cNL3Tkwt9{i&_?}kU@)d(eIi?y~v`zhDG^h`yz|_ zU9+ecS=86Cs8?BBWeb!rD2qte#VNv1!eEL{m28=^H1!plxtA%{S7aE&KUp1<+tF9# zlKaXTg5a7#;j=|W$qQUL>_Np#y(m7<=Uni6FyZ5EKMmtCI+fESydR3%+T*DgfC)0P zs<85$*ZVwLmsME72ZudGT{njyEElQQw-j0PR&HdK&PORxs0gt>?mLR468CLIGElH6 z{P)coe+69q8~KyBh$ij4k zH#xe%B-@JI4tK-hkVAI^?aV3UHo=}hOZnLfhzRzLt0_X+FtrS%jSeIo2ZJblKFcVO ztV&(jM;b;ewGnkL*EDeZ^ziRWMP6b<-! zIPR#ge|n{&FzBeC8a>jR?DC87(RIR)u0KBZuP=QkP#?Nr zVG=?=ogj?F;s)7>01A@*g!3!t-_c$W3Vp`Ri+gw^H^^uPQG`B$ z2o#^JMw2yJHm@{Z=(e%g!va0GNSHV2_5Pi*(MUwQ&}(&Le>Q?+(&O$8W9b&RHt?4) zC$l7y=@R&uM_eG9!tPSQ8ThSXoQymOc5gC?puUR`!w{*e+lIk3Oe5~fWZaI@>>&z8 za;K>)!5GR?VBmO-1==xqbu9(YVVZJn4 zv#(MFbpo~+FRPHNpj&#~o}*Rig)Xk6UWvjAPm995=%;>%r3g3G|OeP;BM?LB2UTA1s^JdBTSZ zK?YMq3C&)}Gz{pxhbWWTmf=7bAYN#^kU4~j&Zs1_E!_sD$}$r^`zta~pwQQs^q6iE z=nP9T+cImmwk?oV^lZ(6ZlY{!Yob#v%PdQ@z*U*}+%C&ZO`Nt$GQcN#gpy2W)T*w{ zwro