--------------------------------------------------------------------- -- TITLE: Test Bench -- AUTHOR: Steve Rhoads (rhoadss@yahoo.com) -- DATE CREATED: 4/21/01 -- FILENAME: tbench.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- This entity provides a test bench for testing the Plasma CPU core. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; use ieee.std_logic_unsigned.all; entity tbench is end; --entity tbench architecture logic of tbench is constant memory_type : string := "TRI_PORT_X"; signal clk_in : std_logic := '1'; signal rst_in : std_logic := '0'; signal addr : std_logic_vector(12 downto 0); signal sram_data : std_logic_vector(7 downto 0); signal nwe : std_logic; signal noe : std_logic; signal ncs : std_logic; signal led : std_logic; signal TxD : std_logic; signal RxD : std_logic; begin --architecture clk_in <= not clk_in after 50 ns; rst_in <= '1' after 500 ns; RxD <= '1'; u1_plasma: plasma generic map (memory_type => memory_type) PORT MAP ( clk_in => clk_in, rst_in => rst_in, uart_read => RxD, uart_write => TxD, addr => addr, sram_data => sram_data, nwe => nwe, noe => noe, ncs => ncs, led => led ); end; --architecture logic