1
0
mirror of git://projects.qi-hardware.com/nn-usb-fpga.git synced 2024-06-03 07:47:38 +03:00
nn-usb-fpga/KICAD_design_files/kicad_components/inductorp.dcm

4 lines
74 B
Plaintext
Executable File

EESchema-DOCLIB Version 2.0 Date: 24/6/2009-04:07:20
#
#End Doc Library