1
0
mirror of git://projects.qi-hardware.com/nn-usb-fpga.git synced 2024-06-03 08:11:05 +03:00
nn-usb-fpga/KICAD_design_files/kicad_components/vddhp.dcm

4 lines
74 B
Plaintext
Executable File

EESchema-DOCLIB Version 2.0 Date: 25/9/2009-00:45:29
#
#End Doc Library