mirror of
git://projects.qi-hardware.com/nn-usb-fpga.git
synced 2024-12-13 06:33:09 +02:00
351 lines
23 KiB
VHDL
351 lines
23 KiB
VHDL
---------------------------------------------------------------------
|
|
-- TITLE: Random Access Memory for Xilinx
|
|
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
|
|
-- DATE CREATED: 11/06/05
|
|
-- FILENAME: ram_xilinx.vhd
|
|
-- PROJECT: Plasma CPU core
|
|
-- COPYRIGHT: Software placed into the public domain by the author.
|
|
-- Software 'as is' without warranty. Author liable for nothing.
|
|
-- DESCRIPTION:
|
|
-- Implements the RAM for Spartan 3 Xilinx FPGA
|
|
--
|
|
-- Compile the MIPS C and assembly code into "test.axf".
|
|
-- Run convert.exe to change "test.axf" to "code.txt" which
|
|
-- will contain the hex values of the opcodes.
|
|
-- Next run "ram_image ram_xilinx.vhd code.txt ram_image.vhd",
|
|
-- to create the "ram_image.vhd" file that will have the opcodes
|
|
-- correctly placed inside the INIT_00 => strings.
|
|
-- Then include ram_image.vhd in the simulation/synthesis.
|
|
---------------------------------------------------------------------
|
|
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
use ieee.std_logic_misc.all;
|
|
use ieee.std_logic_arith.all;
|
|
use ieee.std_logic_unsigned.all;
|
|
use work.mlite_pack.all;
|
|
library UNISIM;
|
|
use UNISIM.vcomponents.all;
|
|
|
|
entity ram is
|
|
generic(memory_type : string := "DEFAULT");
|
|
port(clk : in std_logic;
|
|
enable : in std_logic;
|
|
write_byte_enable : in std_logic_vector(3 downto 0);
|
|
address : in std_logic_vector(31 downto 2);
|
|
data_write : in std_logic_vector(31 downto 0);
|
|
data_read : out std_logic_vector(31 downto 0));
|
|
end; --entity ram
|
|
|
|
architecture logic of ram is
|
|
begin
|
|
|
|
RAMB16_S9_inst0 : RAMB16_S9
|
|
generic map (
|
|
INIT_00 => X"afafafafafafafafafafafafafafafaf2308000c241400ac273c243c243c273c",
|
|
INIT_01 => X"8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f230c008c8c3caf00af00af2340afaf",
|
|
INIT_02 => X"acacacac0003373cac038cac8cac8cac8c243c40034040033423038f038f8f8f",
|
|
INIT_03 => X"000300ac0300000034038c8c8c8c8c8c8c8c8c8c8c8c3403acacacacacacacac",
|
|
INIT_04 => X"1c24001030008c24ac24ac9424003c00180003241c24a4248c0018ac2400003c",
|
|
INIT_05 => X"a00024241028302400a03c24243c3c0003001030008cacac242400003c000300",
|
|
INIT_06 => X"100010000c00102a0200260c24af08af2424240000afafafafaf270103001424",
|
|
INIT_07 => X"240c001a001427038f8f8f8f8f8f8f02240c240c000824102c24142c24142e24",
|
|
INIT_08 => X"3c240c3c240c3c240c3c240c3caf0cafafafafafafafafaf270008260c24240c",
|
|
INIT_09 => X"3c3c3c3c3c3c003c3c0c003c240c3c3c1430248c3c1030008c34ac3c3c24240c",
|
|
INIT_0A => X"0c3c240c3c270c260c260c260c260c240c3c240c3c240c3c240c3c240c3c240c",
|
|
INIT_0B => X"3c3c08240c3c000c000c8e0000008c0024003c3c102c260000142c2400000c24",
|
|
INIT_0C => X"3c3c080002a208000c000c00000c240c3c0008923c08ae000c000c00000c240c",
|
|
INIT_0D => X"080216a002260c00000010000c240c3c3c080216260c900200000010000c240c",
|
|
INIT_0E => X"0010000c240c3c3c08240c000c000c0014002490020000000010000c240c3c3c",
|
|
INIT_0F => X"240c3c021402240c000c260c8c021032021002240c000c260c8c02240c3c0000",
|
|
INIT_10 => X"14343c000c240c3c3c0800003c0016260c262610000c3c120008a23c243c3c08",
|
|
INIT_11 => X"0c000c2608240c3c000c020c240c3c00000c240c3c020c3c083c0c003c000c00",
|
|
INIT_12 => X"00100082260c00240800100080afafaf270003ac001030008c343c3c08240c00",
|
|
INIT_13 => X"2424142c3002242400afafafaf272703008f8f8f00140082000c2682000c2414",
|
|
INIT_14 => X"24243c3c2703008f8c3c10000caf2730038c343c240827038f8f8f8f0216260c",
|
|
INIT_15 => X"740a00616d20423a003230303241656c62747267650a24038c0014ac00248c3c",
|
|
INIT_16 => X"617965330a7769796532006f61796531006e706e724f303030206e6569612020",
|
|
INIT_17 => X"4600753900736838006979656137617965613673647475350a62697965340079",
|
|
INIT_18 => X"37336820660a0d786e6e0a786e750a3d6541206820720a3e00616f446f42316f",
|
|
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
|
|
port map (
|
|
DO => data_read(31 downto 24),
|
|
DOP => open,
|
|
ADDR => address(12 downto 2),
|
|
CLK => clk,
|
|
DI => data_write(31 downto 24),
|
|
DIP => ZERO(0 downto 0),
|
|
EN => enable,
|
|
SSR => ZERO(0),
|
|
WE => write_byte_enable(3));
|
|
|
|
RAMB16_S9_inst1 : RAMB16_S9
|
|
generic map (
|
|
INIT_00 => X"b8afaeadacabaaa9a8a7a6a5a4a3a2a1bd000000a560a4a0bd1d8404a5059c1c",
|
|
INIT_01 => X"b9b8afaeadacabaaa9a8a7a6a5a4a3a2a1a50086c6c406bb00bb00ba5a1abfb9",
|
|
INIT_02 => X"9392919000405a1a06e0a606a606a606a6a50584e0029b401bbd60bb60bbbabf",
|
|
INIT_03 => X"00e000c4e0000085a2e09f9d9c9e979695949392919002e09f9d9c9e97969594",
|
|
INIT_04 => X"c0c60040420062636284658205620205c000e084c0a582c6a200c0a202a20502",
|
|
INIT_05 => X"c2e5070740a285634040036642020300e000404200828283020382040200e000",
|
|
INIT_06 => X"54405300000040220312310090b000bf1514130000b1b2b3b4b5bd00e004c3c6",
|
|
INIT_07 => X"040000208095bde0b0b1b2b3b4b5bf4004000400000090404282404282400250",
|
|
INIT_08 => X"04840004840004840004840004b000b1b2b3b4b5b6b7bebfbd12003100040400",
|
|
INIT_09 => X"021e171615144002060000048400041543420382146063004342830204038400",
|
|
INIT_0A => X"0002440002c400e400c400a40084004400024400024400024400024400024400",
|
|
INIT_0B => X"0202004400024000000044008000444383030402406203000040424240000044",
|
|
INIT_0C => X"0202000040500040004000400000440002000044020050400040004000004400",
|
|
INIT_0D => X"0000136251100000004040000044000202000011100044420000404000004400",
|
|
INIT_0E => X"404000004400020200040040000000a0a683a543420000004040000044000202",
|
|
INIT_0F => X"4400020060130400400030004450400200601304004000300044504400020000",
|
|
INIT_10 => X"4363030000440002020000400240535200101040000002110000501311120200",
|
|
INIT_11 => X"0000000300440002400040004400024000004400020000020006000004000000",
|
|
INIT_12 => X"00400002100040110080400082b1bfb0bd00e0a40040420062a3050200040040",
|
|
INIT_13 => X"646440624312111080bfb0b1b2bdbde000b0b1bf004000024000100200000451",
|
|
INIT_14 => X"63440302bde000bf6203400000bfbd42e06263030400bde0b0b1b2bf12111000",
|
|
INIT_15 => X"6957007320666f0a003a36313770726f6f686f73744742e0a200834045848205",
|
|
INIT_16 => X"64206d2e006f74206d2e007264206d2e007374752074303078616b206d726266",
|
|
INIT_17 => X"2e006d2e0075652e0074206d772e64206d772e73646f6d2e007974206d2e0074",
|
|
INIT_18 => X"3834207769430a3e2074433e206556207364006569654120007320526d203270",
|
|
INIT_19 => X"0004000080240080000000000000000000000000000000000000000000000000",
|
|
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
|
|
port map (
|
|
DO => data_read(23 downto 16),
|
|
DOP => open,
|
|
ADDR => address(12 downto 2),
|
|
CLK => clk,
|
|
DI => data_write(23 downto 16),
|
|
DIP => ZERO(0 downto 0),
|
|
EN => enable,
|
|
SSR => ZERO(0),
|
|
WE => write_byte_enable(2));
|
|
|
|
RAMB16_S9_inst2 : RAMB16_S9
|
|
generic map (
|
|
INIT_00 => X"00000000000000000000000000000000ff00000100ff18000e000f000c008c00",
|
|
INIT_01 => X"000000000000000000000000000000000000022000002000d800d800ff700000",
|
|
INIT_02 => X"0000000000000010000000000000000000010060006060000000000000000000",
|
|
INIT_03 => X"0000000000201000000000000000000000000000000000000000000000000000",
|
|
INIT_04 => X"ffff00ff00000000000000000018301800000000ff0000ff0000000000282830",
|
|
INIT_05 => X"001000000000000c4000000d0d0000000000ff00000000000000202030000000",
|
|
INIT_06 => X"002000000200000090190002ff00000000000088900000000000ff100021ffff",
|
|
INIT_07 => X"0002000080ff00000000000000000010000200020000ff0000ffff00ffff00ff",
|
|
INIT_08 => X"000a02000c02000a02000a02000002000000000000000000ff9100ff02000002",
|
|
INIT_09 => X"000000000000f810000028100a02000000ff3c00000000000000002030000a02",
|
|
INIT_0A => X"02000b02000b020b020b020b020b020b02000b02000b02000b02000b02000a02",
|
|
INIT_0B => X"0000010b0200200200000000000000100c100000ff00ff90000000ff8000020c",
|
|
INIT_0C => X"00000100f80001200280002000000c0200000100000100200280002000000c02",
|
|
INIT_0D => X"0188ff00180002888098ff00000c0200000110ff00020010108088ff00000c02",
|
|
INIT_0E => X"980000000c0200000100022002000010ff20000010102028300000000c020000",
|
|
INIT_0F => X"0c020088ff180002200200000010ff0088001800022002000000100c02008880",
|
|
INIT_10 => X"ff561200000c0200000100f81080ff0002ff00ff000210008002001027100001",
|
|
INIT_11 => X"022000ff010b0200200220000c02009000000c02002002000100002810200000",
|
|
INIT_12 => X"00000000000220000280000000000000ff00000010ff00000000200001000220",
|
|
INIT_13 => X"000000000010ff009000000000ff00001000000000ff000020020000000200ff",
|
|
INIT_14 => X"0c0c0000000000000020ff000200ff0000000020000200000000000010ffff02",
|
|
INIT_15 => X"6e61006866726f0000333a30207220616f656d20697200000000ff0010000010",
|
|
INIT_16 => X"20726f20007265776f20006420726f20003a69204d680a303174656c6179696f",
|
|
INIT_17 => X"20007020006d63200065776f20200a726f20200a72207020007465776f200065",
|
|
INIT_18 => X"3e353169726f002068206f2068206100736400786e7364000068662020663879",
|
|
INIT_19 => X"0020000000202800000804040404040404040408040407070606060606050500",
|
|
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
|
|
port map (
|
|
DO => data_read(15 downto 8),
|
|
DOP => open,
|
|
ADDR => address(12 downto 2),
|
|
CLK => clk,
|
|
DI => data_write(15 downto 8),
|
|
DIP => ZERO(0 downto 0),
|
|
EN => enable,
|
|
SSR => ZERO(0),
|
|
WE => write_byte_enable(1));
|
|
|
|
RAMB16_S9_inst3 : RAMB16_S9
|
|
generic map (
|
|
INIT_00 => X"4c4844403c3834302c2824201c181410980e000704fd2a00f8001000fc00f001",
|
|
INIT_01 => X"504c4844403c3834302c2824201c181410008a2410200060125c1058fc005450",
|
|
INIT_02 => X"0c08040000083c0048080c440840043c006000000800000801681360115c5854",
|
|
INIT_03 => X"00080c000810121900082c2824201c1814100c08040000082c2824201c181410",
|
|
INIT_04 => X"f4fe00fc80000004000200004021004011000802fb0400fe00000700ff214000",
|
|
INIT_05 => X"00213037020a0fff21080007000000000800fc8000000000d020214000000800",
|
|
INIT_06 => X"0c210e009100121021000145c910db28080d0a212114181c2024d0210802f7ff",
|
|
INIT_07 => X"0845000821d930081014181c202428210a450d4500d4a9111a9fed1abff10ad0",
|
|
INIT_08 => X"00d44f00344f00c84f00a84f00109c14181c2024282c3034c802d8ff45082045",
|
|
INIT_09 => X"000000000000090002802100e44f00000cff1c00001001000050000000ffe04f",
|
|
INIT_0A => X"4f00fc4f00f04fe04fc84fb44fa04f884f00704f00584f00404f00284f00f84f",
|
|
INIT_0B => X"0000406c4f00214f00b100000800002184800000d416cf2100c20ad021009120",
|
|
INIT_0C => X"0000400009003f214f21b12100c5444f00007600004000214f21b12100c5444f",
|
|
INIT_0D => X"4021fb002101912121218900c5544f00004021fb014500212121219a00c5544f",
|
|
INIT_0E => X"211e00c5544f0000400a45214f00b121fb21010021212121217600c5544f0000",
|
|
INIT_0F => X"644f0021f42b2045214f04b10021f00f210e2b2045214f04b10021644f002121",
|
|
INIT_10 => X"1f783400c5684f00004000090021f30191ff01fb008c000b210a001010000040",
|
|
INIT_11 => X"4f21b1cf6a6c4f00214f21b1384f002100c5244f0021450040028f210021a300",
|
|
INIT_12 => X"000d00000145210a6021160000141810e000080021fc020000200000400a4521",
|
|
INIT_13 => X"5730020a0f06fc1c211c101418e020082110141800f500002145010000450df8",
|
|
INIT_14 => X"fcdc0000180800100000fd008c10e80108002000494520081014181c06f8fc45",
|
|
INIT_15 => X"6769000a6c6f74000030340032200064742020666e6584080000fb0021040000",
|
|
INIT_16 => X"6265724d00642072724d000a7765724d000a6f4f656500303020646967206e72",
|
|
INIT_17 => X"43000a44000a6b43000a72726d520065726d52006561204a00652072724d000a",
|
|
INIT_18 => X"203632746d6e00006569750065696c002072003e20736400000a6c7444724b20",
|
|
INIT_19 => X"00001010200000207060fcfcfcfcfcfcfcfcfc08fcfc6404c07c6c3c30fcd400",
|
|
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
|
|
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
|
|
port map (
|
|
DO => data_read(7 downto 0),
|
|
DOP => open,
|
|
ADDR => address(12 downto 2),
|
|
CLK => clk,
|
|
DI => data_write(7 downto 0),
|
|
DIP => ZERO(0 downto 0),
|
|
EN => enable,
|
|
SSR => ZERO(0),
|
|
WE => write_byte_enable(0));
|
|
|
|
end; --architecture logic
|