1
0
mirror of git://projects.qi-hardware.com/nn-usb-fpga.git synced 2024-12-14 03:34:39 +02:00
nn-usb-fpga/plasma/logic/plasma_TB.vhd
2010-05-25 21:49:58 -05:00

55 lines
1.6 KiB
VHDL

---------------------------------------------------------------------
-- TITLE: Test Bench
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
-- DATE CREATED: 4/21/01
-- FILENAME: tbench.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- This entity provides a test bench for testing the Plasma CPU core.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
use ieee.std_logic_unsigned.all;
entity tbench is
end; --entity tbench
architecture logic of tbench is
constant memory_type : string :=
"TRI_PORT_X";
signal clk : std_logic := '1';
signal reset : std_logic := '0';
signal addr : std_logic_vector(12 downto 0);
signal sram_data : std_logic_vector(7 downto 0);
signal nwe : std_logic;
signal noe : std_logic;
signal ncs : std_logic;
signal led : std_logic;
signal TxD : std_logic;
begin --architecture
clk <= not clk after 50 ns;
reset <= '1' after 500 ns;
u1_plasma: plasma
generic map (memory_type => memory_type)
PORT MAP (
clk => clk,
reset => reset,
U_RxD => TxD,
U_TxD => TxD,
addr => addr,
sram_data => sram_data,
nwe => nwe,
noe => noe,
ncs => ncs,
led => led
);
end; --architecture logic