From 3eea348bedebc489c7bfa9e8ddd3bd1e3ead51f8 Mon Sep 17 00:00:00 2001 From: Andres Calderon Date: Tue, 24 Aug 2010 06:59:29 -0500 Subject: [PATCH] USB A Phy has been routed --- kicad/xue-rnc/DBG_PRG.sch | 2 +- kicad/xue-rnc/DRAM.sch | 2 +- kicad/xue-rnc/FPGA.sch | 34 +- kicad/xue-rnc/NV_MEMORIES.sch | 2 +- kicad/xue-rnc/PSU.sch | 2 +- kicad/xue-rnc/USB.sch | 27 +- kicad/xue-rnc/eth_phy.sch | 2 +- kicad/xue-rnc/xue-rnc-brd.png | Bin 204368 -> 207728 bytes kicad/xue-rnc/xue-rnc-brd.svg | 62154 +++++++++++++++--------------- kicad/xue-rnc/xue-rnc-cache.lib | 2 +- kicad/xue-rnc/xue-rnc.brd | 7922 ++-- kicad/xue-rnc/xue-rnc.cmp | 97 +- kicad/xue-rnc/xue-rnc.net | 1442 +- kicad/xue-rnc/xue-rnc.pro | 2 +- kicad/xue-rnc/xue-rnc.sch | 2 +- 15 files changed, 36233 insertions(+), 35459 deletions(-) diff --git a/kicad/xue-rnc/DBG_PRG.sch b/kicad/xue-rnc/DBG_PRG.sch index 715bc65..d02e54f 100644 --- a/kicad/xue-rnc/DBG_PRG.sch +++ b/kicad/xue-rnc/DBG_PRG.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03 diff --git a/kicad/xue-rnc/DRAM.sch b/kicad/xue-rnc/DRAM.sch index c07a6fa..25c61b1 100644 --- a/kicad/xue-rnc/DRAM.sch +++ b/kicad/xue-rnc/DRAM.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03 diff --git a/kicad/xue-rnc/FPGA.sch b/kicad/xue-rnc/FPGA.sch index 72a388c..7c7bcca 100644 --- a/kicad/xue-rnc/FPGA.sch +++ b/kicad/xue-rnc/FPGA.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03 @@ -59,26 +59,26 @@ Comment2 "" Comment3 "" Comment4 "" $EndDescr -Text HLabel 17150 700 0 60 BiDi ~ 0 +Text HLabel 17500 1800 0 60 BiDi ~ 0 USBD_VP Wire Wire Line - 17250 700 17150 700 -Text HLabel 17150 800 0 60 BiDi ~ 0 + 17600 2700 17500 2700 +Text HLabel 17500 1300 0 60 BiDi ~ 0 USBD_SPD -Text HLabel 17150 600 0 60 BiDi ~ 0 +Text HLabel 17500 2100 0 60 BiDi ~ 0 USBD_OE_N -Text HLabel 17150 900 0 60 BiDi ~ 0 +Text HLabel 17500 2000 0 60 BiDi ~ 0 USBD_RCV -Text HLabel 17150 500 0 60 BiDi ~ 0 +Text HLabel 17500 1900 0 60 BiDi ~ 0 USBD_VM Wire Wire Line - 17250 600 17150 600 + 17600 2100 17500 2100 Wire Wire Line - 17150 500 17250 500 + 17500 1800 17600 1800 Wire Wire Line - 17250 900 17150 900 + 17600 2000 17500 2000 Wire Wire Line - 17250 800 17150 800 + 17600 1900 17500 1900 Wire Wire Line 17800 7450 17650 7450 Wire Wire Line @@ -465,9 +465,9 @@ Connection ~ 5300 14350 Wire Wire Line 5300 14350 5300 14400 Wire Wire Line - 5650 14350 5650 14400 + 5650 14400 5650 14350 Wire Wire Line - 4950 14400 4950 14350 + 4950 14350 4950 14400 Connection ~ 4950 14350 Wire Wire Line 5650 14850 5650 14800 @@ -1159,9 +1159,9 @@ Wire Wire Line 2000 12450 2000 12400 Connection ~ 2000 12400 Wire Wire Line - 2700 12900 2700 12850 + 2700 12850 2700 12900 Wire Wire Line - 2000 12850 2000 12900 + 2000 12900 2000 12850 Connection ~ 2000 12900 Connection ~ 1650 13450 Wire Wire Line @@ -2888,13 +2888,13 @@ F 1 "GND" H 1600 5880 30 0001 C CNN 1 1600 5950 -1 0 0 -1 $EndComp -Text HLabel 17500 1200 0 60 BiDi ~ 0 +Text HLabel 17500 2700 0 60 BiDi ~ 0 USBA_VM Text HLabel 17500 2600 0 60 BiDi ~ 0 USBA_VP Text HLabel 17500 1700 0 60 BiDi ~ 0 USBA_RCV -Text HLabel 17500 1300 0 60 BiDi ~ 0 +Text HLabel 17500 1200 0 60 BiDi ~ 0 USBA_OE_N Text HLabel 17500 1600 0 60 BiDi ~ 0 USBA_SPD diff --git a/kicad/xue-rnc/NV_MEMORIES.sch b/kicad/xue-rnc/NV_MEMORIES.sch index 82e0dd1..36da8d2 100644 --- a/kicad/xue-rnc/NV_MEMORIES.sch +++ b/kicad/xue-rnc/NV_MEMORIES.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03 diff --git a/kicad/xue-rnc/PSU.sch b/kicad/xue-rnc/PSU.sch index 448834e..4d28ba4 100644 --- a/kicad/xue-rnc/PSU.sch +++ b/kicad/xue-rnc/PSU.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03 diff --git a/kicad/xue-rnc/USB.sch b/kicad/xue-rnc/USB.sch index 4e40c7b..ea44d96 100644 --- a/kicad/xue-rnc/USB.sch +++ b/kicad/xue-rnc/USB.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03 @@ -59,6 +59,14 @@ Comment2 "" Comment3 "" Comment4 "" $EndDescr +Text Label 3950 6550 0 40 ~ 0 +USBD_D+ +Text Label 3950 6450 0 40 ~ 0 +USBD_D- +Text Label 4100 2850 0 40 ~ 0 +USBA_D+ +Text Label 4100 2750 0 40 ~ 0 +USBA_D- $Comp L C C86 U 1 1 4C71C9C5 @@ -74,8 +82,8 @@ L C C87 U 1 1 4C71C9C4 P 7850 1500 F 0 "C87" H 7900 1600 50 0000 L CNN -F 1 "1uF" H 7900 1400 50 0000 L CNN -F 2 "0603" H 7850 1500 60 0001 C CNN +F 1 "100nF" H 7900 1400 50 0000 L CNN +F 2 "0402" H 7850 1500 60 0001 C CNN 1 7850 1500 1 0 0 -1 $EndComp @@ -84,7 +92,7 @@ L C C88 U 1 1 4C71C9C3 P 8200 1500 F 0 "C88" H 8250 1600 50 0000 L CNN -F 1 "470nF" H 8250 1400 50 0000 L CNN +F 1 "100nF" H 8250 1400 50 0000 L CNN F 2 "0402" H 8200 1500 60 0001 C CNN 1 8200 1500 1 0 0 -1 @@ -734,7 +742,8 @@ L C C36 U 1 1 4C6552BD P 2850 5200 F 0 "C36" H 2900 5300 50 0000 L CNN -F 1 "1uF" H 2900 5100 50 0000 L CNN +F 1 "100nF" H 2900 5100 50 0000 L CNN +F 2 "0402" H 2850 5200 60 0001 C CNN 1 2850 5200 1 0 0 -1 $EndComp @@ -743,7 +752,8 @@ L C C37 U 1 1 4C6552BC P 3200 5200 F 0 "C37" H 3250 5300 50 0000 L CNN -F 1 "470nF" H 3250 5100 50 0000 L CNN +F 1 "100nF" H 3250 5100 50 0000 L CNN +F 2 "0402" H 3200 5200 60 0001 C CNN 1 3200 5200 1 0 0 -1 $EndComp @@ -977,7 +987,7 @@ L C C15 U 1 1 4C5F2039 P 3150 1500 F 0 "C15" H 3200 1600 50 0000 L CNN -F 1 "470nF" H 3200 1400 50 0000 L CNN +F 1 "100nF" H 3200 1400 50 0000 L CNN 1 3150 1500 1 0 0 -1 $EndComp @@ -986,7 +996,8 @@ L C C14 U 1 1 4C5F2037 P 2800 1500 F 0 "C14" H 2850 1600 50 0000 L CNN -F 1 "1uF" H 2850 1400 50 0000 L CNN +F 1 "100nF" H 2850 1400 50 0000 L CNN +F 2 "0402" H 2800 1500 60 0001 C CNN 1 2800 1500 1 0 0 -1 $EndComp diff --git a/kicad/xue-rnc/eth_phy.sch b/kicad/xue-rnc/eth_phy.sch index 58bee4d..4e4937e 100644 --- a/kicad/xue-rnc/eth_phy.sch +++ b/kicad/xue-rnc/eth_phy.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03 diff --git a/kicad/xue-rnc/xue-rnc-brd.png b/kicad/xue-rnc/xue-rnc-brd.png index c371e9f47237e641fb12a60be909a89a426e7ece..255bdc7aeb6d444e0e574bdae4d84e733bb4d3b2 100644 GIT binary patch delta 192260 zcmXVXWmH!0)AfDRf^;g4lyrAXcSxs%bhpF-ejwc--QC^Y4FZzV-K{jd$N%$wk+ocl z!xeMQ?Ad$I&-S+$^KYxw!~j~dT(XeI0J-Lz!d<>b@4DTjKNSqRIQ0W~x;Cy|`VAbq zU5pxy{*Bg_jZ3+_ajCkpsq}U&WON}&uy4oH*Gb*Kiy$V8;!I!rcI;8zX6_5^w;=VI zPx?$=r}rpFK>>6nq8G#L44VIjKg4323vl-R7A}7D%L=AJ!`Mfsc`;%E7e zp%~`%_5J?S^>~JRcmEFc?&($+k3{;N=_sqQ5Fvmwlf4rhS2M;F%fN+u=z zGWgU7qL1GInZ2L?EVlVhA->BP>759Yeo z@`hx5SJ z!ma~k!&Ys(Cw__4p!{U!ZBbGc>xC?A+Fzb&bEFN^B+QBzB^?C4lGtsZWW-i=$w_oG&V63eUO*=U2D3zC1A zAW;zW!L)>$L`-XF3k%_VljAws$E@i;`W|68m*M^?v0hbRLv91{BV)nQ9yO|x)j?#{ z`Y~|jwANU))rTMbhmb{N$Oa8U#_o)Gy@#5UP4=j-5N}QA;Ec>Bx@QtX59xO(gPfGN zU-@Vl94=1vAShHn9yQMB6&vFlX_jfwL)|~%W(^cgSP3dOIhs%mMi0e0%1$^bmG?CC zreTuU!64l8?YD$gh8K2oi>!V}-LBT+cn@%&g-*By+Wy9iOMeoOkhuTE!Z%IKu&&FB z?H?S(GF!G_!ROMP*y@@V`=c>)9mtwo>zGH{aMs5cdI}%^PF2jq}+I;N{-8=>Rye z+u0@lASgI+=&)#*b0Ui(L|(9)j4>}ELTu!~Rd`T(ag1sZvdYcUf8t5w2IX=)suwgE z6}LY<{oROp1-wcf0IzbsvZJi#2AO?-AJ5?yN`n|%wra;ORnOqu#2nGiJwJH(-}<$( zvMxA0p049GF;uA)VXCO;Tg>k92?2N6_-MgC2c_!c9XoMX8HcS0ZzJG)PMd&FX+{naqNOrVeFhiI*C!Yz|zNSPsvJYZbGVFPDP1nR6-5sUB#b>8;{p>N(KwW1|aN#9#~{)PYDNM6uiB?@~rUqL^)-l$!% zV_({Ab45hXTt6{STqTkikt3i+DA1ts{$)_ujM7 zu0UTR0N><8x}lz)_s5z?<^aID$|s(f-?#fK{|vl^SLpJ91F2EJklB6xM%0 zN$oiDuZO0<4zi-RotOb#!LB-axfqglNCI9JcWnYrTlXAWC(oR5;;}2W10*lM{sgc0 zC`s1Hov^UL+=59YRG%ADD!P$gB^ZB0&2Ks8D{ORJxzVE=a-;nax*{MwJi6XkvBKs~ zy}13d3}x+Igh*FUxC!lExWSA6hd^AcR-}?530__d7@sLA9`PE#u(Mr{{mtIP!3F-T zx3q7xeJorw$l)RUUq&en>**#WgE1ay;>RH2uFn`ZK6+~zDkz3@94W#w_%+F3O;1B=`=5pjf`;1qJrs|~+7t1y~MLME3*h$Q8VtAMp6FjSDA-Vz}qWJ=VaNOoSj z5)^c3AZ|wps$nO7aO>*FFwX2h1FM0_e&QnhhhXlWV4}6zU4h9>ee{^j%z#<#1J(kl z(d$bhBrqs8N~I%5U>?Q?jYJO&;DsNSE*Bfiaep-*a2mu^WaQ_F{lJhFj+wcNN$5}$ zX(s^Q0;xS^L~HaTFO{h9g!%OX;WZ^E&?FYMiR^uqAuUz?ZxEa2Sv<^YdnSI!;Wg(a5?9Q?iGsAx^&Ox5nU$?x2 zO9=}@%xJn3yG@M``a_-as%kRJLY9nKB`YRpDE*&}`jQCvSGfV1EmR>kovg6_8NehX z^W^3aHnX7P71eKl1@liQVd9qr)FkvmD!Er>(#Ad%p)(OTRuaE;+i}{OoS~ume#3pi zCUQF$^S#o?JSIFj8GcewF(>D#A|eAnFMq=yjA?Y~lVT6IuT6}%n|*}Z)kZu+(XX)8 zo26xU*xUQn@*E1jdB-*MF7d7WDNLbr(=6-VPMAKKxAh zxD^$O?Ne4(db~vvai!TqrD%v20hTel=Ldnm7k#zea_%(*V!2}Jld9K120Dl2w@&xq zC6ys_`+(z*+g_d&cl}vHk7YW&qpg~h!G53Ac~h|3%<76fujgipiNgcCCU3l{?`GfR zLD`Pxun7p+r%*T)nC4wsMP-K)c6Rm^=r!8K#rq`|ZKQY|bDL++g*KP~;QP13zXlr% z4+3%b&``uOgUSp{n0Z@0d2 zjkd4oy_7hy>+Pb@n5Zs8%#AurD~7`StxCPQvDPP_Cct8EN} zho(7|;b^JU9i{i+InZqgV%(hEW7O0%VPIhNo~?F$X;8#-dkh60+1Yua0;k8%3l+a3 zps<-#e69RTOOIM@e~BCIUne!W!|05zOdUHCBH&t~Dc!Qd!kNUv1|-EBGgd_~HIHQY z)r;`bq2a%sxSTzLWm<^0Ed%(2Z`(23A*s|^3$B;^7ma;iq7{^w&){Q&Yj`hM72=2F zxE1IZ3{xw^?Ye=_My7UWn|v)T0~vwtuz8#czG?;BU=sGnY7e6mU64Q;+g>#OL8w*Fm!d+U6 zHLEy3NL7Ad`MKyqggckQWm~!Zcus11y4=*^npX_tqCZ})&;R7_`#d;ZZ^?Xh6(wQ6 zCeYOP9W}J+ptZSYp+2!rhS&HOC1PcdyV-AmNn}dBtd)wIvfiEeC6;VAfSq^UhMbQ- z^8BM(8W$sw%3?N}l3uCf@T}@M7}j_8M8UY&dO{b9Gn&OSEd2O!%;T}ml*V#oi@D~I0h(d^i}Bw zVA*t99a`1zu*n3w%) z2oR+ttl!G$B+-6#NB^3RBzPH8#QG}sDz;(ge^F?omhxHk#13k=34_@NnGQyCrHk!* zWO9&%ufjHl7l6fxi+Aqaz4#$EYlmWc-&Tz_^+d_g_#F|r_#HJho%3uEc ziJoJo;UTA7E12`>1=~n!7P#~NGj|d8$xk&X4%I4os54Zf@`HQokZ~jDW+$&Pv2N-& zzs=y?zHU#qJsvBd{e(sZf9LZPDsqJdfs2kZm@X~B%}1^DCFL0wcvT?rKzDW$I^6gB zLpiqjL{pR0@}VlcyukvMnSkse8TTy`fdV4kN(nR7p~t&CYrCqE5nl1t*34Uuma*$V zTsCt6f{1w|?(~k!7sq*klT+aaExxZ4p|g?>xMcHvkIMmbfky7vjK_$OUCbrhtl0Zbq&Iu~}lvYHyEFJ30MJ^z!m32g&dMU5acCnXh^s-rguw$Wqfv zM!6_TN+jiiI4x8fj*Kolk7mzmOUsU)s5*O%WdWV%m)=%Kxm&A~O+;pe@-Zf+?d?P( zTG>@{H{b8rl5!bi<6(z|n~U|OmS~S-=fjaA8nF(59)m?Z(PS`)L=fP9IAiX} z_j=k;ZM{&%pcS(*Z9qMID0`EM`Lfu)g(%Zcq%PL4o)hCBjvm4EOjsp=RBVsTD+BCzXQ{?;C z-Ln{O9@4gYt>&~l9u&Yo6Q9u$JwE=+>C`MBoe98#M=JD`wa4g94YYj#s#dII1S(|PXVnT46nV?P@qNKVWm zvW{Nrf5&PQ!nEKhC`m*5gGE+`)QA#dg~sSFh;}C@a=qt(Sv`k7FKwZ@#iK2jq<1jD zd(#6*=P0KO$zIGGVM!QQgjKv0z%zy+3_}eXy#9#88{;d)r!aR=ZaF!pY&tA%qm$?z zuQ_HRdcPwWK43N3VKv~18LrShzOr%?)#hH*=6-aJ8&E{85GUlS{a#RK5A3Mm z1&&?t1d}r}w-nKsAf30j=D(U9XNsOy<92{uvug7xdxPIu4Bs9j&Yb%?s#dLGkqQUW zDek)57qNw7zuK~Q3&iUuMdT0A-M;#;^i1|RRd>{0&O1D)QQph#AEy_?!`!kF)Z$Wc`yebV}mctR1#Ki>L6HfS@rQc3(95xr7RPznN)Pp}#0 z;~1{U$QH*gwIDv7p1m+f9E5HcD&jI0Q1&01h8K>EdJ_-?s1%kZU)W2o>;nu}f5++f z*KF)->vkreCo+keCC&!`0|DT4jr(i@qw+}CzGlIU{HkzE5fd}-YYjezCxpw!DJt1{ zOqsWSlq+@)PCS?3zYQHqbU(S1<>c_}vBKq>_SLL*;6;YyX-arx)lZ&WtHjd#^mI1J zYLIx_&8YDB4$laOB?AB(OWK;UVv4U5STBc%E^d5>OmI^9rjdmsv%o{kj4S3xI6=R! zV%0r|rX7VNPjtxIITvrJJgjN4QjjBvU#2errPO<6w8Q~MTW}G`90(!fA$nZfBk#uO z*^`<;daY8y^fx`bp?0%XQDvEI7B=- z6}#M~8*G~z*15cahvY-u`=SYVN+DnPDWMCbzWK_yTCW_8dF}e8go#Z__lsqPst=2Q zRC=Y*`5YD%_ereYQ^<^qToA;GvWYU$eROkV6QLd!WSZqJW1pm>z+H^4IeNr$K*z6L z#5HDD+^45tz4TS;gPwP`N%_$tA!%_4u*UkiuM1L=t&(5>7Xugl;_q0jHGafgHLtD< zkGQ7O<#vg zBI&aPj6E!Oi%-kT#H=eT)MFwE?;2S`JsZwmK`McEf5!+@vNJ0!7()*xMwkA!M7E_W za8dnplx|lu@Mr7;YSdfE@~n-N*Oo@_b{2rpaviwHkJk?!jHKIGNXx^N|8%A1I;5un z_d(mhe^GFq<;yP$0~qh1X||SGcq%t2ug&6=(XUyJ�|TLNHj6qXqjuA>V zsz~`R467X<>95G62ZUAkFTX&jR9#!TP?Lay~rGUe%FoO43;CFa;YYYC7k!LHq5)s_8l#na+4 zdBP1yIPWAJ`N3$2C?~TOitVjmq||)X>R>nAu;FOC&52rd|3++N-N@XgxW#|hm-#W-*zKT@&- zEH`Ijhqm+@L0cyjJX)*dv^boo`bC@=Bse&tO?J26>RD5s4rli*J6|3Y(MYM6QJOlS z-~M_pnz+mRB_~^lM4c(>EVuf#3$$u-`3CiBCTvu+B*Wzz9RHfPCz(PaG&A6FvENwR zBOYI@)BPe`9qFeMRHgU^ufaz51DD$qkbOGesN3$UCw9^Z-P$g|YBNXiM`|~nqdMP5 z*ndIB$iVC1b@VVsxxMQqhg~Rgk-7i9xo@#*WnLByzeO&iKr4_9f(5F;?DzzXcS|LD zZIY316}~<3ptd^@B4XgG`*NQZ7fCTgN&>qeMh*CdD4Yu4 zTHyB+c((#Kus$9lEc&~CWgnvfb0tP1Vhspd~&(tm$@A3Bxg13cbx^L!P|82vj*n07XM=b3VS5?@e!wEUEso`6 zbk%o(i_6c~6%j!KF~-QGkcit~p%b?#rA!7%rBq7ctLch22QWr#_n7$HMDE+66KVT3 z0N^NfjM_QKZ<~tL^gB|cOY9C4cMdbSZ*n?M*O4b(Q6Ikw2K#fQii83=WnforoujF# z4L7M!d+4zA)By8JMe8xJKtpWtIa7&T>jM?HJqX$y9p8}HIyucOHrc~Ne0|HIXQej^ zv^YXwE<@cNA_d-#qmDMXS*()Rzl2up)&v)>8r~cvr4`gS^QSx?J}l7JppZp8WU_Yu ztnII?3@3{ZRTOi$bFp4*^*rO9{&koiIy)k%@YvK~XXd$$iU}mA$k5cKY-3Z$=-^Hu zxaf2A-;q^o&zjC3K9n(x{a`%yvHn%j@dhFG!yq(Kr=(obMgNKPLS0<^(eL%K%iVIm zoLP+E(cIZ-=I+k|tE-yoSD^SfH>+?2v-9Ehx=h0R2`iQ3P~H1%Mvl~EI#)`&WBGQb zy_G2yT4pteYz^=@Y?Qg2@W2TR=PiV+X7(*N*r)wqNVyB_Q*oG+V-7W_+@nVx;EgOh z-Wej>#%BoGuWa+Bpry@Cm;kd@o!zPzBfcI`CjsB~6@K!MC9>yoIkYHXfn|XKM4NfjTlZQi^42QxRR0RD1{?(Y zA!PnLI)V-T?kyK%w~R431Bk&@M@Tb9>!kFCHrc4_Ov&*Ux>0`Nh(aeq)p0JSWX-iT z1PF$8$=D_{KrC$KE5AQ-Mj904a?XfrWm5G+6yVG#whF9{LKs?bxEH#!m6r~=U(=Op z+yrVdEkpEF&gG{J=j790+d{>TXuEn??uO4GHwxZXD^ zU5YI&jY-gG1x%bU=SZCf4-Vn1vDq2m#fH!MD{h>#p%Maa5=hQI zZ&~kVS6X^c#>87E1vYHS!Atr$ffPNVaowD>e{Dy7?8q%I^R926FGj^=HtS-5nbDvx{j=cEiVj4hF3&lu?%(|M-5{%9I5UTNl60<;&`*J)1F`@-0ZrvjunIGC>6k)cH)t8p&pLUD_Wx{x&x zpY4nhfyBY_W&6mEQaB#0x8v?hxAShc=y?Xk{}KGJPv!{S!ynbetJqL+!<<9RGh>JR z$NX_yT7tFPJs9fD4ST>IV#T;g87UK<+T+-HhVq{20wbmk@Of++&k~O4?}t9!%vv-p zu9~trwiJ#4r7ux9VYMps?VJfq#%{Ud2873?#~wm=>(dYj8AL{0^fT{`S%C9@S;>Ol ze|>iKKg8x-vUPScUmvt0!N>V!8+2rDgwbdIQ?xdI=Ae#ec+sb%@O#ryfDM)JMj@Z; zdsdH&Bo4rKc_>7QPg>D8bKN%$2dNzl=e)v^zFodNkGdI~Yy-U&2k=5&VPM1{!{aOe zb|EeISf}g8HS0Ij9&stT;lJF_*8*OS#p*Ys%f(bq_WR2rsc}_4gDgIEEg#!9Z9LM@ zXoOT2A2d^F`*&VGB=aArYFhe4c}^_BuS$TofN`7*0tFGXkx?K25|8aoTpyWucWZ5)!kyh9DJ9Db`7psYuRQygQ+&Jeh@q0B zj>*b$eOF!Eivq?t*e8^dXiCscqu*4e;ohqEgpBtl9|G4RQ4c7eO&r?2%Rwq4rN zWu4dV+-Mo%PdnYVUt>QE{wh!vzDMA&U9iK@)c>Pe;t%S3&AUIQJkL8ar4I>;j*Y)3 zm7ronULMzZ2mZZW_UA_>N_KW2F(F|I)$h@-#Ndt)&c$jbAOD|jBzy6zYW=&eea6su z3h_sVdcpEzb@QeqXhgHI&m_%>gVk?AzyYH zo}wOMQu&$Z=MzM$-Gvv=w}@Fla7Zx9ktufrJkE14jRCcr^GSZ2P$yuW04o)FAagEj zZh=dzZ};Aa6)b-s=83JX<`+U*`}IpgT*{q)w|!Q-KT}Yy!*#pRD^F6eodXyAZ*x#= zFKsN&mE8Qcp1J)^{Y|AH$yz%=k7Ex1zwYG_5@mB#Z}}cFz4Fw{&Mcq!#;NT0SC6d= zakwOi%}M=b$r$kC+nn>3={JbW>Cye64+xvV4&CwK=XbuZ?5BQC$In8q?I8&7gM%8f z&Bn$Amt9Wz0>Bd+aP}fJ(bH=mn(L^p{=s3tmIW@9n*1P2#jQeq&EpdsOvdx`f1#1Y zdS4^PlBO=GB&%YE+YPJk{wTu1@;<@eJa3xc-)+{20XArUA9C8g`$)ax9RwrMoLC+< zGTeQ=%?;Ei0cuB{Vszy)jbzQgU!5T@FQPa&M7JL`d3o=7jg2dXWNu`ApZ~mzB3aeh zb2U@0aK2-qGX-SY zTg-YdKwg%jMC5{xNc=~8p#WgC_)RqNLBKJ9rCS)RapObVb^z~JzKkb9TY(10-w#7a zbrq|PQ{QROm@oDaAWX!bmi?T<2D4Z!8aDRQFfvfTgc>)aV(I$mFBc5<`k89-$s(nd z&QCvc5?a^tkwCgACwqfOta>fU6B)(U`$808ZgnTs&Sh9y87)79DOZxyU6|1|(PZ_> z+ES;*K^{nvdb4&NWN7ytS96v6`_w8@WI*=8m*wXwVol(Ue$oepnc^(k(K8!o+EnFu z*seIPM=O;!87L7?br`h*li=?AqPmz*!Sk@vMezw?y)Jx9FpKDoH~}$I}MDKJoq3 z*qG@^TAu- zCb$7H8AlB*i|zfa$URqE8v(j)v&*<+J=O)AzDAM*IG6CGOT_l{=>EX|GJjU-E6lg$ z7Xhgw2|kY+dNo#agj;cPBp+vigT)$s25mNy4MBzY-6mV7O*;OCPO(pLvyX3M-8LE~AZ=+z~@KAokb1UUcB=b^aPl#@7gvXQBZT}_F+%?@suKbsa; zKI6{K0?%@y@x!$B{@mZf-J_2dsd@ZE??xb|#o2zqYOcqk zM#nBFBrbVEawL`0`s>wU(L#;uu{Ro#)&vL%umAqe02w93i-y9HAcMi?cgZT?&O2_u z{c}x#6e11hA1OSh_O$aZ07E2Ie&zHNc36>rUmBN>r12K`av$YDLALMV59!q;zdnz* z2X^;)_v0Cgo-yE#_I*+RBz%!EC^S0uK-$H~vV@hr3qLyLu37b^40B;>_PFcm3TI?w zYSRTT!?@0TO7-hhCsv!FIrqh#HhL|b$@hbt z31UVEeduykvs(WseCra(#`~~` zkopNi1quc$lLV0OmTaVgpKoSAK5SQGtgu_g-9}PS%g40oySfQ4*K7xW<#$V0RXHx} z7Rd^aI~EC9_1)T}k{ir=Di=WU@YoW!{4<|YIFi@OR0+EW>T>1JYaL^XT=%#k?wSP- zp{vn=KY6#5*P?TD^rcBJ4n?dF);2ctN5_Yh{LNQ43R%j_ov|mX+NdgLb#^6wBDddh zRM?q{GAXupOIOJ6xlY8~{zAbk8ko%Jf;g2djfcF!R_wZ7JIu9o@~;f%=eB(~iPUfN zEg{8r=8Sx=AJO+^zuTWPzwiZw`gKxBlJY<*rH`F%xiT$I7<)8WcM}9pT55PFK0ys~ zL?40T=VB2YI127Sm6*7w*9*MG^+h?6C;F2#vdH?MPov|zR=0%0p0#&cvX$^#0Zl)L z(g&hW475P-2d>Jbc7uYBiphu@J zWodgzE=yCFQ=xLsby^+i`y;7^kUS28!4Z-m*SgB>`suBF9|gF=xbu*SY48YW+_U5vO@n=)OfYCB zJ6*nImhGCjj5%e<3h`NBWxJaIzVbhe8v;JwBj7(P@Od(0-;Ca|n9SrK;qQYFEaxKP z9~eo>9)*$i6Asj>L%ez~@~RL_sfzTYlKb;nYH8{}D2Z}`A`*w8-5oU@?v3AOr}xLf zuq^iilFXyRgs9jw;KZ6reH|}8!(c?~C*GMthW(>?C@HMKw`Io{@NP9;P*A|GD(VBF z5h?~ci}jC-uJcY=VSgU_2tt}!2TrCGe$8Y=^g&OiP|zVz+njp4f_?+r3M<9(;^tjy zIdlAekt&5v!o`8_9rUK(Vxm(NNdpf4axY$KbcGICKj-2-mB$oz{)r=`h;x+4fr(1yg$dE68g#QT`l}%wEKdCN=&pt=mR1 z@l)`N@8DAwyo%co=qnbSR(g z%Hl_X4|(@5)Cw<(k)cb(ZJy4L|11YH?>U!iD{OyfoNeEHM{TkPy@ihwx=oFdd@jYO zo5RDuCTePQO;pu%xt6-R`ldrdR@3<0o(LgD&eR1##*tzR5^xvn80u99^bdasmHv+) zJOLIjVaqf+?BZrF35*bI3|f8OdZ1-nFSLb5cBK6LNu6J0Z#oc$&+B6GNvPydgZl{F(5)mo1dd=M#f`V>)VI%GI%Dvm zJ~Z98BIha;tTj9h)Re*5g^v<)Z9ot(ht)qhe^Q*9EZ~W+{4Ge%(GAm2fts=%A%?b} zAEq+qLO&p(iVB%^)%EFEW~i$zDhv0*FR-C$64yMOW5 zi3g|s3}Gw`3{`=jrE`h(wqC@}dvC>Mhl&$$gidD)Y@{G+MPXdc%Wu{_OtAEU*0=N| z;Snd|HYbeZY3_u&O-x8ILcO3WLOY54@7`!sek=}H$PiJ+qQGsDVKk?$-hQmnC2xzV z#bwF1T4}IA_`7U$W}mQ%dMXn-R}@2}ga3eectLM2A#03WAC3_-qK+Le^%wFunGFQx z&a~geAtBh|^^Qk!Qzv4z*#SC@?ExTB5GefOp`M@rGl!z**nx$hc(rCbM%8#Ba*3!r zTa>ig{haOUitX{J)j}e?pRR>8D3>B(L!DMu*?-aY&0D#cH(8$z13A&(k-loFKVXL% z^L?KohS`DknFg#Jk-S=MXfmS+PyZcy^o`JptIK!L+^kd!v_IKf zN&t|)wK5$shgcI|3GB@7#k0#O+!g_Xm`!gzF zIo$5FJL+f9@om==6Nna50aFZe5DIg@op*bLCg`Xw(umfnFwL<^rmEA~jn!ONLp0Hi zu^lNf$K7YYRP}{;D{tMgZ`9RB1`%x-FJYm#bN>HN9-tR0Y!;gu$TS>XOyf1*{ACJUqbyMh!X(CZBzft|>@@atZ$V zI1?5HtE$zKGm<+T4T3#UD^Xf1Uv6nStIk4j_8~H2H%XF0_m<>j>;|K1eAB-~xFAG) z2>}U;ffp+tf4ZT0wPsobhawj6LJQEO!h(t-*W=o@yrO~%L=o9}Xi_2(JssVHHWDUN z!3ylo8*+fjiHE3*nubRA;m>grb>MKUY9j3CyC^Hh^5zW{$*8~j?!+riq93jUz9Yw!|g8FV^aKc3G*LZSxK z_5{Zu8Wj(uTxt7Qr)k_%pg|L!3?KcFTy^xT$g&?4R!4{7hZcGNs_G~~(QJ#${nc)y zpN&YvxyBuMn_(#Lsobp5C}fiTmqcJG-6@)JViJl2jcT-nY8jgf_A4gFv|>6oqSk8x z;E_2_&ET}D@wKA$?31G%2A44$B!_#&k5?d_?>_|0l`i2zqwNu&Mcby>4kM^2ECQL; zLgl3L6oJ?US@+g}2`H+f($>6k@p}np`EZ5gNj1UPkn+U1;@;14?7_^Zr+@Ok8sP+a zPkPQy$L%5pJ*^ejXG?|nB5w*@vBe+&V_;70;r9GB2V;vQTR}x-T9sTpdy2bMIa~ET z4nB+Q$vlysu{)aNyF4gC3$PADIt+K8RAg=1{?w9yUUTEiqK{e~mD`=RRQ2LO7dE0@ zAF|>5sxiVA^j$S3HSGG%@hLC2`g}>vrze9P#0BdItsWJ{w68U!fOnwTSSFD7rH|*I zQX|w3^0sIW?EMySLv5Sby=JMSo5JiR(QM5I)2`R$1Y)T?Y!jh+!cRk+X@onc5 z6QH+9C8{{?MQ%?_OIx$ppUhhMgr#+GJ_ReOYr7e=0k06>X5b;}0*p`X;}+FfXnlJy z^gTQr$dY0AF9Hp6TJwKYM;8JyrtTge(IK4dR^anc zrGWN}m@NO|_tMb;kjn&co;8$wTArE?UUt2vN3OhnS#|nmwimM0QYZRfUvQsbGPqEG*P4k(y!*8256crp zTz2L#MVm^E4?zKy;R<53R+JvhkJ?3+Y;9~WnVym)h-bdQA9YqbnqWKC8Sv)+>+9hH zyCAoGA{L|WWGcBI?T+`mNm+61Cs-Y^1n0BJK}w*pr#V|0%nQoj7hS7P9w^t9zoxpaA!%)IIPK_|@4|YOMZCIX|CPkob@TkBE3_3FqY+ zT>=puFO8?RF0%gfz|xL}5nlB#tA<9mta_aaTYpm{N}5DAHQIL3iVHd>q=dx^-UN&` zAC{MD&JDwwN7G$F{!9oaLZLe{3n$pvlu`UMs2Av=$RkQZ0Wgm``U$hZ=TVG}bp!oJ zC`u}7pu^H}Ef0(*QOY;M5wCe`PxNj8%v$2*Rmton<1X$yvGTG1PVoCa+Sukg@mBBC z78b}QA^a*)8=CI$y6tUo-cKduupmQtOW?3pL`^%%%zzh;>d|l2Fxj_Q{*Y>I!R=5q zV9dMEl}2X;YG8De)?k&X3pwuuu4z7)V5*)KFSb(TzquU7jUfy=d172N6u0Tbqi7^E zIS%RXcOPwLAs|;AzPm$|8~8zKAcmzfdi7yDYnhNApf~V*YWLLWuvx0*mZw&mN>5J@ z8W|Zm7nhXmBnw1RP*hYrS*TifpCir^+eE3MAS4XT%aw_usmIvJ*6Y#m5L1Gy3xZ z!xDEgd@pz>y;yxdk(Zpjq5z{q=^e%j49BgDf?LMP#O{z!fHFW)ZsE1w_j81C<=#r&u(czft(2A^IX1Bwb#mP?Eogu{6_v-78i> zujshjib{-ndCIQD*vgnl<3vr#UvSf-6@c3`zC z=9#*$yFYZRW-GeF7Z<01uMI*b6VfVzKKY*$Ggu3F_8^+D`p~9DAKk$**17lU6I;m% zY&n68&m__(>s_x?xcVMBWE<9R2ur)CDoaE5^~4t>P~O(0Ky7|BEipTadrd4nDf;Z)k@P)D9(i;2?v9R6tSSVh0=nHuEmZ9Fb}Ph*Abs;c$!- zNUmVl_!WRJ-9If&idI$p_2E4SI1$4KuFOKKx?nm>2OTD5;sOug>tQv9imN@Pl4VjkP2?Q)Z-UY7DY$PPcw&l=jk617qPw!d zz=FQbK^Y9@=9lDU^ZX~ejUu9)O;|~q+M-<}egzY1#F}*H+iF@%M$_ceM@Z%@1f%#X*V9byOE#YL=>Si=H|9K%qlpC01+pj1v-77 zgBf&gXx+RfL3-|oW$MjmPcuGAv+wwRL#+L0z8h%Sq%625*Qp>-&Qi{pXHr(gYEz=G z0Vk+%@QLhU^?dI?gFflff3tT%4Lg(kK(_BQf!|-@XK{DtCsYI`6lAOJpE<%wSZxsf zwy2GVWAhet!(X7T)B{M7#TeIU4Z@)4?@MYk{4fQtOF(j2%`f;EC(H>Pc7xfWta<$0 zp1`c(ES)F`_Nrnk2)=$<(y~_MadA5NgZ(>2QWB@jizV?glvE9i^OgE4-#-7U?BaLH z-npFrdzwM(RY>kd?z3IYzDe(;``6yFI7ABCdk!6I119KG~%i=X598I0OWze4s z7Qr`bC?Vi_u)*~>)kao^W5kELL819V*Fc1T0q(V82AvKc(JzEXtJLy1G+uMLlcUxU zmK2L4ms*Kg)0e}CG>`&mvMcBq5ud!fbUHtLP@}~mDG4S|_D#IJtWr1i_K$-a)e$o; z41eW^5fOoS5~yR1Zil!Fg%3r05OluXK2Lzpa~hNDrldVfy@S2*o3pAgsnJsO0Gh$^ zwUB>i9~rSg*pY6D?rm_5I!<~E680OYWRr*e30cven{g|2>gB89#w-0 z+BxC$@!xg-o}QNPJQvdT-~%q{aKeDt%S*%X{QNmKAz#3C$O)|u>OdmcI+u{xkOs;t zB$17_>@ya}|EvmH{!^UY+2FuZw)1|Q!22Drc>yP1s7~$adF^Vn!u;qJTW!9Mz?RLW zqT38;WoXj2i|t+*@W>Bfv`u+pw4ob^Y}%2NPZ$1RWG(`&JiUO~YhGozxlfA4;9C{M z?MR#SksZ>t>Mxq9T&Iy;fHDh)p>lU&iCWOU`vNkLobm0ar1Kwtj)#7NE_^t6i96`C zziT{Acu(hD8lQT7-S2zC=Du}d+G22?LtMhO$MgyXkvhMirZ!@Na38E~oHJGpOw~FS z;YX=Q4=8ZL+`y|gXR7k&cd{oxqRUG9xmEeJzpy&3C`E;t?=_9{pf$6&}zOgKt^$<0SPKRB_N$Pz9WT}IaHGpd8x;j_WLKlD!EDi|hzGuF8_A(D?%y9$p-5_iS=L`1x=Kw%Q~@8H<25 z!KxqNw_lgB6fLOr+QS8<$d+(-d5^?(|jG>OUV zj7_9Bq-H!-@)L+C#7Qhb8WxN^25|AoK-X4pPhPbPpCg$LM+#o= zr%)i&Me(6)@>eU)xvUOKMn<%szBAC`wLu!J7xwqkgg;PhQTV;IPMWPD0F6#=1*Ta4 z7#o~$eF*uu7DIeUI3XH_#^?ULwY%T#DgND5ptIngTpbQhMtFGe1K;1KM1v0dZqVQx zdQtn7UZjWmBt>ygc^of@rR}?wC6+@wXw`rKLX);~jj|C}0c;*5hHeYSj(MwwO6IBH z!jTUIe}Y~o$K}ny8}qzftsGCeCe^6)hA*m2DK!E>mCYZCbg_c$&N~_&Pm^Zf?=3Sy&RlQ7aaGe9=^DC50P0{{(T^UnSW3g^^z4 zwty~)dEHGi_=RBDO@)(60=oXR^Wew-{JGbouR|D&ZZ~@VBc|-x_}Qc27xU#yUvh@) zCYSOkDs}hSRKdF8ttUQptW6nER^~|jX>H&o# zsNKb-pcylr$tp;*rst4h3p7%YAhNTojZ96!EE>cvx<0~!a~TRL2(MFXp21S;wmx_I z=G20eIqpd6VYx`is&Rf~A*d+GwDR45OKz75Df(NAvuPK~v?>F}jry#6)`9>^4yY)G zxHw79K+o7Qs3%&eGw&!(k77H$O^pLj*iA5_BQN^ z8S~TEZ5%JV9wH^3>3k-SVh4xE_~nump|p^=F=VH2VY0;m<5%CVtALlyV5#PYn zluW_@N7PqGMb(9S)7_1ff`~{{>&7+n(<|`=6h5_m+S=#oXLi zHKu(ZRaKvRGKCM|RC}X))Z^S`Wt)2U63(cVj*}VHn#O8&zNc~@C>R^_0MGotBqQFD zx`(!9U4wN1no~X$V<#KGu44$awr}k4v{^dq~Q9 z##_63Xtez_uS%`NFw0@FL5)rnc!7yNT_z`dA3>&8l$-c)m1AaXtOD@w&e!Z<8TH1~ z%XeO!pPRH8R19+sgK7=P(Fxe!OHPb^Af~Nu=Ne9JAMu;ap`Ga98aAgjf$Zl_a*IYl zBIw;&+|Om77WWe5CCGB*MlWBNm+1GC-dd%$@tgk}PnuGYn@CDmyhF({I>P$7HpKtH z$0)m##Ix-jq3| zcWH`>P~1+NcRg+Xy1xl)CB?*y;LO_GG!sM>?Ncz~74!7;H2(a#)0in=-5(4sMY!+F z^`f14XJ;ohUL1p;Rj{%5(Um97{&dN#VWC4fi8>J&b>Uh7LD`VJuZu_ej;62;xrLt9 zvMfyC(w%MhMw?PUt~F8ea3Y~2HJh4XPMW-AtWQogz5t<^;iEZy$8&#om?ekTjmTFW zQv(^9Pq{-bb9sR#o2m7&lW-MP^ur%%AA7#H8jBZK!SirglVD0v9&EBO zLuiYOi>V|5Ggf=8#9jfH{qI&*`zt{~L7MuWdwnm@4wmQ|7~jLZFG`+TpLja9uS7)3Ehm?%6nE0T-ISAmU9K|> z4~y%}&WZJHXg074Njzthw8 zIN!Vh){3$wGFZ(=GkuDO&C~KBRDMsi=aAWhCnK<4L3$# z9+c%adZqdib}@I?YG>Gbve>XYw)esqND5z1!?^s!21RO>)0RHe#J|=Ek;X0mV}R-i zE1c~roF&cjBHonAv+?V=bxfuC z8j{IPVnagG_Zj3mUnAlsOp92Ir1L6Fwon;<3QvlrQ~-4@cw>ZygX#j6WQG_E`=%*b zlZ;UkWVwSqVuVI1Xcy`pCo&Yy*j=}B>Jbv&36Nz|vR+|-pRX<)tD;?(zKfSPaR)ya{e%QWnp`n7w8Vi@vraF-m^5L@W3 z0+3Z*tZ!leuG~{`0a0goM1*jKN;rXoNsNV})VL z2JZGDPIp#~;9Zs~jbZUWDZh;z=RPks>NmY-uUQ*u0Xy%9)n{fpJJ%y~v$I!u z)%EirlY{#gj~A-gDe)@D>SMmt>PiN-xsevpKsbI;Ha)<0mBxr5&XQn2{J!f zxTl9Ui6qt=;s$QJQ%Sth48@vXULk^D~EdUmPi;_5KN-f#Ql!Brnv zS&t*=MteKgmBFxw4ZIVSiPb3aXgxb|L02U$R2>O}EUSRJE^sXMMu;rCo!WhI}kg8)5|43hDx z*v%W0hEPyfou^i~s9n9O@UY(F{9fr4LEL{AjH{5uAqhSuT+l*Nu_b;BQeZ~FflrI$bH7IxF~ zgb3ir`4(8tz@5W=oi?wTFXvLYAi)nq`W-Hll6Li9p+(T54xe+VIFd*L5X^fkFp+Ir^4HwE(R2-=u~)3wo0Rl7$PfhWkBZeiIF!Y!Xhg3&NS=>J5r zvPdX&Oqm#>E?8|W@9b;}cYa=^;a>?vWj`jvA4SR4_w@7-dnJPP5svaS>DRib&_`?` zA{rV%>rNgsBHRdl8RBnubLbX`Zs6;y2yMfdg%N&q-5y=GrmHDGbbvk9@AOVs(XTT8 zJx6_}8s~mIr+B3Sm<=G}KF&Koc}lC`O`0!WjlMhodhL!9&Jszm893Y!Tmk>@jdV8LzCK_fi=DIbQ ziqFr#5$>CdL;05?PIX9OxwL5o=jk+&(07F8ya;Dnc&?GaUA16ntIrYle`D?amc9z7 z|KEV@PQ%JFYh8?`XR;_j3+J-`wcp7{q&-)%A~wtLKC{LJIB2z4tT%+m=Jv9gV@8Pmcgo2sDz+NU{WB)S z{ETUElhuSuaQ)NHx{~J|Lrn0G_V#=#c^t08T4R%%-^-=Hb<1f7L+@!Xm&RtUb*_I? zife)j^A8@ljxDOqug9{~Kh7CyYa6eC!jO@1?)$G6MH!vv%iworA_~HDE89{ojI45!pLf zRF%|eVsEzOFzzO@CLa_$9GI)DjlnXrkz7y%rdW8%^uGVq`2d4BSSfo~;hxNt0hs;6 zFzi@{&i;NGRu(n`)p*a|s9zG3Z(TNeHGe><6RPUNBI3`jtsJx??M?yKIcSEW{I$f1 zZIV~dORB-&!^Z|)*Y6IqGb6fzn7|EjI5c}TxfOY(wCP15&i73vDIcj=$Ue2hKw_vJ zUD^TK22;>n5Yjoh+5c>ah-z86i5$hkd;~(bBOUxk>)AKRW4`cGkyIrmp9YCr;7ogW z!$J9h6G+8oe$U5l1Z$!}NW(l$tOK59wt^zG{@NQiFD>a2zUJck+T;ox-CIbW40Yzd z{k>XdW@cdlsE$Fj`y?A9P==fGMpX^-iT*PR*LOO|_gVsKcUFY$dn;+xdmOYkGk5EA#mT2D->84rc9MI0sQH5Tt48Pncpo>C=7hS2S+%Ic4k1LksOOA3@ zR#r26nX|>OU0qA#Uc5v)$^r|$CWNt1@hGRbc1s=;pPib8qLd@EgFlsBXl@ZMy>kz? zC@jRM4p385`=xY#DWRT*ij3^CKU1cg^38w+A|fk$A3Vu8i5&<;7sxW;PpE6BC*)hl z&@bSGMz3V%N-!LfG3EQ2kdTl<>sSoYU^?Fz9vx-i=jWG~XpM}C zq48wCsOD=1i(>kX^C7lTRO-D4m6dTAM)RK^k+3WfyncF`-WQ{#l2PmTDNgt3H4UI?2%F24+8V=mS+LhW(@HxKL{R(wP3C_>Y zH|y)`Tk*}^wsdd>KPeZEGZ8RLXb8N^(+I{~^LD1Vd&9M)os@wf_z0WHosxVEUL9=G z*{ciJ1k4or>$=X@lTT;Ek{4g3>|fJSX56BYhU%6phxpor!3bj@+X(y)4i2M<)6&a6 z4I%}1m%bHlDE3_RMNGKWEx4OApS`>z|4~I5JW@@qs64krlwwgIf}FlN>+n7`E$i%2 z>WOXMM_iReJ8f0f+TObaa!*XNSJ^?ufF`4IK&X~cv8||0rwN4=?@qeK*ZT`8F+XXj z;KT~Cn4Fv(R_x}L=dfv0bWl$fhm86K9UUEo+x`?IL*-gwmTx!?28NvGw6P!9g+^n@ zpK6$NI(7<0)pOk=8dBR}<8+_ugWA{?sI%m&@#W8sKV0UYJd~__*J;K}?}N>qAB%AT zQ|Z5YFMYL^a1@B2gI`Z1Zs4`jgv~j&Q)LD|<&e(b#taBiU%JNHV5r${z75mIM~WAP z>uO8sXd#G<@Q=$j782dm+#gG{N3k@SCT_Nk8+c{ZP3`h@gN*a42-399Aqin$1m>?Ur?tg*5c9I zO`n}yUlVO2ujcq?4am1Yi9t6l!<49oxO|D_MqHy@`S z-d~Ka6A}6c;Y^>=Kj>mTHrQqpjd3YQyRZ6~5xEDcaWxXo#l+K437z z-=9k}XiXHuQyiFayz!xAn{vSO)n=!{+P%T=e`Xnf2nt@5>5bj`_VY2UrG+i}8>^%V zX;x*%@3v*N*B1Qs(B>{L({6F0B-EKXes_g+3p9jys0RamnvGdnA8& z{VX6;Qp?yVMw9(l2ij2uJ4T~RDs*b(r`*La4}%U%TYmEKh)#a;ewGw#^;&@5N0G23r!Gh9 z7%xXX&t~jGcN>FNilz`h|Dy;QJt^0k@Zf;;K4pkG`+L}1= zF;m`)alsJ@iF5?E!A&P%d_pR9wZGP0@@Y6tRHf3xsj4lfb6ZW|Q$!60zK4{0A6VwU z;pTRUfRwjua;`3AXJ_{oST107$q2wMYKuIz0&ciC?Uq~K1$o{0V*ezYhUn9fl4>5J zn;QLOTsSRTT=ArnkMzJ49@j+W)%7uwPMi7}TT!m_e1iHS?6D6#7tf2Pj7JD8J+07K z7hB-kr-hL_#1||gYc-Fvxt>*A2X6&K3#p;JqFlfOq`t)Q>(_}_e-sQ?yq}hU*2htr z2oyV;7VgcB15ws;UXLB%1Pf;rTj~ALX9dewrQavH%VvaX%R1_t3TAB|uZOr}=smCb ztXGG1CBHY*6Yv0oB){v176s|Ib8DN9GmC|rF$g^4kDu~6^<2zX9l#TS+TJD?Qc}u6 zw`4n1GqL`ctRNL3KSAhZbj_!3L``WJOaT?Odmn4ehpk=_5naYwrshz`SbR}d9jDP`8jTx%HZr6*%6TudzrAHpYTqSXa7GRY$x< zbQcBNs-8)H=?F*|y}J@8b<9qI06F;%%KXufh{!KUhFcVHh^C38Cx)&uPzSX7%G6~1 zqgh^qrtv@@YcunhEfyZ(C95qD(b;~^l4+&{nM!Gl>fUxOCxpT|q1BtuLG=D6q`=7W zZT{I6pgxs6?WJ*fvh%{)Zl7(K`>s`;OG{4`cWo@LzDIN?TPJ6IuguxD}f&3@A zC>x$w^jYuTNjPJ7ZF&0zB?*}Mk8)ijrqY_X4bu?C3tih2T|3j=+R{=hdBV9iDIaoI zd{ze+WaY2YyP-jSLWZHjmyt*8RS}{VnqLoXG#c(!Z!Q2D$I@9r+Syj9x@eXFg&6l6 z6+I|H>^X`$Rrie!$w4FPpap5afaQn0BEce&gKGQNjC81kjY(sJ0cbnW2I6NC8(@IIm ze;7J=Iciu5{uvYmwWyizy*`|GQa4&m2ZfSp1^jP6emqZ9%n(qY#~vgpLtO@gjdD7&|PG;TpeM73DQb7$&)<(La@w)Mpt78MG|7ZI=Ff-94-4)NZtlh)d~o z)q1q!ecbO*nw63>8KlFzBQR@tyI%6}{Yo&>9X{7>qt3&IIQesyX@(cES@q|#+`#O) zIVXCq+P2Td{9`=HUi$^+D#ZA44Es~XobQ;JBEUI@^FBCso{0AWux{smcBzuQrKKfu z|EEznQi7bui`v@oHTn{zSs1MEv(T{htBwyqqsST%XZ4vbIeD~d_fwxYV2hb4=5&0C zrXp9MqeWp$|F~6l5rWld;%(3F^@a1rSqWOb<}Am%O1z3N*?pQ}D%y|Qo=1!ipmNj}z^=Yh?x#|GG+e}%9cX|+qaR3#ZjW*5=9&#MOj&LtV@}%K9GML8aNe_ zjBn*ZCn$=es+Hau3{0H7_V-3B*FG-#>lob2BpgwJJ|QK(Sd`m)2jHPux{S&8rM#BE z1+v_$RtVUN7Ro1am_OYgrhMG02{~!BXf-BF@_;VaW>mD^>&m3@*yuVR@W{@V4Gr?| zq{px;3~BQD0Z;N$@Jfimp-~HUi*dhxDQe6_zh6~cJ$KkJYft7Nj<`9jQKh*B$%glp zm8lZayzhj465XN5HtKhSdkM4g@t2tsy(Lz-tALoE-Vz=CqUZJDohvv22mFR`Xe^B# z%)kaaW&E-p?ITgbfWG&ex#+%i?&cKMrh0+=R=@A#%JOoWLP!up?4`KKm`dDVc3N?r@p? zNx_6N`g>A;6K^@0~M#}%&tWmsS4t#txvAjGclsHJQU`>zP{if z67xSIBOH07e3C&*gEM1&(R(f?CQe{~>Vu8GdoDPcgLjwejxEi<@pbZdQTyq!~; z+KU-!`|#Q1gB=S|!SDT=lJA#(cBtOMtBdf@RoY@2gy9(aLv^ajXD3)>l zn(_(?P`%cN;}U#)h1((Jf+!&Q_nQxrG`(lX_{V|~_f7VR=|8wa@{PW-VEz4!=;#hj zNs$z33E9y^z!-v)Kh>f9%rpNf!0cTUft^$%nla_x)$=y=!PQv{w&0t&ogOpG?0cfQ ztFgbL<*!6xr7^Qb@8~Y>FGuGNf9kFrQ@ATbNI>8U*K>lm{PZxI@B9J~4(R%U28eu6 zFPi(a@$3%CNV2#=>xw#1Cuc+Ye2SQYh>}2^k5;Hwj#Q3;Qy$#Kk(Qi1x6YHs@-tI7 z3vA+p?OGdAR}(c2;*>%isR+8bhPi1SM*@&B@AVxA=vPqdhf_FrUg3wSOs~Y;MYD|P zFn4&}&%J^F2H?_jWdMG#d;g+dC4_g#=~f#L4Db#E(`foJ&f{Qy#q1ySG?c7I?d!%m zT!udQS9?*Q;oh|GOr27%M5fjIW7{fq>O6hk4i>)kneLANiitz^r;m$MpdX7bkp>xDHNX!}AL_s#E4Gc$xP zBDs)sP*9}_XbhXmY`%ZTa&R!cy+3H4tB-m|jJ8MtDyklE@tghioX26zdbczmIcKNl zplKKKK>2idf195hCI&{UysWG?h(dgrH z`-eM6N{Th!*%j_v5s_!a7q1m2+KFYZ;S2aLlgwOLrZuw%&}PjFgKxH;HI?r%{|kjg z-5+#=NiG_2Kb2vA36F?)xcsX3Ko!So)o?Rl1534fxd9Hn_lw6*A}RU3lK0=x5TH7_ zvcW&=;o3a$H^-oUa>ipXrQHeu)V)giZ@un@Y7qkcA3KG;Mot8OXx*!<7!miKuhsG8 zkd^jaV$NIZ8P8!j(pA%4V~YLNJ}lAw=Ho6d4kaR*a{jA#f|RM~+-%4sV&8~EmG>&R z|De#sQ{9i*FCd_l&UJ9~Mi{Afu~_E$!auy5*-G=z6hkCP1>p2gDbXkcFqT_=yhxj2 z$Hf|D3l+bKQ+m$83C5%!Bo+LhSq27%KFI!E;d<(%gw88=+!%&7y!#W+^{|=D($Xa4 zOw@ZQBLOv*0v2Sei)o|XiSse^eEj3u(YS%l6pR%`y=>MlcBi*b=*doqcsq zB9%}>QMpSvnL`S8`+z5oMtoIj_F@ zp7=T7^hN*TE`&&Yf8VyX)lsew#3t4S_V-WFkd)~k47nDo1Ogdi8IGN-D6EEU&kPF< zY%T}zK|%Z=nH>%;Evl#8nQkZP$ZldcI8I1-n+&ZQMD5)q9)Z)LN1Ty%w{tFWN9V)vAcMkW3 za3+}}4hd0FwMdH}4oxO{YG{y3x=iWqd{q>Pu zuE#}}%@lZhhi`R_8$#)&=MzOLI6Z&|Yqy?SG@N5*$|d&n)KpIk@OuHo2Q*dafH|ZM z@Yw0nG?zTAFL`g=Xv{+ANZXns;^>)D1@jFlrzN6-`+4gt-E(7SyO$S;^qjk2o%jFY%w*mZ{+Y4|`0O!uG7k@Iz80h-s-y>XQ2tzSl-6t)|SRwYxmrGD;y5`)(> z@uvc%wzqnly=l3*CK{VNSt~;Ci;grYc$Zf`@ckX{F*bg6;s^s2Wn}WG@$s=8q02xi z6Z2t<{rx2+$N?Gq6xdSe^<0<+qP#-3>3XKAUqG*osZHSNrs93sBG#%cTID1^!qvgX zQftQhN#;jstNqFN)O+UvR`T`?aHen9$L)a`k=Om-_M@zD3sUILjF10_6v~Yyj?lvj z7jEkq2W7m@^NpoOh*e?A)5(2jD-(lx zD9fX7^niGGe?YI^F1!bsBcF%sypWZWg}#hK80k^r{z+u?Rrig*DzgyA^F1afFR4F} zG_!)KYv8_{a~Wdjjc=hk5mMgWojdI%SUK<402cW!7zMT}s%Yya8iWdjVXs_?w094?yAb<4_Hq>r_uw!J;66ua zWfLAgpzk7n>S+H_`M~7+ElKYaGh~eD@7acgN7D}BYe(dN7=ovn@X4)-3F}12x=>FR zdcMDDpNl=1d6Mr=BiL9`>lyenc#FFLmB%>`hzz!WMPY1 z8HWy1f11R=#+RO-KamjWB9oJGT`soUufH(>oy6wS?EhXYJnt)Ec2IS^4g1SQ-xKI; z_ef?2J#8R$kpZl((Qc(V57b2c@D$Q1175gma`UVSDZP21bqVsS@yCVk$eIAsGFe5hpJKy2exf@{aruzPG`Se(hi~7vXl;Q?%FjiHe>XYzG>zQE{(e9Sz z$Zx`zt&F(7UOIdVXEFS_NVm%Jb7Z!u`-&j;&>Fo0{hvxfBvS2?MVrD-cjgLmE)Fu(jW z9Z{kDLnx0)rS%yhd0Wq%@m(4Car`So-@D?$^scnR)V7PNKF5}a>**00dBl~ZZBNL3 zYbci#cN04&=b%9YYf1(5nki4JPGnfEd@~)(*eXmvVlsx_o#~C2DG>f);pYzoR`n1R z&xr!B!_2VJXwU0duF$c^v47H8z@_;oN1|aA-}LR|Yg1!o=jr8+t_;nmRO()zC%6!;jot4?O!8+8gGvhqGIMtb5C9akZkQBA=f7q~^=#E;{!yWc7Jj-IPriEk`7eB+KCKd!<;6@& zyK@}sFx+AmSxBDEr2?;HlQ)GeP8Z6`x+7Kf5I;Trx7g4n5T`I~Q@^rk+kG0O&kcjD zq#t@!@;#xMAa)kgD-NN&gZoj^*ywA_9G0OY$(xd>E~ceCV*n)e8yNykj9e__eHzdv zQ0)4P3RmPd)_QN^pI?C}f%*#L{EKrA#Qo-o6JAKr8#j}HfIv_3h;_1s-tF1ja3!!< z??1W;IS3!t&RvX^^jWPx#v_ycYZ+^KykeQ5(TNn(4iz0BPv${90+ z)bqSvW^JnlUV6BS8gFwg%@5z1uxY(3wHe^f@j&l-WkYYJi>OMfpI>IQATF6B-K3Yq zVWV^QC%9S9M@Q(+Z0JIx9O*ST>+v&ex`b5p9IOM+{GUKD1tw4FhFmmrhSFsKCu-=~ zGgqj`ig52tzpC|>_Zm!6sk6t848~`Yr1Xd=v?oAO*V&CqYf)l`;M=hfx1ry(G|PQ* z2Zup9{`@VIc5T8n9dt3>ZY@u)rY<@%X zR0q(~()x-79!2lE8&sPJ*-wjA{CpnrLPw(EYVY3_={{RYD8BV}7TgT!D`&`GrtP%L zaJtKkK=B=^_7LXbWgPLAslWvc-p2vKT$Mq|&AY4m+CcN3Wi|QvXYc1$1)fQ33w>WC zjo)tbD2om9zlKG0SCfUkG>*y*o{D(hTmqq?-#xTWP$Y|1<%wM6@{pnm>5xdH#3Jp^87$Y#-4w`2$0Ty zQo2%qwzXnaFuP1jsiWi*q=>hhgXg7{9g9aurjg>~jyM-0`eG=T??oP4_6$XpN~w?h zZ^;ROmDMy65m+}$U--?kQ(@OS^D-yeL=wzWP>UCAnH;)O z={4d<7HgEt*|0^Ykf!?gd!{VV*D6|l22CTCqTQ@)Yw8G@omrhoX|C{WzPbSj7eI04 z0`{@lhMpg0Qg!Y7>md0Q!I!A+&2!r>VH1hv4i0(1zQ|T6HR%n=+(@~%o|WtHN4(sh z<6s6msTxPrC`$ed;kY7|jb8nh8pVv9_P}p0S7HfN=6@xB;7rp*n*+9m5rnHaetXm|F)`v+K9J{b?8ps~*|(A9>P)vtwfut0zGFo>iinH)>+>gXDkMzN2(q!m1juuvh5+`ir-cWAoi_WMGu^D6d>3K?F2 zF{O@i$A2_7kik$0kU=-1eb!yuf{cHlqMf@#Bu_5LX(Y@nPFQ$8#o90p*u|^rM4qOf zdQ+9#z;$yJ(j+JO3wIeEJe8n9KXEU@Nm4B!ikaD3v!6`&C_Q&QrF(tW$Kdr-!M%Or zv)%eD!v1B_8@PJ(xPkPUYJha^t}iD;>*mu>4S!+UVxMw>{WZZM-0Inv_b&%~v|uxo z6>ZU47(lqzwOFW><e6qTTpr^)&ogZ|GlKjYaE0ZLMMkgeagMKD_ymU{ar3&oF+iz<@S zvbDW*j@!DeALS+HJG4|)KV6&d?xyjlQMwTV3Ev+?!NvcyiS+0@x1B#VHRS*rW-1lr z=a;HZU-(!E2g|=DdL7+Y^3>x2D6MXlhv|jeGXg2>=CisV*u9> z+V1b%(C;3yU-V1JE#iZpu7oW}`;P-wu*hC_J)JPv#x)Z)QO?ZobP0BchTng|y|<&I z{Ni8D=VUMNhi#0Ck7k8B^iO*d$cx`1+n7cwM;2IT5~@Pb+KsWaNv-4C`VWZQP==k5 z+@X-cC2Zq**rZ8C-Fhw7yRx-q-Fgg3L3KkmpX}9-undsMWV21DVW}M!6hI3#I!dgi zDn&={Vhk63=`40KL41Z?33+fMG0qYyF(;@x4+lAFjtk;8~)aJ zK7NL?E(G=@?0>C zNH>8k|NOT;5ARJ4kXvNM-cYT6cxkIiS0o2vo3v<`5s)Mt09G^bIMYl^v~2P+{i22# z9s$AfZX6}x*w~Nv>-61Fb&LZGK9C$^B}%hp#h02_|`-)o)Nmw}2^ zrmse(9CB*qF8&KSum4%IS~X8>)G!S)xqKvE4O$ww(DSi=qFYQ;DBmupF>ImP+{Kqg zM@$#vVprt$Es+_7%PzEfd>=Pun|2ZFs48y~xb;_WYm*hDUAVo(Htr0?mdWjgxtq<^5Y-jnu{Xl7 z|D>|$94G%z)!RKVl?U5y)Ag5#?Gl{k=g$rR#Sf|ift!RcOY8aT&T{W#5o&R9qBjFD zrIg#AgFuFUrRz}xc#|X(Ml#5d8?zej1v#7$8^Y{xXiE|?+jQIR-Lv=VibbPT_p&znRxLsE6&^CMfO8HX7zq7+<@BFgjS z&#{RB4N0uze0csA5BVg5WvHOPZ?S)JrPVrJ%nx6)b&1~R1H)giurTfCckr#wMT-8p zi3W|R?&=N=A!`gz2FxFDLFkvv=dNL;X~*TnV37q--He>jmXo#n$mye#zk1SUi1lyn z>fX_vrNhgdo%*|Bjk&dL}mu=>u$dI`DNVxvU+81-th)ekohfF48lfPNl8#uc^qtU8OLk?)jOV=-CvNa6F74w z+ZV!qwWv|Yq29dIEZrqR|FPow;_CpT6{61kx;i_IC}WTKLuCBH&~E zJu%~y8~)Oy2C&h0MYF=KP%5yu$b{-Hnc6!5!zv0b;o0oc5w>LPxp@Z>uw^M@oIgLX zh;Cogi#(HNzqma;h`QWZSCp~5!VuaZu|#5ht$ev{VDX1HUHG==m_iKY^zEtDcLRej z8fKfek4gbV&*;vAKPq83v9FDdoruG;O11b~EKn2+kv6>UyW0Ja#k+0sN%ft1L0=zZ zU~7;(r|q#5c?;cOl_cBs#}9-ggL^D``BVvssjd5im7TiTk*{zSu$Vqx!hZ)Ar za3rqUWcPb6SvZXQLf}*;pue<#C>qc1fvw|ukEVY9>u6EKx5AW}mb2lw1F9_67F)D- zr+fv9tAD!`P#!z84!1zI?@hH%9f%LYJ`pI*lC0U0lm^*J;@o#+Cl|G=%1~k8bS|sw zK{eMZtGbtH>y)+Wc0DqvqO~b+w?!9p9r^IDXs3crIbpIHpG1ovY5@;#!b?Sl*n)AG z<#AMZf=ERPkp$TC4Fyxv&h1fWJN^Q8Owf1c+g{$52ALhNge?cBk+;g$M5scy-LW)^$+C49-#e#5uF45@BLi zQ{U0W6miChL+5j8IS?0gzrHRA>OlvG1&Kr<`&teCUtY(H%YE~OZ^gaMeQ~`y^(jhO zRljX!hq`uOjyV~K> zkpb0Pxk{IciwF^>h>~_jiYHZ}2H<{2&J;}LkbX^=tZG{^!QpRs@-XW23nLIC;>8?t zQv5v4nU}=~I7y!S1EOQRqHkXwb2oZ*UyV|6`%WF2ri=ff6FWRc>Rl&n)@~=XjkA#I z?pqPMSg<~5mi&De!u5xU#$fWKOE>wq#`#I!#4U&ol9s?tOlne9O^#%=-mF+n_rpOF z37wGbRXp!Mr1G+P+0d@0GWq?*7@p}|=lhQ^+M5G332}4R;Z}06vX*xmgofF=jeytp z2|c&Tjzo*L2^2R&`y^v_6(+%JgwJk;@E`c6szzCk^RiX}=``luMGDlc(0o0`?xb0# z4GO%MtMNVo6iSF(5A5B9U$AYQa>zc1;Ma6BbAr__>zjvDRdC(3;zl^K!$~37HA`j+ z2mysR$r2~5t6kleVFO4DILI85b~CD)>G0k3VYfVQ5$q3Yb(1c?9PyvMFV}t5#OAqz z$Co^tL6})HlN0^z8@7xyg8}@KwsT9}_>6S46HX#Z+nf*KTt|+H8__C?h9U*Wx`R=G z_!YuSa`XG15KRPccl6V>9&nSY-D2{^CIWTP1&BJ$csVS)OQ0zAKWq-pYStX}S$&PJ z5|8ZeprT^3c=BlOD5OFfypAiTwl-+>rPI^9!N^#}0Zh(eAPL^rK;-c>XUS^%5}Iav z-&12MJr4Oshf{|?h$L zjRj#v1hdQI;fi+pt)D)q?(gYLT@FAkd5U#;irn|_SL?mCwUVICn(|i#Khj_E&ZBC_ zrdmI$D)~_UixB>Febv)_)n1aJnPDKxu#liDQCRJFoF_ZY%LjabrD+hfL3C(NwMuw)jOre(o%bV=;=*$I_?UjSM=^8ytr)EN0Vwn!kFe9|#uNJf+2YM+j4v zja2wgBVY}FHsHC%-@XCHaiX`CZesr6fxri?V>nHk%lpA*qA#XxDlvAfV7CRk9#>7@ z{o`@hdcws=dr4bc^A)$1Bk9S4GcFMCi1jxN_FktMi&vRw_f<5Gx8@FSbr^sKw}HnWh1(O|<074qb@PDh9sAwg+kC$e_d zC6X7>Vjkv4Jg*EjL-o_@ms7sFJyucmXJcyKCC-vz99bLIuMMqa)yFf0bQyo==Wn4u z+qo?c&~DLo3Np3!Fgj~nYO>wZ9v>!Ge5}0 zL9oDFi|rmBXmZ0b2;P2Z;I1WiCVq8%ex$~9doely=AYkJ^#5`(;u7-rod@B(f4k)I z+Q?PeEhG@keZyv_1!cB@2~6%!96N#;j&Imm1ifZ^z?(^F=1Ol{rljfV)iZAlB7hpi z|7g?y(QgFp^#?9qz7B)kzZA3Z|B(sOdO+cF@NUD=)<-*|lNnKT^hGfl8J^%L*N;5Grm43QcdgX{{8J8p zZ!C~yoST~wu+CYC4_SahL7ZuA+c<`z$6U9#dq*~Sc8GcjovRn-Rao7`ocCoCI~)Og zgYIUrz=}8LGf41fyR3j)3AEeV+C{3cY%fuq8}t14QscI~rlPJ(<2#!oTXAWCoF9t( z)e|j1kMTp%+!)cSNyoBEh2PVHAdk_z(5Zk!nTmGNZR>=1aSARo55mHxbUc8$MA>T`X5z+SEk^r4TDl>+CqT z_vC4Dq0R&3z4rd>iN}3e!}|M56KG+pO?xgpNLGi-p-=+m-TM|zR}|I8?T2Cze~0)c zv@|eAd%aqZ?<`u{9{MN1xlHx69RW8x(!%qul&{oI!Jt{xHIB8t;rZf1Sa}q3VdaOX zr5(MiuK0R9Enf$Ml$wQWXX4S9f8TS;YS=3Dk&SIME;)G@vMXfZkVcu9eEaQ(`>nrZ z1j&)=G(33EM%p1QF*OCAG})mQ{FU2N$8+%VEL|;Ia!eTW07{?SD(|^zU*&f+tYqCS zwXYZ!@4bD<9~1a6`Vlpew0sdQ|11E34YMI8N|fDGAqXVm#R|7!url{Sr#1}SOw__h zjFOp8oA7_ihTid{y*T8dI`pQ?|9R{DPW#Gub**{>NV+|3y)##355C#7n0O2G-zo3! zlit@II9qa$p9l!E{G^B$5YRwk^0`(l&(W13Sb%a|jMmRM1obzuy4b${8ULkJAH(->h?gcK zrs+;tZ&Z^4=QdL^%VyUVOgfAw|9rCo0IpdgJ|Fa+6g0ReLCNGwlWoXF#OH7wo5dO% zzEj7#cgJWU@6wDlYqX{%>?TtA#M0)R)yvw5H!I#p`kR?HV**Ag}N4j{ob4c+F5;E{Fom zUc^{{?x}A)JUm**{GnlCVbd%GL~mtcKO!O9v2??$iLX6XAK8voLDJL?uUEW(i+?K) zp0oLXJiTR999hPX4+E3K7`5;T;@5fc z09?K1N}hKQ9qqXQD>gdT9t|afrw!$FDE@aC@7>m;e=)2j;{9 zz*56F;hcgqbCB3Bh|wXl+ebp@;zDNA+)l0aE-j<}yQ2k(^8MreEp27GVOr>NC{UU) zQ#r+Rt3-fpKil#HQK;3FBc0ib`>TsD)4yGK8<@<~jhHjdd|cxw9=;QWai~H8i?G#D6(%y{shj<51LLQa1dMkx5vv!F1UOh6ThR|8mgZhDp?*p{xd#0<vR?V1qK3NK$GhLyl3>i#MN1B0wmvaPOx3Vn;#l7cW zAixij62ftR-+-|aY#oiw_4UcbwnTr(97;cwj)G}Z!2TPAk;7nfcMb^^I%By9lt-%2 zApXFr=}KdMAHhauUutGMUK}?K1eA&ntL7!9BLxS?-vgWJ(NWEUa%wJkl}5gX&e5*Qfh6Dx8dCT`~Dv$#Q0sg@_yh*vPm&s1dh*-CK$E=+*95F zBSR882pB6N8S`u|ZK)a@(+mm$Tp{KX-M?rKW52d{-Cj8wkj{0Z$b6vM==CRN zJf|-x-LIEFY--}dW;a}Q89w3fxc%V6+w05am|>g|hPNfG(YD04vh6+OlDMcYI%@jR zW9q_vyqrSu9Yhm^y?+%RwtEjL5Wkbk+-5G9uJb9#KRUoIt?|5hTFre(ju?w;y55*9 zZ2*3E-4tizxe&S4kfCDZP698R4hp(!K6QucANM>;6LKdL2WT&L9a*HHo#dh`_H$5Y zYUWNs8~z*LVbT{`2dD%PD~t@W-u00Tr)12+oxR&syLEcq`+izAn$^;!_2NZu!uN}} zm%u!9P#ql&jcN7xzW4mTb2e-YkDN=i6_APkx_*NMUO4Li7S2TN0s1BGUyW28S(|6) z7p5W1K~~TDZS)^SWfd}}4P^|7#1E(MmjxtR2KXA7y|9=9+N2SzmN^=>dgQ-|bt*|Z zZ0&2vokCl*Ra6^nsRpbgkA&937mck-bNJREG6$dG2@auC@pKf(yPBS+3?hU93Cm`pQv%i~+_kODOM~i0DI$RO+)7Fru1=|1=o$a=RYZ&aH5=lLH_Ph_ zhmUP}mp6nbwce7^Wg=s?j9%%2}&jiBeo>H-4<%Ty;%2wb_{e7;NZA&h)KZ9 z;0(R*-2e;JL;xmZ*Q3IzpT7pFM(1r+g)T`d51B}-B8Bdl&RegO zRfjCWTE;I5gZ}y*f@~J*q&9U>qcPho!%jut93DjGLW8v=w%HNb|T|>2M*R}AwhW5PJ9^woyjGf7W=WLvi5a*)?QGfp{1)H0wQK7vY_|^D}#=F%OkCvv$T3gH5leM z&(}U3WTm)=GeSsrwKgg=RqZpXh=!}1pEWKz>lUw_FBaG6nn)JFL{9}-!1r&TQad*W z?M^3W;GG|$DyWec6q zT&J*kI;uGD*N*Y#hEZ#8s>GlmMmer3EbZxbMK1d$^+e+Voo=dE**+w0u( zMCmubyN^%DX_sCMPA?=I{#lZJZ!)f1)tOm~k-;}qpx{Nx)X!&tzNM6!lyv>h=LGds z2-GZ#UQ`0rCyBkHPn#zC04h#m9z?aAZ2~M7Ztpku?5ilj)tl)7q zSEL+e5H)ydqv_?!Et9V~VlcqJ#iw~-Z3rSNS0Es5Xh*=7bZn2;pTntoh5i70ZyO5F zOswK4o;`c+RM6|ME|{zmAC6>A8=vmjURGh8%NLH+ZxX)k@G*~6E=VvI7}^Dv+M4D0 zol5;afCf4lvGCz?!Q*L?H9MGH)JbY_TJDXIJ0~)ggbi<%Q!~K*l?$@9gyu-BPN{wGMlRy8-JN^I zO-F7z|K?<>%yolx&%D)dtGH?EXJBW9#;*qTdoyi~Cc8-=eUAU}L!eov zb&t@!ssK|&_g9)XLWhKyBn&IcM0@CCEUSZWVfZ$cdPM|^6(cpv3_c+y+4Mxe9s7df zf;c+q>Ei^c)&qYB+{JJuf_;P$!L*feCM%EKbF6|0se=5}!5k#<26=c2EoZ&(K$zVA zKR|`8-xzU!tM3gg08Aj0CXBASOhWfPzL4&}aJX200q4FrYDgE&r)u_FtPYvIb#80w zlzLI6a>y0&9IM&$YKPzN<$h9~bRTbZe>JWF9rSgph5C-TCX-9Xw5;N}fVWCk7Q}(s zwf}g@1BHY@Fkth9yeHf1dH&5pYObFQKqRhH+pX8*2lDc{k~C#qb)zPl%VPOooBdH0 zJ%IHtp|m?+v-hVPda~%Nir8h;qJ;0m+3vlen5Zyisunas0<_Z-hKBr(hbMz_Jwvl@LU0$ef7>48<=0;eSQcK zBoBsu5~R#&9V)=l6wv1ONFA-k*1AnS9Qak~-TEY0Z0srCASh&`{EFE;AgS z8s%1--Ji8Lf~|ix3c~y&kCFm0ZzCQZai2zRSo!>c+OZFtZ9;Fo`=Qvb<4h-lYr>gb z>ux717Ww&dfe*f$gmdq%oaA!7H_(^Y(eU`&yyx0QVJt83>SP6zMTUj-C-3VA>JmMF zI|m}-$M!gzgW1i2Gf<&T_H8<+B^9gV{r1>}4eT|>v%E}P!40DLS}vn_xgeh@ZP@Vc zY~m@2=ML)!_nFwW{3HM+VLo5>Sn+#>8zw`__5511`)b_yDf5R&!0^IwsOWlPHX0gM z)Orh{7yGp)y|-k_jUWUKv=&^t6~?;q-U+teZ8yDg&k~4h8Sp)rc#JonL;;ufeN4JG zq8TD#L)*1Il&goagmz!oom#WoMjlP7BNRz4813Wdp@{5YW6%uIaG%~~N@_gVpJ^X| zi-d0K;TbmF9gW&15wh{Lkp&P|Qi!;7!xPz|JWT^(xAcd_Zr)lYdS?@aUrO!DS>}^a zKfL!yP)dhwfs>|4`e9u^pYp5g-8pReF-N!r$!x^wk4m$at}6d`b9_0SPysoo6i>7s zaHJy2%QQZVz^uEo@Aw&X3!V=cl=yfsREj#;)OIc5Dfsgzd`S8uyH(c}+VbBKikR5g zG^O4AC&+*^TOu|0vx7;Wln#Z#Jgo+@uYJUOt=Kr7KobV`EQ&&+7UQSbZSHJ}(g1mg z7G4xX4?W>l$}k`MnzP@hx*0C}#J_!1#38c;va3naL8A&f*{O(zB1LYHimnW}$<0FA zB(zlbdvnd>{r+Q+|`;seg)!k}bnoSh#S`9w7FB9Dc z^T-))>tl6aF8Fg3Hp>up!*!OGIA?t8NCP7Gh7 zV6gWQWCcdDO#2`WGjSJnoH~R&Zc&1NgiDfIO;DeMt9VTeFiIEz2H&zT+&f6YU<+Ua zF`N_gFdGy4ai0T@5(F zVZ|`@uBYoEw49)dYR}-Bj6cgran1uzr$@Pg5`5I-uF6AIsSY?L@4yuyqy)%f9;b_I zyp{J~#F5%G(p$coHzxG7#pgWQc)+tW4tFb6qo(t1f@hJ~e$FmtCDo(4z42GUlZ8^N zRMQK`%c-%U#7H_y8}%Y#&CLr&bz`i%_Cbu9K_em_6TkCB3 zFYR#CN+g&_;=J3JbVq9)n!PuFf0GnRd@#@;H9=|Wh2#6V*nAR72e`v9DhdsQjEuZ? zvqUbZKkMr1430?E{A}_U{_O9$V#tTKb+l$X0Yvfr;H*m+SP-H-c;sU={_gY;;uUmU zdbl_Fs|9!Zlc9zKK5R3zBmfm_I^PFjzs3RgZFL>hy(bYn4f}0%N?!bw_^Cl)PYeHL zc!NxB%~Gp!*Y~_G2CjqHw0Ui17DhXnH|>hwU)<~E9c=^C>hL=(XMGDVE2$5Lj#;@hKw~!WI04G{z-|jaLK}>wS}l= zToznXh2TQ$4e`>S-+yPmkTTt8U7WA-nS^g^Yv>3IYqqv*;u&*obML43sYNoi|okZoFP1lz_c9 z1J>3IH%#Jp6%;RXw#uy2_>GMCkdcxy-OWcg zfB$er%a(!t@`jb|C2Tta{K=_FAp(oKwX|J#_$Z@`nD6vxUfemCn#?gy9ZzoiV;%aFUcF5SvMC0bbuBq&ML~Kq`yp1Rm_KH_Wx@To*Kmdn2 z88BHrPC?~B$~5&xAm$Hg0pI@WiZrl6>`TJBn{L<-2OS2pau`NFQhw1Axz+-^A&1}A zF8EhK&oq4>2BXV80rzp}@*66N<-e|Dx(YzANRaZLf@f6#qK8~CeX|GnfG_vCDBNH0 zZlTK|T_vrU^DMjyx^=+9#+C`KFKcGm*_yO(jk#Z^G8}^m8Q^mT#{7LPYrB1CbOaOm_3CUSVdTwPsjUSD5vdn>4% z4-MX442-TSt{G~%%!XsU{&9{s!vp01np8?g=X-BaiMz`ij0Q9a!f@x9_lvtB2;kS0 zQtGm&#JV4M%>VnKP}2~!5brtAGk7XEg6-N`;4fjm>N`Rf6$g$OTT2$hVW7d9XV>%V zy7fM6RN)#6)cnltu&H9I;Qz)Q2La3XDy`&q{k^~=#(KjwVOxsP+Yju2RL~0k~j9|H6JhFXbgS~naq${=6I>|0+@cnOcq#yN5j#iDI(1C3>w#fyj!&LGFUGMRG zHYqCUQ^iSTR1(Q@1CPyl=P~E{(m(g4&zlQ^e^QN!_t#67@6D~6adbkRx`S+EX*g-s zR5mLHxn<$PTAk7a^HJTo0R-Y&LkK7MohhZpNIBaywG%`{!L;BY-J~Mv)e3=ca+D;R z#VhPQ|1ejoJB96osnYgmPOZesl5fbMMY;b0b0IU=?F;Hu$jCBZx*6i*<1cNzy`M9v z>MOxL%~P<*c!CU6*@5iH5|0n~OB0yg(ekQvanzmy-l`?Hirt47C%>sbQU8UWm80vqsfkVvx z0&CvvVHca6E#;}F<$Xg+E_!)lr%kczCAu5c1Fft);Jh3A17b-r5(#*FPTL zO3&?TJ-XAcM~D}@l!lRGrDP|nJ&lh))MYQccqE&|wo|NI3=jp6SKWKl6mHUWo@b2ftx*msVTA@-OChhoVpNZQb=~g44iFKsrQKQkWd_%6Yw~biLSJB3ui3!u#XB~0F zRo$_C#4`64p9KWJ$D4cu7ZqYSD@d{@xX?5@YN_=?=7~^p- zGQ~K@32ErM2wg252~cDhFH9a^h0}tGD9H;^U-d%#bN_oY`sTx5XDXdQ+G60t-+_Jw zYlNcnke#$n>u1A>twXnvVSm=#NsPkZjWFL*5djo8CqHfo6Zj;Y{0k;u(@5%XPL571s%e6TgczmtR%QL0Gk6I0(Wb_1(`EYYsK#&Y zEBVICL}XU_Z>b9`n(udrU>Ir?3}f;2lb>{*31P^bS*Hy(iSW|l2k^g~l0i@v*WZ2?<$nV5CUCVf@I>oQ_4Nr%+Dq*-ix!bFDVqX_}T2?KVXE+y)6px_MS zYZ$dpxH!R07q{q(Iql8#_IRTLoLfuL8|N&B1{!&D=HD@naFBpUjpK&s+umK_OQK{n z4SoW`3=^U-;!!A!QF_PpAgFxsx+EdYb;1m!hn$#~Kk{#^JpD=_-E~KU(@dJ%JH^+? z{ZK-k{DhKl(5kEOZ^rX$5Dd+K$P!P2%;mE=QUt)}B`pYd{d@TKIMwsrvg(%K&Ec(! z?PK|A8WIAp#Y`>xjK9Knx3!X0#>vx(A)w6C_3JGxo%u`8c4GOEYyywH=SIzM)8cWc zII(uAG6{CoO&d-zrc$vZ!X zxfipSUXhXThun~ok^?9Yb z+2^6z`KD(v)PCVZkLP%2v#ageAos(~2MqbH))^;ZB6J%ZcbFGVc;<>T>Cy|@M*vCA zzLe`T6ev6^65aAj+4?z0EFm7|(Yh`%5+LTDlnu;3-Y85lr1TQ(z1;Yw`_@$a=QM+; z<_=?>Xspp-MYJwhj?a+wgLI_7f4mmfORC)AgBF+_NLWHKLq+cN)_6TumaGn`ef?R_m`4|p&Sp+L;;lUfCxDwe!qasZ=$Y4oJ&#@{_bb(&dM4eATO2q~T!I7=(K9&2iP#c3k595!cEnP(_{hOsL;i2`H(gpf zyWYVtPg4IUgzenxFy0Jg7w`+r+kc?ubR(Hg=4QWs(v)8Q9HOmI(hsNwx@&F;@a`c^ zTtz7Xe_xKlsgcPijILi>`x322;8e*rVLMcc&09n`@u&*ie%z@O_u&D&+((lGTaeX0 zZ+H34$;n*f?ik9u7C*+?7R%o?Ll2vDRXHRlG$1r!%o`kKd5?_Vr^ATov_Fxp;R|@u z_7{E1nnf#upzw{A25gq)|5`bgwOxXCG9R1Bhcv}t@1OEBr ztEanAj@BckAIanyxV;%0ZKioS^M_?Y4EO=D$}JPAe{rtmC!;qGpS=^0*hr9<(R(+z z0;W8NSjouOy*-DjA;aYM#zd*_6y(m|#HVwVy5FF9p~6!J0nUQLJM!%TrrFm;`}KpO z72D#>r1SfhF`*J!gPyva>b6K&M64pZ{}b>4C|vVU2O%39iNg7x1zD6Ei+nAt*Crps ziS>Ip^Bcqxc4fFM6Cn2)Ms^X+ysmwF@KV zGK&iR;_QAmCob8M)_l1xL7|JeYxN=_co+&|15(Yj8ro&TWTDc%*Z<)FX<>yqGW8p$ zylIJ=W;I7$VPfVx=J`weRB{W2G0_GqFwF|Lg8IvtYku2np~=+lxbj@vl!o?se+zDI zh&9*jnP!MYg*<+YwIuQ{`*{xZx9>Xs>-#K2D<@|)W4PDR)@I;_fF5^h+~jUghQ~vp z3=|3EwSd;VjAE@)-}C8>^UD}+_@=;l3WeJLm|XV+Bc#HB?}7TFF%G$+>NgfSR;!fm z%frUISX8u$Vk{vaKl20IDz^2bN+W!Im^5GN3nh^^x)7Cfp=9!??C5#8B(>YOje6*! zH+L-x4Ar8nmE0s8umml`H7Y)Ni*EsLTjQqlAX_REw0^5zhdYngtp2rQtA8N-j zq%Vu3w!4BF{?teEJDKJxM30fDhEqy-^gy(paZ<%4%`gtekO%*dxKGQ{XPf{AEm1@k z5eN6D*RA}1TYi0)LDum=x8vhuJ1%jqX)@nuLw)VX*^ugm>Q#{M#gvTl`ES-_-L+Ru zIg4;{mpW;MJYkF*iCy~4e}Ae~uG_sNK}|TdMCmZPnr~cwn<&EPaKYGtYPHA0tq0hS zjd>(>OqaEY1IlU-FhqY(2UY=2{;AiFE%CdWCy~-@*x8 zZx!-RS6CEC0}O6@)20?99jc(U)Le%ryhcTCwkh@##`HFJ^uidXENhbO?cANo8U!qw z(MZYvWtr_rG-(ZNQwUAY?kARLx0VA|+og7Ib(bUAuL~~|!zOG`K>knxTGyhJ_qcA? z5lbC?wb}D}u$IrWfBS2D8|R_CfwfhQfBQ0>zMGjY4E1RoFMdfkEw6&b%Mf1O zBkV4CO1tLovkYn;K>cWlXu$)8Eblhc0F(D7wsiZCJ9G!e-Bka%vc%|qwesSzD|1s* z5{L<$dFL+kq_g|<>-(qg>S`UMd|P&&EnZ&~wDvW9;Pytot_jc4s5{pU2Gc^ob`z1Wu*PA1<)#@af!qPO3QU)U;7YwiEtHB^JPCYxk(OMv0(K(CqNE2Jh`1alA3S~le%#0_Oa4M>Buv#H=}j4F`>%alFj4F18M zAqMtFd8ULaI!FEdp`)gTxLK2;DJ#FbF=!r^d>SW1G2sYSIQu+p6i@WFn@43Y%toy= zR(TR=i5w)tNGZq!OTb(iPg}o`tF=vu7Df6ZXYH)Uc5elh@$;@J(#x54z_D_&-;`Xe zbLqD|nX`Uu1#{UlGKQ-OV_p1gjJ*B470};9DKO5;*|xHciWxd{!p4BsV*gUCH{wT> z3ICk$&_Z|2WSqp08H(AGkF$mOw(7JPkuncZC94FoSB$UZ@ilrrl8j`nCsID#fi^!F zKVrqP!}Ynqb67RToE$v#%^hVp`I?ulq9lICC6~xQP%yBS2#mN<8=R5_te5$~0-PDiPT}NU)1Db#Fpwb!!MisjSFyZz? zV%tAL`&%n%{k1V1%t+VUB={H({`3i9f}HOo%FnIgS@V~jAaCgq8i9yg-qIvKhgJ3O zb}5xxOr3JO^pYc^NX24ypo?gOJ?=B=^c~%) zDu6)$ZaBVTeEy#S3e)Gx_ojJPRkzrPGpO$h3V^l*mV~L+M%I^+-@V}D`Kxu*e{}8y z&Qlukw^TcPN4km)l$rm`xG$zIXj7_{Y2Qq?X3-;cYMw8~d9%GXeI~=Xzjrr!lu`5Z zvo-)9@6oZY`d@)+rwjL~4azpHRXqV>51sYP2g`y1aYY$FUHHq0PY`0gMByEQ1sV{z zNcxhwpV_YiDsM)S^`ty=ZN=LE4K)g1F2vxl(H;g+gSIkEy~*k-422U%ABza0MyHvum9yZf~S?B`gIP9z7kG;9O+-d^?eN6zgGHS!{iJ@Ol*H_b1bYE)$;r+3_~|$eLHli&(KA(BEd<(#))*)Yh?kK1PMP6qfO&dB9 zv4A~OFq{kS*yF31IR8vOB-%U3Fh59TnCkFSkI(v8__gAB*nXX~T4&Ed)C|Vqohxl> zz4oNWg&5N#fROFggJ8Ft2;~-bxmPFBp`mTcTO+Yxd=BqSsxh17_$&f}bg zpdoF$l<3wwOEr~qr>~H29Pc#+3jlBS>h#a$J5Kw#*Ok)Q)Fa|7NyeC}&2g0`X8^qu z0|zH=NH%Lhr`^F9OTeN3v!R&T@|#$`V4nxg=zCt5k>h{QX+47 zYUDPmlc*|Lks$of&ijg<`zwTv2>^`@Q}^2?RVUo7=++3CNIjiBDw9Xe>zk9`Yw_d3 z&rRgdrPK{&uoI_9Z$4rZ2A7L^j?4J9jAZY(@0JtQ(5G;{%h7Lx7wqu2a2L+Kp&RLI z&R29*cMsZ^>u{#9-f>>Y3X1gHZj%VVSTsGMK67wz5EK&Ad}pEkZ`bkC0nq(;GG5$N z$G?@&H_r|@n5iV3Z@T2BP?+vfh8%fr4mG$1K7vGbFbofca!R!cYc0a{!b@9%l zV=Z%k!n;Sqzve50JPiF<^8mQfzfg#&4Lqk)`QxKnGiDYuB62(u5a6@ArtzVW+60s0 z+)K>A9DLtj58E8x{mf#t4ydRVo zXZr@Gh*NczqZuc`NuZ8Hs|RN1?DCxZU$@$0_4L2^?Wpjny_wc_8egA6f1OLP%wGbndw0K6&j0L*`9c$@m2MP4%a?M-H6BNp-|v7B#oi(Amr zLKBph-$zOv92}I*8$o)B;@eWG8%L8{2y^L z+qvIQDptQ`xlG01-rlN#I}4;-ZTawoJI6ZJ#6ZJhJwB9gtm*7<`d#EX=Z@cR-*4$U zSJ3D>0n}!v_-g_+oGx%)^O$RvUz}pafzH}xe5}8k(oFs-W?P5=cIP^T>vaK}nKj}n zj6!P9XBKr_U#1@IM(F-wn?WgL_K_&(?lTrBedZA zbd-H?_7=j!AYnMUK5n}$iUYX4@s_rR?RUu%a7SAv#EE|d;X~)MviL7}6RtPJ^|3Ry z)Nadjv>U%(0XbA9dq%3u*uxcfsM_J#vvTsiO}G;bBmNKKp-1Ex2$unizXS@*k(&MC zsRHX*rh*}G(kni>1(G_SvBN06vY4a0!eoMWiszsuHsnvAy~;2vt4}n>*p0}^27vb; zb>Jqp<||Tu!9`0&k>y77IVMqHvl~nuq1ZeBl|&E4#ZLmcZUh5X$^+kp_q$)7A>Zm7 z8a%eHWUJy$z=PuZ(j80m;vWr$V<_qI+TU(tYY_kbigz64k(WfR(WrulA9w2{8-uIp zASSv9vB(M1zoD!|;D3jk7DUxc1;qbtugIB?Ew>6yPp_u7RDs!!rm5JwnmS~jzdeTUvn;`v^@eK_eFVRrkVUc}^Nbnq^KF+p8T=X< zXf~-H65MQZy^K-@2wr+`=iWpIh{NpK8`q;{;c3Lj=wtK76ZeKup(*@w5n#+~glu z`sC}$rDNg$9|kF0+O)Dab!Zy_^g2L(s7lX!=y;fhSx6SpmAN< z>f}b3kH|~i<478MCVU546zQ!hIB&UmEGin_^m|xm3^S5_>6!Q-hL{m4=<&PS86w?D zN+be4-X7%sE54{_zMNCtz^pts7lxz#{Oz*tb~h`*V}1O|8G@nHN9Exn+E2I>R8*9{ zSXRvh0y1Muk8p7%Uk8h}U}kXqvQJ)8swD&~%JJruiwnq%$H)y+H@~VHR=Xz&-7@5XFKCVTjrRdh2{;xQo-C}THzi~PH zvMe5k!Z$z|a0-_M^fMtVtgW7P)46&Mx57+~-3dKuHZa__?pGhjCRx7Bw@%)!xO6)?{Wf!!?Ydo7LKdLQ`$ z%C(h|)MYBsK z-7$i>rsNNZT$?Ci_OAqJnd9FhrVQMb86)G{k~2kFiO zGYBeg@H^Cs8<&ci_CyYr8%oGt`3daxq2^A#v$j|}5Z~K%o~~t$ETL+(M~RRRCtz*% z&lEld;*z=cA)BoN;wz1jaNUtd>n}e>Qdww4GEqe=k}1G}{x{2i1EAtc6%-A72@|YUWwS>B*Z8|HiHso#iyEI1EzF0Xw zSs~?u%VJx6Lgvd7v2XR(^)0VwFLbYYUoY$%f5iZY4ryvFpv4A@|BcESiYK1* zYEezD*J>K+)LU;7QlX;Me!@{u=C+6&Y~S|yZ@xsu01q*G02?}^XoaYkwO$=89V*|Y z)wINV-62{@JSDBRZi27HJhKMnCsjel7+Ew7wjj#xwj7zJZu!y@N~u1z*F~Cl>y=pPU$0G1`w7!nkCs6~!;uUU>&}36_&Wc~27E3K4>yR( z1YYZ}rv$#ag?_ky`}WPIH={uN0_jOpgnYwPttnbI&$>W^39qZBG5nYHx7*A{v7^90 z#vpeU2a+>qkh@6;8AA>(Z*ibUN1_Kqd4MvoDA4SX@_D1!q;MrK)*G zQ1|TG;D{Zn*$zDAyUvB~oY~i0x=q--pugg`cs>2!WE1&Nlzj$|`wBoQ9D7!3SO=CVuslt+ItPp| z2Jz}*!-pG9-iu4CTUYKsjp68&Pa?)LgsPvoF(^h1Hmr{d*tfP++R=&9|27r*zrqAB zJq&mVtMd`D#%a;!y?CMOJCif+L281l5E1ml|EM-vztI!y5Y`Y-468IY71>s&sUsP2 zgr7(@sz%(zhW7sdk^wk?1BCzK#VjR;^^s%|K4lpNEutJI296cdS?GojVuWgOFirwm z3(j!_m=A%)c#?LQ4GGzxEQ)vbxOp*Q!w>_ju}a)-9JWc>JGi^MJIHPLzD)8y(c(lw ziyIo|aVaj1j2x!C?x3QfvBrDcpJk#w-2e`Cn%azuDRBuCsdvEsdB}NZSJxqY8DuU9 zZS{OH2yeQ`{a>ZlO+?FypO^7K|+;k=%X8_!mEk53i4A z`UYqu3^_X{225A79mS!J-}G^fgQ)hm_F~i8zk~W>k~bcY+1kE6Qa2dmC?Hxv9Nd-Pk&-!1! zsgT{i^j>=MC7@0|3VUm?+RrMb+uQC&gL17Hm)m3mfVi!y&vLVWyuxLA1IT+!*VD!g zmIN7R8=y)dMROTdrk89q+D&7*JK*{m$jNgPj*qy$w5L4Re$5$4BR_02>iVnQoU)0i zhNDoPFRMtw8|(S$6Dd#0Sv4F_;8dUi&n&1v)ku%QYj764ecJB#uto*_i}z z&>4Bp`j?OVtAsBniMZ?Bw&Hlo7*-hPoP2vI2YRF|deVeu_biA+*8RK>HY?uAQtpfv z*pqUtUYT38s(mWXe>wtt?-Wi`)o6NNflHZdnu+o2SC&?v+O{{K2qJjCp7R&h0jn}xQRR6447}n3i;gceI`IjU0PZ~ z2SPEb)hpc6s-{{<1>DbGO=h|-AeW@kOMAQce3Lr}zEt{aR{ctJAZD}ThgU00!uGwC z#q>&GXfJ6oh9C;XDX~*N-@kdGPmNE0FZ<#9A*2#1x-wiaolt0SAca}&kj4aW?sAUv zEQ9V-ZO~;eB=BC@xeqYydu!AnAgj#$W!GLg@k&6tr8>- zj(zvB?+ng3-*m%9C1?CdZhPSOqY7EX*9g&af5cmY-f+L3jzGXfo#LO-4p)0uEMOlPQ* zTFYFakSavz&iyf8)ywlBbzvi)D&z%n?i&{fu&Yj}K2=Eh;>OhIcr-O=QfExM{;e7D zhcyZJy<)(jSqtS#5-#qwFCu_xiT%&pA*iPw;o?c$fTm+JG|w68LodjKTKO4mE|`pt z-VHc**|$dB(kxevvuL)VrO7d@)7$`ielXF5IR4gZI54IR0aXxH{I`Fd%=ZW&;z=Px zysb3UBg&t{;%qD8&hP0E8efsAD}xScxvr>nx>QY z0@U0(2S2{ zSh;@(=ILh;)O9dlzKwCgAD(CVs0oUSp5&Fh3w7F#H1;LeZ8S-n%EOlM1w?sI)5jPH z0cWqq|DC_@Vyb#z>%$!Q;)J@5-ohRql z)h?MZ6}M31+X2r`n9g8-Ic-!8zOPk!gl9orEM*U#Drfq0P4B(`cx(zxUCa9W`>CSp z^!32xA*btRkDtTS<73DcbY<^f@V+Oy*^%H+qSn{NO5waREA<1|-%7Cyp9z8R+kaM@ zklzH7*!^mx3=kg~rtrv3R_q3Dg#*M+{grp4C2J$I1x zrvpCJRjSx$wHWJxlmy?@M`67dSrE-UH)mD9?dUG{$!C<=6DL8NgMMzvUPhG!+ByIF z{7LvAAwU2ET9L-2r(R7H1Sw=0u?{~mLdex*MEkc)41Di z!Rhp#0rx*s2MkdI*TI7Ec~j+$080UGAS_^HI!a;M4!l_l29E_#cl z+%bah47J3UL;;j3^y=j?RcBT1syNn6AVwzrA>axtNt|Tk(7O2VXzt%0yLmxX9OFdF z{l2}`?&RB8NZg}#J%hv-E~X`6Nvlua&i?4bhSL8Q4vAN^{ZN>2UOA=}vGBNtxaX5f z3N|%M(^4uY(Cvb-=<5HIx2>dwaDrfh!S|szu~^!LS{D~LLmVIxj@ls!0{Ou)`+!VZ zOn{NtoV0=e&JUM)y;_rwfW25#)nip^RhF#E@w945PRE1QyTH;@&}+Y1CIT5#0}8+7 zsa@s>3Px{0y+A>wnAj3?2hXZ%epX}LhL*w~I+f0oY!7`xc57yKz_wE@d6p9I2_ruz zd0Q;+aTo9P%_BSu>~~oMZdnCPOUX6?G7;Q=Duw=N!kXSC%zq+pvFM_)^DB_%2k*_- zl8xr~KY!dKLUu5XJ;vMBThP8~dql&o_}Ve)hM2KnrXZ+Z{z`I;!loL|%ks85K34+r zHq$O%9?w4(gWna+hii(v<$ylH0?8JXe5R)8`kb&7S=%W4sN3LnT2JSslSJNeMJKB-0wz zw13Mfcd2gm$fI6Ji9^8C_CvF4G_F(}CmgG8^uF)9kN*d{G#y>Fh7Gf20!4puYVq11)cdMg`$z!2XjPW~)ol6+)SPzxj!D78-#?Qj;BLFW(do8m?DGRwzubQt zIWd0Y@iy$APt~SU=wFqD@$v5*tY94Ygjg5%QkHjcWeHxAO8qr)e*}hkz!XX0#L0GE zaF<)!!MB~wMtkH{k*;Fi#6q_5@a(2NvHFyAP5epmF1sByEek-TwNGv@5s;@TVn^Io z!~`rwDh5|OZhRM3niQ#Z!HOVZKa9#uf^x)DC|BB|D;@HcAr1YYBFUr*M3u1a_KN?q zp3snf>Zw7j+8$i`q^C4|7f>@pO5N$W8R^p|$65Zghh$vgWtFxaV2y4NXg(?tI z94C+Zl{roh$gOkV?-D&$i2TXo=jZ1EA^nJBS&4Lo5kP4MlS%$bDfAJBp#+KqQZWgA zv-IOMskA0}0*3j=THA%VyVOVw?vH(55Tp4Pa(*J?Z;MJ~dX>n`&yMq2`N538Q|qjC zp{x-e+274jkeS$~%tu02F?&pd5bdo%35|GLShSWdSd-cM7ZS}Z zu#%Zy2;09DCYnKnI3pu`sn;y0Bnst##{9Bl^V}w9;4LlgJ_3n?9KN;JmhMeW)n#s3 zekjiUCnjG4kU3~yc160Nb_0geAd=(AzAL(Js>@bl6;#$*dR^LJ*|H)xkM^Z04(E1~ z2mVu6XWk`B{$Yl2Q`Xj1WH@w z(Do|n4rTad+o}>_uV1;ls0o(6N4$vY=w!z=+AaTfE7kl;DFZ0?q17Y8`q(Pb#S@&X zD9zFtzfu_{F~US86Rjf6t-v&?Q&iUYO3ycM9OZxtu5lmp0BbA`uyVBp^W2@I)OPMfC~H< zChCb0Mp9Xj0#+p-{42TIHVcjyR?YNHnwX*Y^qqP__9;~E8Q%5#X{{~s{gmnoY}84< z8S&@OVs4&m@Xy9W`z;{mJN6ahi8H|5r&ia#k8?z&#QT?ik1xxY7ox~jBy~4ve@JJD z?KRQ}pHLC_RO$1T z?ATqy(ELN)HzCMY^(E9OL>lB7iSm(@NyOmM+_ori2*OxYviRn+@Im7*mg1K!jK4-& z?G*3h;%*5Gs!(})_l|MJvE1UY|7zahFg9=SKK;o{OP1@+dD+r!=D`JqqG>g8vTAKI zPgWY362x|^(V7lk{;k##_U`>j1SL49DUYLE)qNxLb5-IK7^YrJJxV!GX~Smlfre9$ zU|?wTVVxHweO{MAKR!#$l&(`Vg;64_!5mSb?uDuekP*l63yA7dCl@Opz+(Bg@%Mg3 zG%DE?PYF00cF-c*`3427zz)memyfYR_f;Ls)oNrLH78sfsyuw}0sY_!)(Gd_zUNUP zm2U5qcW;C63Y_Z$Sb^35s!QKfjExU;l5m*Ucm~x{ijp6xtd5sk{S5)yh9j{i_J`9r z^!kM`Bhxt#--{bnf86@B<2_0uUte=%`o@mnPN|0;{lLZ1t^<&qRAjxx%Xd1Y9aQL5 zHWaas*R$#8vruaMFYO@P7CbW(RI&nG)|LPX&^Wvd_wD`tMhI_~T0tzld4>2{tu%;H zg#(O$8@Kj@JQ7EbV{CiN-!S6fNo&*#M1Fk@10AFya7-h4?QB|Dtu#t6@z|}&i>D`A zvl*h$E+FF(0bUs3uhoEcesu`#;FH&vVvo;}RNYb0=#}M)zQuU|@?{)+pV}n*XvrZi zK|1Q^bgO=My4-=w{Xb0cEOYNDTtsvfy|$oj8*OY1aPafrx_l;W@ZY)0#a&Y_{ddlu zPgh8gYjs9qNQH+p6mor?^N{(#2?|=Q+#0v}f`dpK==_p6VYu30GBSNKOuGGsw!ORS z!UA?12Evz#53I|oRB)_mzkgpAEtE^?^nU-#O>l3b>8@T52S%yjBZKZE^F%vKyY~?D zZ+`u%j#IcMC+Qu&vd3#w%!{TS*_7sm0*MPH7{FmUpQTca2V#7;Z1K0K5XWh?J2>tx zGk^m&WU?1*=5(0lR+-fU6v!N&+RbJC$`TY|>95!(&Q`h2Y^&u4><|r9uxdW_;~p=9 zU$uOP-hi~jt?>~j>#_93q_Jhf#U!^nqCOfMzT?G;crY3}|7*;wqK-K+M z6B|%3$C5@rZuwV@$NiCNuN`8p3>^hKKZfvM*&O3=rRi@y~Mg7}Q#(nMf=DSA>2R zAOGHrZ@U8>nU7+b4I>knuw;C^@&-{8-P<$ja&Js$JmGzpajB$s2JsMeSj2WsVF`NI`i}MQ~N%HuiVt; z45Ljt_5V~OMbtZj!_J3N{s>Z4D_cfX7b6^2TP!`WH?F4yay zNOS3Nxjjk--qfqyA3QJniuN+NiadT-EnnHk<}Cbk;O=cHlT3>HiAqwL{P29Iz@*b^ z3sj4TUV3@m#Jc~$bi4R)WIG(vnS1LwnHWx>BB*VPcX_k$z1w$=ebWyW*jJcUkj{2r zG#4+De`V`SBfNP3BG?_8Z4g&rq1~%P6cZ4rqKs4u{2m1-aY&`{)m6b2fuG{)uq zv}(x{L5Sy}XXqb)SvnDjxiX_g{)Bul-=QkQFC%mjoT-7Dy(L9-PXa+Cj3MzX|@HUnM z^gPdguKZCYeBY&4(W*kiF$80`uqZWB29*r+)qCo0$fLq2p26+#lz1x~HG8yD^-JJJ zsN4|&wK`;tiu}+HzYU+Wh9~`QyTg70MMos|T>Ui2$YDPiRm#9Q9qO#%0(sPxpp!HZ zqseH-Djf&4)E)ijC~f!U=WYtEWA$QAX`Fw*Vs8WwzQ3s@karhw*E7$=2!ZVPyb^0I zBb}l+Ao3uxtl^e@8!5BY_GAj8VEX_zaU7HqN-0G3XlQdp^x!qHj|{$#_t1Gp91xQFSrBb!3zu>9 ze+Vln0gSLJ4mJt4V@7a~&q8sJ{Y+Pv;O!QRX%uzB1p6~7;sM@d5g4p+pubD>ON~qY z6O*R}M*Bt>1Y3j)RD@fz05KbxKJThuIQpTbUT3O24yIh~ssisVZbHcJ`V4$*!d5SF zn0s)&SUMSGX)OAylr~lOu=en34`h$c+&s~}97sbsw33Y9c`xN2d6kXS-RsYHahz$( zj^^NRk_&++UUeT{#XAY>Koy{6=gVoX-V7xGjbfLu;UtEuf^m$3gv5yYt8^RF3;{!@ z?VgUZ(67{dD#%qpkGEzxZjyv3tKbk@^sbWct3xH#bwT&TF+_|!1OErosrJv;zHmopMB2nr@U%o2XM-{ z1S)a@^X+D-smm&a4#qpC*+>3GFXfIlo=M}ZDXRywho1FR#v`(IyJ5YVb;%j#XwJfp zW8g&8_6=B3DU${2a60bUMuC;g5(&!|^Yb>7?7}!wlAI2iBn1xOUF-AxT1TLkcALFJ zlrB%3cRmdesUdvQp;m#3>S)s!aNx9D>$j`+^RX|xNHPbCb?yDxJ?9T{L(`|+Fo(vw z$=2uTBf%T9YUaG|@Argg2g2#}C9bXx|IW!;nNNWX(J?@mij%&P#A$D`i~eGw^s~_5 zls~UdmP5J}AFk7Bij8e;O{7Sxw~vp3f*c^OE*vmYOgTV=jh&1~e|UD*EsXNEO7*i2 z)+c0nIx9xcQ+29W$8$UK_8yLqI)3A?Sd4|vus~mKYWyL+XKt{QLBNcI(k>x!1^fzI zklfb+;T?c@5|vl@0x!xf+C_c_zeb=+gmPhbtwa$I(J{(NT4{caAL)86V2mu-Ld=oqJx&zdvSA9n2(_eH&tutpuim3BSKX!Fy=?UI4R8Mr~< zUARw^r*g%7Ld62DpU;hB+qCPz5 zME-tlmD@K`GjhBS=6`4B<|P&lPGu4y`YHQm23ZWAJy!e&f=JV+1|<+}bEK`kWye^{ zENvso*9n|;)72R~Pk7C^d%tN&9tau;vWn5!=Ikarg7b?x(h1;?1LIIrNQ0pyn?C_> zh#A17&A~GpE>31&W^tx>OU8-HduUm!cov>y!kiahPB_@&%bfl>-VM!rqW13{#+j{& zZIN`xxcig{GIh>gY^9jE5!R$4=9?XRr}+T56mAjV_;J4GDp?}h@}&-0zP z_jqwi>K2LU8~OR8jevBEJ(2zvbkcjd$3PtlOHvUaXN_1awvNb(&~6iGy?kt z*cG3^U8;&zv~{5$A-GQMpLbNUv7PUE2YWJvy!!S$)C->F7)FrQt9Uryx>-`(ml2r+KUIX7InfnzBb|v|;tliJvuhR?GGn56j$U@-rE)BK8PoP3soa zh?N}Q@F#Pn&VTiBHLmg?uAC{(H+CW7J9O2KDd_rY=~H)AD#1fCVOQvmZ2=PSP=|BV zbXnW#msSw&wOttJ~GU>yc^yp@wZoz3hiEznkws2cQ<$* z=vL^_4%8K|be;y7-yUH$gc%szAJkF!bA9+p_j?jQ&IL<8n9+~$lNc~J{OL6>MT91q z@$s26Heq6GLkT{DS9W26GIO~DS_Owkd=}$`;#(wj;*=;~ctgXEzarqBJ--6|a$by`iw294uDLPFBi@^oV{TYa&@@=nO1#KrKqAL~(par`LlObllL=Pa!S>6Nl&)y!gpCEyGNkqz&kx3@jQjH0=NqDpK?dqPY7!D@G+CpvTb= zV%?(U>APD3uT-BE5vTmwUix9?Uy#{7N82gFXOe}Qx{eG$7iq#;_lCZ`L_`kEExrHV zJ;Gv1I$3XuCL6-LQGK%R%*!6$JsqU1OAlzm#rPmnbe-&ef8+V#xs6)!3s-OL>)(<# z;=33;@L~dh975*n7qw`{Yg!qH0T7L3wDFj{;y+VA>GfwFC!ZG~Q5M^o`+h8ZqT}IC zhp>dZT^3)xcRqvXI69kDcGB1fx^aduxTs_!aU7-}dgQD14&^ba!>iXaY+>rxX-Hby`1OatvpzTg#3-p=z91cbS*qe*25kVwZ7-Z7 zo(Y#=nuP~L{85fUBJf>AMCALzY@42^0kZpc%Z)i0>!~I657= zh8buTjgNDL46pZzJzQQBG`#~2KYv+Gb8LVqh&mAao;!6s6}YGGT`N^&K$ueATBlvo zATd(wll-I{ChtDsBV4Cb=9TlW1Jr2-2sBQw9zkmvXTfC*Tdl_BNU40ddEmKu@%Re9)P2Y* zyZM)vd>51cSA|5-O@3KGMlcK)t*pQd_M|*#nMVe?{1iHBJ-&S`sRA%@;`PL{tj6JI z47}4ryL~XP0~Wh^M7d{86fU7dQY*_gv{Z2N#O&rf`H0Un#@p>flL(JZzn^59yqUB0 z>^6Onvuf?yNB7#CrGr`Asv_LJN+XB|@Ryu)9us=soNmfl?i^bfP@dbBP`&(9&y z&j|IV3Y(Ho>VHbCj`ycbU7dZx-{3XbFvTpDl(hN=Qn=WTo;*gO?vJubl1Y7O=LGtUIEQp%EKuAUnhoUJWxa- zjF}Kp_WPwX6l|m;AB$Ek+EQfey*;gtZYvriU3T$^s=|Su)zUN~176CMMUbhHW>0gB z0k7z6T@{jb%a8y{I;UB)j;E&)L|gg1dbaU3Ph)D7{>4*_GTRpqMq2Z~ZEX&D`g-+S z&rQO$cJ96QB!CkWSq{_W=%)YM-k7j#F8?mF;{+GaW(8+LjxOoR%;w}S@2lt2wd(w5 zQX=yvEhM|hV+Ju?Ff}I1()iL=v`}G*{AUfYGeIwG*K972wOzLVupt7stUjPl2W;ph zl!~LF^aZ$g%(SRN-p_8z4g9j2Z)F8|=88S;m}L|hfOLLoc0Wqj-2R(+n}z{hOy&zg z-_O4Uc6?bxbqd$`E(phpRLaWIi}e1QH~q_87f*jrpHm$VPT}}=#@oJ>AK7EpD8#%} z`aAFPY*x`t^~Hh6r8Q)tNWq0^_^WwTE%BLedx}f51WeyZmJ8NN`Z~?<@hiB^9v;z1 z7r&Kw0N9X=Y2JpFM|``JU?iz@wYA=?$U1STx|p>zDY~lCt|s)_-1UDh`R+_#YqFLD zqvMW{bi`G)UOg0Dm~xwNHBZ#6#(ZWol!<%%25FxBPE^d>N83Gv*gzN_L6ah#8+fV+srIX7$as8NmOXV60rb_eHJ-0fNVOGbiVzlFGtu z#Hy50Bq3_;=;WkJu|}Oqa=`a*tGpAx5!b;tD5LmMjEc9++%E_&8CY~8KF?AP2oR(x zo!rysr@C$0E!L0_cR|5&L;5jpY_!CZ+Bwz3NTPdPsM*#jybj&9_Ly~orzPT>@ zD@mFy^HxETx!bN}E%CS0FL7lAcz;QDK(jRgo?N86K&l5!@M%?+uUcsL@gbD6;&>tF z$1ys~pY`71HA|h!l<_j-To@O8@vfDaNKs=31`47_-i$g{ne9|ft+Gns<#JiPTIUO7 zRUelAbW#3g5D-2_fg+(A!kl9gX@M3a-2ZHZi9U{mL7yw_K(u1+Kv>eIgE=ns2gUks4H6M$*+wmKSDN7c*{=Z>K1penZ{f`>LzEmUneg^d9j%?L4BwxcsPF5XKxP zCq~Dx|HeF(c^C1xk2l$7P%mu^5Q0G%Gjqt}@72{8K{mF!a=kA1#l@35dvMYv;yfiM zh~BVWLS!Lflc{DG5q^{N4PyV~-bxbP1praZp1NiUMNiG*ZgJO??sV$VbNV@pS$O%;w>r9<_d)^A@Ey(=&N4$EEEGD=5 z^hsX2GhgO{|MM|RI?{@r`5f-sBcvr~6}(B+tHNG!Aa;Ask5dv{=kwLN2qMl{vH*@& z4qNM%APSQn+LKV@wSU~uR6+dGa3|H(qDLSylHb=!&IUmf>3hP5@$3B%TbVQ5B%)`qW`I_2EaqNw3;44q!Y?#Rt>RJIQEOpcfC)*RP1GO9kH`7B$telRtsU62F zmYc<=9D#7bVWg_a>0bh}>sQxKt3UKVe>Ll3+u0#zzN-;)JiVI;-{8b_w3c$QALNWQL=i4Y+<0B#n0tkw9wXD^n?VPNme>a04YLL2nB zgfO0D&a+T*Q5EKBnZDQ#X4_{Y+z!9`q1~#)*Gw(Qts;#k|4vJ=5wBfbGUIhRN;1Jw z;tjoEky#^4(2M7-JNQsU-2Az+GgqUtt@F{R z0$hkIEDAL@}Wi2A@6 zzeY?#a`W=|D3sBTiH%*1CH^T<B;D>Z0*f#*H@hnZU04D>Oe@k}FU`%g<_^Xkm^gSVpzhgI|q>x<0Us5U! z9FY?x^c-3;A9jG?iwMpX>{Ih0bUZ=z5J)N#`DGT(Yy~v+E z?9cPab?GyEUp?*Tm^{h3Cpv*AaYADaei_fP!ydnBF=OZ@rEGW=rkqmmZsjp_`<2d4 zvt$-Q(bfR~Uta>m0w-SQ zY-r7fUz)5{CE$wW`EI6&r-7>s;qnE4j603ie4*Ccu%Y3h64=6yuOeyF&R`7fVUZTm zHZfH_8d=55SOf~(b@8t%s8LE~9>=^|IseO@z}fcXKhYKh*kUjZ z0|R45NM|ycK^630{s;&N@Dh(u5sx^^i`GeRkn`#HRpJ;ym2JeDy zu$FH^zMIBT?8Mg7ZorS|&dMQbi2b$K?SE;1re{P0o-|~yAEoSdO5*~>vY6x0$nEuJ z_V%yEy0Go_qzJMu=jiI7m`l{J82U5bLXjx!VbjjZK98-7<4DXkXfflUm=QgFgDR8v zCNep&Fv8R;Ru<86evG^oXi}NPV)>|;e_1%(d-49fI@lPGg+R=ai6(`jxayX-mWRmY zb2YsU$lAh-5DTQTGAh)RKnp;Be5M1hUu4&Z(vWFX1BvO6W&(v{9G>R$FoV&`hOBYG z>yp3|hJ3=_x0Z>)!Goya#ygQ%-Lglcb`1b|cz?EAU(ajK(O%e;pgD*qa2-bXE=ta$@yS%g&zEqrclP!-qjTz5+0t1ga9BMfj>n` z5)u*<{k4ECS_+CbaY;V!xh1Q)As| zm$eT#dHmlc50e`)T*BkOolJ^iSUi^2D_9hiBM@qpV41Q)WIiWKcF2_*BWU=8w^-u+ zpgsC?Nh^D?AN1N>%0DveT*<4;&=;GPyY|i3E;u-t$=qt=RqmunN(z8b-I?*3-U`Tz zl;v_O4$i{08TVu)ht-hPArt^W>NQ;AdRQB8GXXYz-=>ZQ8d$NDsZ%V+wx3Q9SXxo?jk4QD2+egWS>B0s%E_K@bK@?Cv2jh8~lUp z<|3>tWZ9YLW7raVYyelde67Srn?+N+(DQ-x*RM6d8+9XIowQ^2qIW20RpH#zoI=9F zG@M;se`?fdb946}(5j5rf)%+rfmj+73G9&{*v`G`jVS74kuCKCHm7ccFtc$+b7 zv3a*m7Z+Yl55pp1RGfcf5%hFMgN!HcfLHFQd%0~mw#0u4-X%*Sp-C}}5qEZX^gPX> zfg?IWlQx2WG!Y)R#_-3G3we`2=+0YTcKTmWt#&n4ErD086Y5vd$$@p|xY68)=V*$j zx_x^=)3qAFh5y2yb9v*gT_v)~Z(QL*c)QfawO3aK`+nSw?)yu@=BMt&Gk=~o+lMBS z1)W4$-;rWz=(?$-%WgG<#6NP} z(!=a1v*K;D`O*m`)Re^-ug8-vzDMw@_dZu%hwhF5Ot5fpvGV@};Z zPzhVs6eaxvTl3olfT!l3SA-srta}*dI2dzGtOgl0cU|dzU>{5XGFH< z+ap>0H&^D zZgp@fCiN*(eOVKPSu{oLz*3~uK7rfM^3>geLzs{nt>DUGH!V@0P9xH*5F}9IfeY^c zXMLF`(!63{pCF@}-78B;vTlz&D4V!khDNI~T`^uo)u`g$D+nI+!Dc0nT*D+xD8>98_G@2PVw`0U7 z{3orb@1f-(Gp?V(1sn?z@M*o!zT>-};vF-Y-OG`22AA2tY(}r~xV_?K>M%pIADZNZ zqo~&zRxjY!rZT4muGaH>Q=R5lg{ewBA_s!z2KfV6$~<)ZK5=o4k?>$@KG&X)jr68f3*rt^u;=|Hy5tOd(A z2bF)fr+*HrG9AEz1|P?a{x=bWnF(dN=_Kl*c{NYa1_|Ks5}srQ zI_B6DIRboFc=IN-A2(bWaJF%yA&<@ke)9g&Y}ndIN^NAFIAnsZr`Km>c4Vlpfh>0v zCldE}xnEX>B>lBM2<$=`cfL~`G)c}L;T;L3!TVRb^P2a<7jgBcfK{w#Xbgi;&@38l zM{Tw*jUkOE0m&=h{F_ih#T#_#aocumul`^`r~nAjw5oV~1_;Vsyrv(}A_9RjuB?vo zQ(%i0zd^K>Vt_^+^d6O#Nz&3P&G07Y%Zm z0FS41PEDsWlr9^zwHM8TX&T%H`xT7extt59RjGFxCU)!VtI%r zPgfFePT@(d(idlrMOLx~CHIiKm^#I68~3B)a3_w6aW6%^c&>Jo8F~gdj97ai6~Pmq zXw~PdkOHA~hM<&1Wsdb2MTW)|>omOMfMOl*@aUq4p9-F>0Q1$%*alRjkv5e-97Q?i#%ThGcQU zd;(`LiJ#!q*>Ap!yPwb&59x0&*KDb1Iz6Ud`L;}P5QYu9)41p8HazXVomHs)%h#Rh zfhvkp-lFhpHSqd)7$t0!UTiMKjx&I|zh|LPn?mZgB11N#WEUTeTPB>LfeP85r$cmn zd`m}XXU0#u|5Y)&|3Tyg72u%V?PTdVo8LtM;sOHP#UN_2UPSV%32retbm#+<;wmwW zzl|`TNPi}lm(bO((Z|RceA(<%J!UaV+UQw$bk#OkGWYA_OhVV%S(Q0+!>U<2mJdK^ ziv;zOavzE9h@`bzsh--m&N?#nw?Q^;hS_hr&^UEPe^si6Xl~yd2XZ~A_`3=H5pbEy zZ+(j&co#h$Le3=lEich(3+2>kY1e0XeoD1s7k}qT;Z{f!$;rMwN3Vo{Z$(Oa)Fj;J zNFJmnJX zV)@`{m~FCV7p@5p0W6eUu&JjB5G;AR@8*3|66v^*Vr=xtX)T;cjmx;5=CILSUeP;@ zCJ|`GxTLa7!E)7T<@;4|!Wr%$g;qx6_~{2OLA7IaCD=cyzoN0aTQ{IkY>qk3aarfd zSVrgk>?L3mJWVQmU_AGug_rlw&y%G99kPZHSZVhtn!;FHCLk_d0AB=73!P`r5%4FgJ(ZaNi1hc_AtCN#~@r&1f{=%`SyUWXG)I!P<-^bNN z8u)+aqpEqh)ll_ql`bEa+i^}cxa~t|7SE;cQOgUuZ-9q#ZRl8% zp$n-vrHJKT`+M&@)s`>=7Yr)$6meE~`Kqkm>0oy)7gk*KLCM zw&_Fs&AK#lApsWC&CjO6=}MM-MQVsZM*NtBBa`c8bL0W^qRV{$M|hK)Q1g@Kt$FU= zwaBD*S^G;77^3P?J;Yq`V12SD&tQK8 zOF6UEq#YW1FMAWxBE{(P6XI=iw`N}Yl=F{EC2fDDZfqI4x4SnF%*l)6Y+N0qRG6JA znPPN6ps5rf^F{`A&E%L~p7eH;$ALuSQ){-R>#5B72Yb!Fs9O9(tL1dpjL&NAQGa26*QyZPUt{%lCy+L5PNv z5i&CUi+P&AgrxdV?=u@-;Zo{u4lnxPEw(ZN)VCX7tmbu)Kv9MzR1D3)D(z_`|1XpGl0SQ$quE9JHN$!hD>hL z!{3=8v$q$;wLjfH-N1DWw(8_2s9}rcU?yn?PC4Z#c@3;|)M%`V;WII3;+HO%e6=ps zJ_f6wn*mc0VSqa(gVe2tsA8}6VTDWY^NThC7aa30vm?={y!6$g^r5UeYuDHN*T^PN zTMyl_*g2U)AYhF&AC&w|??9tP{ez=Xa$t{!Tk{M>gOT=IapZL7=1s)LeiWWNHm!H) z3{uW93l6VYE&EPJP~k(y;*2f)IgR&?T@OZ;?*vV1gx1FU3CQ6^TcAq^0jAHUfW0YU z$CE8E!SSdn9H+a+<0jLFbGwf@Kq1TP+}3V-D5dq~7C?Oo%_?ZJU*UcE{(}R1Sl8|jhU%7cRmqLP8Uk~Wwbd9<>ML56&3TQv7(13 zLW(R!)^c&gMgnio;Mk5a=@t4W5^l#<^deEj6`W8KxzCUx!)`^00~M+SfR z=umIx0ssxQfi!NO%NHnTNM5?E?_)ZXD@>a-<|@p^9UL`U@M59rsDnqjWC&stAJq~PbMVn8zcJ!|`-Lx1v)%i{lGzup<%7|a-@ zP8o(>pAOoXX7XNCa+4X@V{l!PPLEa!Ufk%H1+9WE{tb=^HI3su7d!iAOd|_k;C6GY z0EOSLf9TJQv8Bc4Xcr%;XI?)Q^^?JZE{G9RI{Um?*}uqZbY81!8K->F-COl7^hgl; zkw8ZMHLSug<-|6g%9~s2Ec~L)PxOr+Y()=U={WaNl84R*=QAG&VTsL}?8%^Xre%Xc zfEeBr$bve19QgHO+H$WPy zuE2Zu*u|UgT~1YZeLV!Uj%kA{CmQ$np@3Z@RFj*gv!j)2i}6k2rYb0CoY~{L)24KI zIxfz{J-gLQV!_t$=H>@slarG=nWY4Q8wv^vZGkD0MOUvZO-}+!2O{e@s*}A?%Ksc1 z5V~K!V)np!A|ZSqU%u#dp6|u>A_CHL-*#-2*xo8OCJ#8ogk2mj7nB~I+k-H^$WF0U zpMGKg5smR!J$o~_-4`Ff30Z}N#3`x?96S-A5?C1MUhY(PA*IExjn(-n20x=W8ux8u z)$>DkkuN|g7z8<+Zmq!yz3cU(i1JgQBz$2!D(ZTEXEVgTk@><(f8B+)=LnQD=%Mg9 z57panqHge({Hki&$CfQALUMS>BPZvc$?;*H8auvUjKV=SU6u8aoNft{4Oc$Df;ko?>ywG>+(T1&O+oKOXA25p z9B#Ki8~^SqL|C)QmzbJrGklRio{Lj=b+n>wt+-Oov`E6Wrk9fZdj?om>h&4e}hk{!Pa`TQ?+fE^T3;YH=;Cc&C+SWze-}@Xo;M)Hv5&Inw-K zmIz~5T3}jZVOS`zRRh$fT)1sWVaopx@jwp0ap6K^(RD-4>n4W}_qbTK>b^=>OEtb# zD($j&^zr(q>N>}zxTd?Ks_zC<26DDNO3QRicaDGLctVvw8kM}5Wz-GMDTd5i=aR~$ zJ2>1vTf6ptw?y%%c}{#TS5bRoJ@ceM7A2^2%``o=OubWejMvL&ow!wh>TGTBxD3^f z!uckmCsnL_y0(^B!ufb&WkXD&t|vOD;8N=>?NVHbJy%m5%s)OwplO0q!&G2k!El>@ zHDWfKOC%;9o-=y%r$yCK&?ZQ!`(|b=3bV*^fqq5wZ3(tmTBGazRZ!6H_qfh6Ks`%v za5t4FVTpqJK}r>w^u{cIvPYm*&g)gZdyo3LSFhnJAfQPhXZZ+tEBnO^P;cM&%@tG3 z{}9D9y#GAwfna5i@jITkK*1k>regyfvcVVGFCQ1Ef3X@rU#>!{G$(+gzHq9(n1j1L zNPnZ7j&2@VF0$|pP6NI=%CG&Wf-PRD&S@gN1lDR0b3v&-Hj$lwuYfYr<-29vy~EvO zf6M*dZ@b@43pNKA@{6_z1VN|=yrTltPY>L#a3XiN-u>OzBffsK&{Cx(AzE&%ZO?T> z=RUc%^#By%zzN{jLficZC?KkAS;M%QGe1ssaytFtgbDNRlsbaCTE3L=$JN71jyRt} z&G+Wsym`_+A;#-}`6a8@XPCMzB}RWt2ik#venU)lvF5ZaL_)R zciHlnU`Bmxem0rc`!Ln@~H|iIeG$-|jGFX1ag$ z_S=i!eV;LZ4w+Kn!||1SjQ#li(GHoJ6+Rq4{_Qj;r|gYp2D)z8+sr-j&+i9^jyjm z&)8Y?&;LOY#(q*GU~}hXlaD>RJTcRu#$;@cX~-Ynf@|!{Urzd9fAjC>A9!qeT9&EN zo7nn)pL}MmR<+G8wLIOCjWfqFR@qbEKEQ`ky#%xc{*sl9Vu~rInEy7#g7=@JG9u5v zuyA3zYjQHF&dx0}92^=JsMBwVddS&(y;P(@oT z<>j{fZBv3rLXopUN_Dt#=V!9O@9dq|?Ggch#9T;2a7=JdsF~oAppg5=)8=CL;&()` zT*9PN_J*$im~_Wt7^_}+rFRNgLV|<) z=M8VLTB~^_mq;#K*}H7nTNhJap3}2uPlAFjE|#gI4Q%}K!?Dg50~06fEPPk7?3gfr zz)j&%@{=)3770VA>1>--Ci?rg&B6UWg}2{Hat@45aZ8$|>HP;}hc|Rq*TxMu2cLRE zvFcQ+bHzVip3{BhvOzKJ|7Z|72yIOW#x>8W=hfNm+iONvaH`-HWsK6zHEUjK)~s0y zz?Sg(o6eRz`)Tu%W_gcaEwJPQ>t99^NeEEuVN272!#qY6u<6LK|vP@VvJeL zGv<$w)`9Bm7>mf1`6EWW3*?43n1h2$W*OOF38X4~xw!60o_OpaFPejIj)!S~apOPa z#EAJM!na|M9hh-*OGhT%^gd4h~KPGIL&A zf`fIhn3zb77c;%1l5Yt&v6lr65sM^%)r`s@EPdW!+`qebTsI3;iu{>3w-hG0b_?O z{<$992=m*X9{kjrpn1R+7hD2wzx?1~3}Uf7RP1sw#S~M_{~E=D_n+d@rMIY6>$8_X z`>bX4ixFGIn+Qf+~{*F@(^6)6rD*fuA{i)$BcR7@vsaJmnM*fa}rWpuWef@!! zGy!vvJ)~h{w~cp)H2gWDJ8$m19Ee=^-MWVgX^>E93H*xAMhTOye^&JVFOjpcu3${B z&1Sn$GOc84Qs@Tfs0|yu*|7rv1J#zmkbOdQ6CFnjj65S;=?k}S2%XD7Q==kgnb7Sx zBwmKhXB3%DMy9CRx$X^ro>NAZi(T+kCiA=$Q)UYFueqkax5$*W;@bgXPw!NNRQn^3 z9tj4PNT~GQQfbT6$EJT`b@B7-+qQ4tTx&Ozm<}{bX{4uSrfLZ*R+PlY2Q^e(n!j=W zj%A6npQgdEYbE)A56MK1koxWm6RbdC7gYyZipf_OETVyVWnvPBgn`%0e;2f{R6e6dwQzUsWrnm|`A^V!`_l zp(anx04AKPv0hQH%H{{$*P z?Gv+fLH;*HuhnYpEeQ!AJRkO8gS3hOaq=6QZ z;ekpm0JK+sKOg&PndpRXQd}ygmyIr4>ebnY`{~%8bY_xrgSWN#R}4{dD5l%!Orgy?j~tm6jVpqGq~tE;%}0M+*B23<>bIqhP! zxwNrqCCipbE)k8Q4v?EUO2HAJX2Fj0Y-HQ`;X5<{wgLmWb zw;XSOZ3g_FTKklF?Ne)m%HQC^$ay$So5(TXsDw(d5J)3u&EY{%EIt3PmYbbp76c zt3?D|FR{N_vuVbxESH?a8@qNj1e?v(ifT5Zb?Z5fsi{kHULS1MECr?RN}*m%G5-dC ziUse#oKTTA3Z^7DIi;tyYf!qIVpM{Cuwr$%+1JUP{x&(X#EC9$dW>q~?2M@UBk?xp&T`_dD z@Xf_Os*lb2Yv=dUoYHda!74+Y@euic8!UevCVxFL<&Nl$^L%0-v9xr>wQn-XD>clJ zEqVtneP+j!fwVu;0ro8j&eykQ>0J^=(e%j z#a8=TEqLH@_+Q7_xf99BrT@`u$jHc2!-wCzPc2t8t=HUFUg=oi_=J0ZHJbO*U3;$$ zKymm=G5<>bl;9PyI)U~&@F?h~vkzARxeaQHQhvo>oPUrIk+vFa0J3apX>Gf|GUniY z8~u+XV(kpP0CG$z-)SOSdx7yOh}g`6Ze6iHv6DoP2nn**RLU;69u${UmlPBQXNMaS zUq)k1Ks+}qIH44@-x%Y6lH!tFSRYEM6~8TB+~)c>--s++ICVi#P|x8?-7|~ZgODS7 zlfe=y(k?(1A?TCcO=$Ls#+#+%uYfHX9&H1V=9p$*n$B_nqkY0kQ7%fj)-?f8Mc}1_58Pv99{4eXD{b6J{;CrOWkyj(jvtda9<${WTUY0GP=MIl*d}`33 z1L-GE4)^^#9AXr%58EVoF-a*d92xZ$)IL*tmut#U??q3qeECGZ6JG$aKrfdR7wha- zK6`D#^xGHC)?)PG#(VGjskhuFBNNCk8;%b1XRYy?vQYDJURn$!s! z9QNMXVdkYT?4-AU*0t;Ol(J=i^Yih^Z5Jw`F9LH7S%x>S*Sh`{Df}SFv7pePgf@HH z{QB{mAN%ZVw{!8PM>oB)JZ9N&-<$J{Izb?w?xuZ9g5 z*kUh@h_4v0$9VtH^FiL$&bB*8x7^qAzhnLLUqJQglL7pHEh}$-B`PrRec=6n`Z|g! z=6{)c3SN=$hQLLod=m>P3k4YfD!Y$@t9kN@Ncc{0>!eh(doF_&^Vee$X{XtZ_Oo>8 z9IVuz7P}gnX3R3WR=ZH`EhWlytJJOcjhV*1B@#>gQ1VvEQ)V%jyI&s--fR$-;*zp8 z?=gdJNCg^yD*I--`|%r)1Ztkh_W5A!4aUzv9MrJn{c_lE59Kd(fafOxLmuY+wb<9< zqS~wS#mk9BBH8kE88mIaI`-OoB0EZPqS=?VxONThUgh-l^_^{1YN>qw`5Q{9w;kc6 zMP!G9Awf!|<*geg;;O^jKz$Po8>m!#-tsvjj_&Y(CeYFdBL^vU)hx1Ex2JgC2_O)p zphKug9WawQ^Ya(*iULva!UrZ;ba^*V^?6^u{D=^i^J4(Sl!p@bXHnr&Rk&RuRHSo& zQk%gpdcScJulSOTODa+6gd{FKuHg`-Gq1n!waGF4#ne=n)Jf0&@N9VJE!SSHcsRnW&P>-&JwAl2C1w0K0{dBX5Vk$!AgY#s6h3*nB@tC)f>9eruzmEd+OtS zsel1q``kS~zH}8<_P#J+?#q0$f6j&pR;#sSczF0AqtUqKeEas5q3%SX;gvwO>hmCf zf_S3u6SLPpvHpp;QgNFaANz9BxS9NPtpbl+-Q1;V-)|Oh?#ww{vs`xqdWc934c<3G zN1&{N*ZPEqe-2>AJmT(qQcN+$6!ZTdMG9V#@P5E@AOi5sUluVB7@X&j;?7@x6QE`P z>i|ic3$`!j?lrxMU*EHAxS>j6=f;WK?uPPcq9X-mTf8+HH^9l;pbqA_+ZW zf^h*V;FpJbqj}NjLAuj+I)49-m}l-&N^KCaret9#GS1D<&+jI>K0Z{Wxw4CYm;u-= z=JIXGQ_vH57a#+!0%P))mjpi@rU7I^3gow?S}ao60FwpKVYUF2zZgmTO*Lq}8G{WK zMa6LyIi}g@{xYzfCqwOxmyhH!hH6zg(*`m$WuNIQpVRlSH1+=1&XVYmVfqa8YKk;J z2&t$D3>Ex6XB@L9r>K9zv_P|eq4i#c>%nGN#4NdD7UA+dXPP*tQcK`vv&f<#rSf@+ z3QPuS1GUW}tAdm&5MQ3|ay6#5D@Q9qVkvks)Tp5=S5h*;Hlo6&X3@C1*t7kudXZ$^ z=BpTrNuG#n70#wVr|3PYl z>wM|$Zzac4Z^2ZNA977pbJ_DwsklsrFT^%#Ebe1lXhC1a2 zrz`PE&qw1w8ui(0sdF0~r*ebiR2JAA`O4@Is&3e@&ezxXK=v5D ziTyK*g0F*=`uwg-DCWO{h_yGA`4OTukOg*Y7`Y6-Qy_dOP!4R1mFoDXHp&%K%>PC1 zHN#s!`~OyX4~lHA45k;eUuJ^-BKTXBy0ep4B%(X85|kU@BVz4;^)UN6iYc0>o;7c~ zQiXe_e=OhUowfk6UX>1KI5^FoGsb*Z9mh00jy9BSNv;pE+#bSl@_Gf!j^U6|3d)^8 zJr@4J{S=8X#B46qrJ=$HRD9%^R6DMrJ5`T61R%;Jda|5Nk8*73R6b+BIH#wlIqD|e zAZQJhYi(UQ->Arcs6ewh?*o^Hrma>10lOdMiqv3rPe^zl%vInc!XONZq|I&=@>_l7SMckn4*~V@?$~qZ-J~5hXoFE9dF0gp?Z}ST#fEL_)~0V*=R_PKf<{E3c~T+go&&CB&pw+J=Vnhk9e!l7;r&xUTHRB1clG#X zFuFD|P1BXrk%s6PX^)M~D69y6p0Nx|a>MMF1ZAUG1(YI-ZLywcrDFjM3Y>02nzvra zJv5JCQ>+W;ff{k8xIH;e>1HYTyVBtrWR?@1t0}I3dFj0oWI_>%FqoH5Qc!Ou_d>+031lZvBZtqUg1`BX`??Rc z&(NUZQE9WO)>TI?$9BY&0qypE&#G~MaMPH7#UENjUj1?zJL`2P-2+U=l(D!bF2FJECqmlxBsk>0@#z6dt+m)^+hYTiI#94Y zSgBnW5nlybkWzPTZVOQA53@)Ifl@(A-4!1?M5*gxA{}(7@ya~*zxCmjVYQ;X%DQKN zNqWT}eapDx(YPEAu|_hzE5OO*YG+=ma=wag;zn}D-T1CJ#1=3|LY zgp0QgaUH8C&8>SOvV44X=gal8N@v>I33Ag=*5I^#`*!ajr8ZbZy6BJ^tkkZ8=O3)p zZ)Oo6jW@mxR3A?R&~$7w-k$L;@biQZKD!vSSarQnlgC%|<1I4jhbzrVVI7KpZO7{4 zx2i9rl3e-XaAOYP!dy~iQk*RS03ZNKL_t*e{-d|3(f2#NTkdnw;l=kAU)*qowK?aF zh=}N@>w1Gyn>K}|cj?l8M;l%V3Ffue?J>Ri&>1yBPh{zc1_vGw->;8*rbXZmFXw}|LcThK?lhQO# zmkiyoS2c`FNy~IOra$Invc=hJrUMY4>fq(QTYKho1GQjdSAwyps4m`rFH=l0_u*cG zH)mzgAQEm?$~VaVQu2PW?wS?4gWS0Dvx+I6;r(lH$bc37Uf!MLmh@hp!;4j*dOhcb zMS`ACb^$R6K+N(iLhc_pgr7qKL(V;NOzr(?WsvpHT#qbxZ97h^L!BImL{vqwI`(m1ZT%A8LWZ)iPgvat1 zFE`leU4A#^rzzzVY8G=7=sqS$t;mfp-o1ObbDK78QUL}ib#r2^iDxn#judJ$HAt!3 zW15bMO>>S(1K7TOdwHA9_Lin;SE8b#KEL{oIxJ@ChQ@NS$RHJ{j^%Cdr&Jb@>py+` z_;H8JQJ4F+R;`DB?_p1epL+fDbM;k&D;~guW42QDQyrL<80HVA{% zyxY0s=I6Huu&1+C3bU9`0=Y5thBQMOpp2TaPucJ-n|*wIRs;YNDz||!F$=k(S1A=x zNbGP9rz2~BH)j3YNAZq2&1u(oR*djs`tyZ`J+wH`;;#K3_aFn2-&9~=ktuWkFR)k^ zw>N-GtY_R0r{inZeXPQTA6%1NQ)A-Gl|Dp?=lT(ENpwqeN+_A&25>R5zE_v5+j`T( zdfdjY+MnJKTTC(kSGbqpeFOVu4<{f{B)kPM8kFgOj(F9kV&CXc2r?zl&kt-9RLuQR zCwGr^IZ7f=kqqn_THIG18B>{e^up~tM zUCeM&o`eqaj5f@znH9rrl+ z==WtNcy%s$;jF=Xt5^Mj2em-U#?_!uj?+&}KmL*Bqwd%@e{M$68L=m)$QHeS zPm;n~3~a-IA2xae-!=G+dZTBfjroG~H$Qr_#v7l$k(DI!O+*w&o4))o3j4_&~aPG=w-pn0#Jnh zVfaH-xSHeZ>pNNns1;%s5s|PVYlpml5a1E;YfMbcv_5@`PDGJlbF9M9^cA>xA60>Y zYecN`3Ep#1saCfO)-?wg?fF_v;b>@Cg2cbkb=Lqkm9Ma+>yD3U|H~IDNR1JRXuX~i zYx$zn0Dc(yG3m}wH|BcZEsgg>o_P6UiYey46e)O>@{JV<9|)|>u4m7NgqFyEow!1O zk?`BVVNgG3H?0Qvf#jrUO#?N($fUBx0C1@aCB!V%0ER7~zE><|@|Nowwi$1+qb6pQ zri%21jEIP+UvAE9*Hk^VRkKyi>K{x#n2KFo{B5Y=Dn*cbET9|?e=gBNQS@HbyIFu`sNmHiEGqcLDIK?Hw@sXp%jGoInhja%QXt3LV!oDv9MMgpX z1$32>F|0+;o~GTSMzvhGdw2I<-+c3P-f{=Jr^C%;i^ykzO1)O_nnWb(*4eW}hK7VUp zZ*;qM?WcexArj(k!0?PhT~c|ze%q>V+jWd%()rQOT-|lLA21p~1*zpD){Pk1s(z~u zw-ax-DXW#;2dpn@OuWfB$xWj(RdT`@RH0(GFqVmUr9<;VihjRu!iE29R5^Q_fX{Os zQ~;Fn=?NfaUD0uW9VEI#l`~h^vN&JUFcDuHIOjd4L0o{cuWS`lOfmPdB~!|GwMcjw z?B>;@uz#US6sT4LXb;l9ur5FiRm$&{$X~Fh>0d~hciw56RMV6F?ptP)^z&>0qYiaX z=dY&(M$dttpMX|-Gskc9zf;!iAYIMDvId~c)TzxH4SC6bn3xz>uGFz#kApy_BRHh- zccM^=;oOLbi0Gy3`g8ZGE}Eu=`S|#3e$f8S!RA;EEem0L?%#AFzX`s93)r&bB!yEB z84h(jM0D6&VVrwx)kn&B-+1#)=V9)8|6f|)qW?02>YT~jIE)^@Q2KgB#!IN2Pyy=O z+>4dHWg%^U0`{25RD!qu81L2QN3h!>&=o0QHM_)FI(El zZF=4^L4<0|1p*&E@@U+1TaMOoNMj9rdtNbOi^jgH7Wh)b_Ki*+!wHk=%iU)4mr>ic z8C6!+{BIX7^rz;#1|7CBW=l;4GsHDoezZ|l8})I5L4 z+<8;_qNhVcdp+!U+Qlx*gnFYD$5IlZs#)fYP(-8>#V?h7EBaJvv3x^_?LS;;o@=QK*yYr1vyo8Y~D3mC?R^`}4MN+pH@)PWF$P zHLKFMJ$ej!Ua2Jj{oVU-N=!)XU`#O*`R==aO^ApnQt-ATdH2F9QjXtUy(MUir&66B zII%=JG#C50wJ|0|?Sfkhyn!G{jvfZtzznZ*?I|10-R zJfW0tJn*JS_$=%eLLS(cM<1c+z}yUA18DCk)!}Fnb&3Hr2Zwk3A8ALKGoBnU9QZPS zGAr_?cQn1Rk8dubpwSW1e|`eTvQcD_=iZ(FVOEu+tnzNizO1hnIlGoRa~Vg+R29=H zW)7dsY(sKmy zOPT^SXwV>|L4yWMR^7b0JO#dgRWQr~tu>KP2PkDO~`N(GEq-4=4SgBmG8z$0T zhZli%3NB~BV+o^GikRgYgw-qiP57bTih5}93pU#=o4b2Yt$Ovn7yK_cYiL{GVv6GZ zGY`udJrB=V&RjsYEjCE3T{kBEra89iY_=cj4BGH%j#&Q&Pb2JjFJX#S~M_|A#zqrZuH}qp{EO7V{SpXR$aPJ9m!Er+Qtv z@$8LP0A&f5Q_B8Ok&8g)&Q@>r=AFomw$0M z+%OfYZh`Z?fLcI4!J7=v3(Qc!CA-DgX9dc8h~2sjM@RtMH&>Jc6uKFZ6Z)a;MqUgh-M_zZqK(jN8$g!NH>s)bpqr7CWe0C0xMDI_N= zju-`}U&wZ5Y1XV+W;3PMhl)I>V4X|K`7)0kx?E*}h>HUu_V`_;R1l0Zi`*z3cfzYw zybSwR!t^EVhX34^($HtqoRchehNXFajV{-Z^ zthqSYlVZ%z&f~Eu|Oz5+nqQq7)H)a?{64rS^o&ro?gRLfHyuRX4p4G zCp)tlola=Hd8p~`PlqnDy;12ZVl$w>{t1o=o;U`7XZJr!;?Ore9AWbGJXs~R5E`ME~VeUfF!N~nEij!TIoHC)LSbk$^Bu$q}KjKg66 z47vitEh2NW?}761@!86b9b2=VM0x-{^4^0SyvVx2tI^-GqEsc0IX4&C)>SG0jXVIF z-Th&IMj^+KQ#|`vkl#`EP#QE&6ezol!anB03CLrWVrQPRe}-}?%!aR+V*W4lr&0?S z^XJLBawRV2{Q1isZtgy0FIELAwG6w}%!8rXbkL_N)gVK}dd1(LAf^1KKpU*i=e?ok}^Bvf$>ro3mKQQl}P9f7-soRNmxP zW@?$BcuRa};+n+VU-Al-VZ-)?MJzJ|Rp5_#+XO3h9($HE0L)@;y{~2XuZNnNzsytZ z34|x;o^2v9W;|T04iztO^T(cE9Bv4>DbMO-bRn0XOtwdCVdVx$)$jO! z^cL10>A0jzRBr2Pz6dE&0-!Bq=IsT z124e(YW99B+B7X|xKb%*F+1h$L+mq$<#Y`#zB+Mv%lar~v)E&q1_J1XzlYrWC3ZoY zOR!Qg7Lf&HCx%dkw9h-nu8AE^4_eB9EOVfFE?^OhV99?E?y_zY`}pbhuDHv_PwXDO zIpuMA!W$CM=~(kDJJX!NDGf}Sgp*Dnj{J@}>?khGbVHyd$3@$hAt>9A=N6t+I@NSsAZ? zDvpi*09|y}Jw3}7%*=xCA!lQoD&ije26Qd#9stxK?J^al0tT{xhgz`!zGry} zv{)XdtA8zmxa;UO%)#cac@Fot1X^<4WXzcJHfaJpqEHbz2LhD3>!G5UVv5Pny)vye z#6I(|S}EVxi>i00e#CH4lR+PUqm=)u`_(VTj>^omrR>-d)93NWb5ou!5HUGGzD!<; zpk7fb9iaDjy-%O2d+LpxvTF$?UK+)i~>5lxw-Yt5xZ8abqY``CMKqTb-~@=zkK{l zD^q#XR`;jfUwdZtGY=dKn3uhK_qwO1rn>m~`Qqe+vOgO^N?i;Q>7qe>mAzE$XmtGK^7ZR3 zx|>^U{aLd<+_QdteFMGMxbodyR&0ZnN)8k0W`nMR*GfSan#D|x=$)*TzDT59vPX;M zds}+k9$v5mK!brg9anw-Y;?lCjVSX_vp-pE11y1N0z-yqXEg^0 z*MPLNyE6F&DivWCd0J!0oC_@etdR0!7Lnz^KwI`qakl6c6mCED7u&{ba#NIIp+-z5AU9S zJiWa*%ZsiludjiLyGtcztymPiINct`Kx{hcPdMPvUr1h{2>E_hzXNrx{@N$gSHAOn zyK-H>^zrdolzoq0RdB=xn+m%JRbk3yh!ogU*gZIjQ#Fi#>1n(kwa)I+5Ye_^ouat= zduHbnWE5{&v*UuxmL!s1B)^CCJrSgoj{XxEo&yHcIb+_yQ+%924?GWT<7OK=pVw^!lke&@l_NezqJyTEgL-vsorVVI+i8Tv|sr1b^eNDA$eZTp;?_R#z zr_cWEu}sfocpnpOzPHFchsx7pk6f!*QL74_1@bJ*zC^oSbU4ufb`-Y#@;@X<<-`Ho zg?d_A+PeTwPEPN)YSrp?Axrf62v92Dh93>~Dp(#SvTm?a(b>rqauX`FZQC{)`*#+A z21*@&Hj6o`k6KmeI1g6p1lX5P&0>C5kRO&cTvnyr>~h=v{r$HNRqE2jS`&{XyWa8P z)!a41-o1O>TeWI+d$3Z`W-%Y?vx?>Gp9p@g;fjV!tmUk!f*ker_5Ey+Qn!J;P6Og? z^e*#4=@;q)k$sd(1->Y90F+XQ`G}&x2F3h;W3c^p%OZ6vRYKA-CBt!pKOq^b0;3-#}DUDYT^uZ z`|R}DTJX|*zEMFc4eYKZA5{9_J#(=6m-pX&KeOe&mg6>eVkg4;C=LKm7ZAN?;EwNq z?zU~)S~=gkwce|`Gg;%j4)&ND&WWNziHa# zv;`3n5x@HQ_*@yRRPw#XI6Jm{Zc*h2a2>)aZ8`3?Z2LnsO2m3xDZl$BJE#he7q)4w z>R+^JEmT6gE7XU=UFqoxMWL<)s=$YT-q84W(ns~N@h~fTc^H~KOYQ-uRDn%v$;F%2 ziYX=^_Y%B0X)qtuX6h4QHsAop10vQ@O8JG|uTe1%j0v!&JKadc^W*ll)~;3U+O@m> zXFCm(u&y?2_3vNPj)t~1Kn46^wOU^mk&bR|Zv6^~-5S2WzJcTsyH=~Ub%_#xC4O)$ z?}%-dZPnw$AOB`mmsQ6!wAYm=Ly;!~j1`O%SH80{B4u~VdZ^L4abq?#ZCXz2+}V{< zaX)luawa_2>EY_IVVEjavXz}aeS=ferWeu<9ZCW)vH-;rgD`IKsJVM?S^^uE#2$0| zw;^HD0iDg*XI*rFKJI-C@5Fb1GwO+n#8;{mORH87y$l(k)cG)xH+A?aOk|qPo?bW~ z8e9voi>SNMaEeeIf6gk_DSpZ?-zK^s6_mDR#g-Wvt1_%55=syr9zN37*LPmtI@Q)y zYikr^6FpPEzJ2@ljvYF5$hAFEf$GhLn--p~SG!K_8&|GiwOV&)CrHSDB|JQQ?7+$c z+xVJ%w{G9Qy;+A29S%P17=Uh2chAFr)G(k{qu7*`MpmnJiyEZ%$P)2y=Fse9d1Y{| zSg#&uMEa2}c%{G!N>M~aL{#?j_ixf{&K&keL=5GQ;Ju68yLXq)$jJCIdCTD@)zYig z9P`DP*)Pv|x#hZ^>sFzE(+RkWV9x%HnqTw|Qde{ONem5rB;(pOJYISUSAS0{Q&4%_ z7~(R>$Rl>u@VsFtFP3|CLqc@Ivu+t~w@fC}%?BOPd!Zwa%<&q6TjojmV);=FWsJ1m zW)ic%Q^C6P9Or4y>CT09^S7X({eG(Usb3+6!UQ0OYr8-{)vS9JwRVa zKn{X>3@8B-DiS^#WTsL+#UTz4$3=m|c@HQ^4^pc2EA!`{sIp+ed6UUxjEIOB=i}q^ z$wTz3MMOk&)^+`VxSyZjRRA5mn;@+V)H7oD?!8g41BHsQTCG0!5W7KJw{ESjX_~*y zW?S8R!FF^MK@OxZ|m#aQ(?kCVDNwSq!bRH%Y8Gi8A|vOj-fkT_En({m109jb8& z!}jYZ9^1MV7A_Q9$&!zJ^M%sy3eDKMb!*jfpME-3M4IG(E6}-QxYnvh2}1(qX3V$} zJ$`%^x_!r&qHN7fQ$j+rYg)yJ7*BEoAk>}ZLcv(aa1S)mc68!~Ejju+g-({x!VU;P3 z3W{DsSws1MY7?vB;^IQWAD0>xY_GX%{uLc78Z}SNctB3U*Qbg=#OLk`z&Y9BlwRwBXf4J1aPe=$!NKba(-ygG^Y&IJN z^{V&Ye4dAgWY4@)vomMTd(QW;YbV6nMY{WI>D+L7!_k?OGux-6q;!dokN<8>QPI*L zQ&O&fGc{`TuJ5wml$Mqbi%Lx$92ru8b8zv>JO4HA(fj7#7e+o?Ftc6_S1m;sg`oR5 zy(uzR2B;xw*Bp_{T<}`p#TS1Y7#X<=rKWm2yJpPQI=cYT(a}R(>8@)<Hw?**{+mrgTLh!?3SuI>i^R%n`@}SmvcG1o+?l)=iRBON-+2;P@jpU+<3uz_CHL2 zqEe4jO`!*&p|0SIVgq8E#d2u-p_?%x>*s~T;dnhND(d0voa~ZoKD*|ojRhOCnZ-PW z!Fbw|Du&pT>}kL^n$qILE>jWicH0*HU(EltKjS*ne&mUf&zvbc(}A7vWb~7xLQSEu z6Ei2i82M3Tx4BK{E{$mr6L#{%$vc669yXh8t;6BCBeFR1((#$&uYYCED|ax9L%@E7 zVeWN*sS?#dUGy~Ig~VBj*@*FXCnEVv8ZW8S?3G=oeo1K-jgr;b+2*9|Z08dqo2^P! zR)y%FU)1N~r+}2?=?BcCr5` zF6TG5&&xP=`@D+fzv=tUV@i~@@3Oux0hB2*t;~zN{B&VZzbwhC4XNF5bOP1zGLk@g zjBCcl*L_Ewj8ZP!VpGG^_uku2NlEFMJ8PEa%*qN&MMXrg14TqV3up?QXujKUBt5@%f^i%22JNKlwPP_m9uJ+q*iwkHn zvBYI^SpZBX)2w49$9k4-J`tP#_~V_EQ&TP8I=T7z`H!lQkd&g+ryFNK_Sk##AA4-T zAg{C)lgaeL>D1E{|MXK>+Ssx8&bZ@_Wh0fURP1&x>>~Yy$Tslk8(yD(bu^jH*3i#B zyVp`!xFaE$r}mH`D&n?Y{{m2hRG!$yj*A`uN>g*iE(&3Gxzh_r zC}m^sp)zqTA zD*58Shf8Z8-9S|OEp%N0UC-Gmy9(}Hq*ce&2~obmIrrw=`Cd#cV;umAdp3S7Cl9UEMFDP?740VwXG zq9XgeS`A+ucyVVzE+2Aq$hXC+cqqUXL04S0CVx%%#=?!sN~z(>qJpeeYe-l`7^XB+ zW6xNd%{JGDjr}cu_wQQYef_;zb+RH(#GYu76=nE3aj9`_?P8xINVr0c95sDZ@$|c< z17In!1g&{?jiqr}-})CdbRFG(sPxiCnsL6xw_tRtdO`CO4c0~a0qucVno`HX$jO{0GB^r_oCm+yFB zD9{ji$zva?)XAA51AwKv$O_@+XkE03nB~h)NU)qaape0t$H$Iss1F?Ygg~l-ru$%~ zrc@P`gG+vfN8ey!fpdLtYqMtK1N!t?)U8{$^42k3zN2BApJ|~dNh?jMy(&?CDzila zb5QVq!US&E(~{+DyYto9YXRXl6oUX(T(^R)?N^YH47=M{kF_}XW>DDKuu9IZF47o7 zVsE{s)Hi<4VQmaKw$++aRc70Ckr3cfV82@-=0A%#UL+Nx0ZK__RTvWa9y@$hKtF@r^B-OLc&3M`2#u_(!&)D)qABS1+&$#)oSw?n~n1S9Cw49qY5HG5w} z4gVdS%i;aS{eLMC|Jzotg28l5DcgP#$6dd^d<_7)$O{Ut_5MttSX0WTfDy+>+zU+l z_LgtIJl}PiBzaRzU{UKcva2jGh%?#QlNx|^j8a`ri7snV?hbmwCZMs`(2r4U>lfaC zuSb`VF7-Rr?R0I?bw%E33_EQ$+ej+s>@M2(^SQ7HBKpwBNk6nE%ifaufFCou$LhYRw3a6$0TZFaRe0$_1kN-tY?+1GDj`R3Mv zDlF%S)UuShHq^#-R4|nlP_SgC%)D`bgc?yLpVhrT77_VCL@pj%x9)3GGBZn^Jo|L! zYp$=(d{BDUTqpRbxqe~38Hl*~W=F(7{!yO)y7}zpZZ74r#6`sI$#^BBYrFlW_3ITl zLr-7%b;Dfq*|4M!d*xgA#k3;qbgRfPm+i8ki%%TNH6x+5Y8Qm=TS&fE3v|MNZ@Hy! za&q!!&wAt5tl{*eNqh5_FK>mRQyK(J^x5?;R1{eS0}px|b&*bhCz{s=xBM5tXr)T+ zBI5=2!nXoU1A2Pw6O?lOpGdcGq!84@AU6Q5yw{(k)D~SNT-44>danw|S#TTu-1@ff z10*UnNf*h0AM1jtjQ~nPwZ1O$iRKlpe;uyWJYD2D3`LNKb&-~uAqNM5rqohh;8EqMk{o0ZU;QNw0U@GA&*e%gf3zS zrYIHyjP28>&%_NIHnhmAU;m28cJ0=upE&U*@UnYI6O1Mn<#hRu$h)S4D6R)~f8BBD zP$f+;T_j+nnbBq^7Wckg4xomsc`{oFDq>E+Fbm&k9<<4$XkF)D8*y$A%6tp}cAz_ij z;phzP1u&b6&?!B9ILmvz01_5v z#c10NMh8P*OjPLf7W=WML3!7`y1quYrfMD#4&kkQ?copzDif#=QT3B0+2Wnv;Epi6 zds2&~Rw=g?1@n^3f0pv&crK3MxKvOg!B0#wuS=XQm1K|}ZbwYJ9iRl0C?fnE!%?qe z^_MbG?z|~@^A4rX%$C`i3guCa@Q%3pJBQv=Oa9KGmkd|kO8~C9=9(;EHSzK2BJX1~ z#t{6R<2q*uDz!p@an$~V{zEaeej`rRBUW?~r5UnqYPgygfA*f3b87KXoiku|l0We& z4!M|F$F0VYZX&P0i&_UDn~(xrAq7r;ye?w<=ikg*9UT($LVSGucV5RBh@T=x;4p^6 zD?p^5{q%N_qx_8jJ=FGdFT>^MT%Mbf31GbqTxFo5!L$QP-v@M@+=eg#04K}wc6eP} zj8sxU_{$t#f0d}bRdUcr>g5iq`z5lxKvWXf#sIGYS)i^24uN?`b?X+m5+9WYRsP+r zp@tgZ+zziN0lwx-^Y@?>xc)?JY|8s%&`!kiq6_|6XYk*OW`(9f9hR`e;41lcmg06r={@W$qzr#F!-7u(uyJmhg!>y_37@sd+N-oA!7o@e8m(-4f}Z5 zms1{^a`i{UJ{s=m?x^(8ZywmZepdFG4aFrT!FerPPTg0hPFoBarYhZ{^4FxE%wLo0 zQ7B>W^LvYwC|ByUse6FqheFa;f7EwlXVza2e{!-h?TVMPt6niDEx&-}2l_qp7C&DJ zL7`2rxa#2Kmg_D{1lAUB-YkwDJr+;!o^nq}STrXh;$Zl|flt&KIrsVQ|>7wHdl#861_wPzbC50+~c&t0vHK1Ng8`(E}uUF1ffuVR$>Fo)+ROY#tp zf77ce<>zMP<`l8*o^SeBMjy3{WAU1B&1XMqw;DZ8I@ zFh>`u<6fpp8*5FeRl3L>P_GTVa>Fc{f7L!oC2jGt|D=oDgwg7|inQ0#{+u`*2M@l@ zv({2R@U#9ix=7y>u?&0jVFm*qiY|9~oZh^Ds!y)nAdSM$^76imdE=2c%AMV86Q+K{ z)V=w3Iel7ioQBo6CpH)zn9}U{=DO_~TrUTuAx0sThS8dMiEmnrn{gP0V_P+)e}431 ze+lEo8;zXK=80Wh5eH3-z_VEd0!0TNw4 zK@n;E!c*SOBt(}-Q0_>yiG`3H0Nev=56CqbFQ=vah9b`zYN)~BTn?`eG{=uqP{Y5M z5*QHx_xOCKMk`g6r0cGujVK13e@RMZB(Gkbb#c2^7q8s6@+vau1gr&c78G1vw_!t* z)oLXzJ?-w=cg@@!s*k$n?B!ebbJt7TS$1a}v4@|;h!93oAr4<&^`DZG@?f|rykVIt zGXVi8Is?xw$y?HMd(rlF#jfIKjR9t}*|q_3qU||Ky9EkU{R=s5jhb>N|Y5qp+b%~JU+Td!v%c( z!%ga%HfswO%*-#{bYRGHqlZ2;WLm?w#>`$ZJ9)OuzAb5H(u})i-gU#B>Q3(me_C>K za%@gcPQwRJpU%f*ni2=Ae^cG9IGtP{Vd2_)6y{bCd?j9Oop1U4mWIlt%ouW1t!w3n z#^SWX)vKZDL1@`%7p1lGNe%f9x~(AQ$m`@pLc1RbJyP!%S=TXdxKcOkB2Tx8kN+w; zIXP8C=GttwwE)9%b7!ttv0@&9fs0d8Qlf!ulgac&w{G3e0BA~me{`U>|!5#yutA`j&B`Du`ki+*AH`u|Mv|X+8nGt^uOUTcXQbj%JQ&E|;q?At51E zQz{3aXju5VKb*QrgM^-U;Ywyhq~j;44H9*16|8yDLHI3KZ zI=u4bnlJB1(KI?J`qeI7x}4J7TFFo9G7b0^<6M+Gy({Oaf7iq=zaY#V_vW}4ETxvx zjCvV|Tqf80;rj5lA@7GcBXT0L(i*V$$IC_sT#=WVo0pjv5#|c}#l8Q>fM?Ee{As`& z=dfqv=Y^gv|t0p6dS#>4_LQyeOYE4@FzV=yr#T zJ)n+)SirmioR=$QORBOqFU-)c)aSk%YTVmvsG$Zo)jGUN+0Ni4U|++Zhr4S3yejui z7R!4(ckaCGg`+Q&rIw|}7E;JGk&uwuwfmR7@y7aqe-r1abK)1KiN>K>H}hunospT!f8O>DU?T=9am)n;ogaB>a6v4+R?C91?PbkWBO z^2MCQe>q!l8dI-j=CYzWE9XoRN%;{}z*idwE(0kMq{ZWwevNKC)GGl<9*W;jEY~Qp0*;J$!R6=yw2m~oj4YrGYQBhd#Ci*ORv0{l^ z6?bH9QOgH>10b{WLTSIwFdeCo-E6vM%_J!;e?HT+Rb1cWhmPOAd-v{GPc*(ypFa5; zH*Or_EGs+Fpk6)m@wBu-!1cd+@h^ZuYEa4Qg{%M1oNQisQAjig^G}5XmAbFRt%;jj z{B`l9){$}D4(1=}vw8F87?14SXf?WEnoPSXNP@mxHgQ>>P+MraQtEY&yd6NIN}OaD zfBWOPiMeZ&lasewES5LDi?@`f9%}t*>#r7;F5LZ((0{m6Qc`-v$H%AOLt$azh=x%O z$JL6iMbM0(7q8W>t8@43Hl~cSa;MYhdsd0NFQ~P!c>_p-e^KXE z77CIM!A5FEK8-M5mZ6Mvyp;^X2%`jV*~LW{u+cx7uTgI2-$Xj@`z&DO?J9SEudox$ zi^26Als(0Hq+#6YKeAs#4K;Z2C#f{la3Sa-LzGvP5kR0+Q>sc7Cq6!Y_U6r-=k77@ z38a`MkyFI-79^HsXV1BI^N~|Ff9bu!l^S*oclPj}Uz4ENEG-hA^?o#CA%Q%ubxW6lm(S zIIDf&i!RUMKaZ66wF;VKog*nT+#D6^Bq|Ra z%C?_2-+&PnD}O<=wz6iL0?Nq$av^yE0gW(pef?p^L=-O4ygxiTWXPy`H%Cy~yBQKG zIlhCGrnb4AUQeWV^v8)G*D+z*(tB0!)DS}YE}6e1@7r&`t<}4C?;K#No}@48@?DqI z7oUFdW?*joq4*(6e^XUjQU5y_riPsYPL0s@4B+^>4-@zN+8A$Ui?nq4{EYyZ;FAk$ zEnM~z$Ui`pfw>N-eNg-kMyk)?@*G|l%kh3$ETI*GcQ?boOfE=is#26z*YxYXZ13P5 zW!FGtb2G?$Oex!ABFQa)Ta`-i+pK1`$at4eBwgT;rqpk0f8Sn14gZZ)>+p&=0x^mm z>S5$}wN}dZhX|S1aBdXbEoNstoP#N$G;f#L_+R@Ny+YWxYggje-wnasGS$CT@TM}7bEx4=iIM( zD$y$!RCGB8#0C}z&iml*4_=ALjp&7N*yJ>sBhE(D3&{_RJrP^(>`nl35OM%zEwvW8 z*I@!atZS}2vvzvzLrTjS z+PFG(e`=jOY0}8qBBO>YHQoF0tyXK7Gcomh75?;7^TRMVS!9SiN(X=?(vn)3pHJSR zMVvWuB-+;@G?`49(oV|GTF+XFe)_43-RX3`%TZeWa%gS6^oJkrKP@}^JzpJSo6WW` zB_*Y6+EY)xT()lAH{MRSFQe7y9AI9x3;4m|e{fhfZQVE~YudB`JwCphrc}Aposp5T z&{V(vsKS_-W|0Je=LQ~;q7MG<_2qBjYQiJkWee%i1z><=V4u|7;o6R;6pv8d}*%&DR4naO$;aKry ze~<)4gocLB>d>LX1?xEfS-9XLf$@GmukvDiZcIhs+yRmT3{=Y2;SbuyKMm1kmRE%L zd7m8K2T*Pm6=4a9E4~KnHoz&8yal5fF5FJz+6M4`0 z?q{Y}eRk9ua`$5^XRjRY`F)8jIlSMrKk~cC?`GvjWrZo6MVNfTO>ij%K|BbckdqU zYu61~r!K&Ino?B?NOh6RfIh&7no?CN^mUPofSZ9ino_5F^ysk{*gH+BWkK+oUF3N& z3cBWNN*#796wD_#7rV&V!?19+h(p0rO{t&bZ{yI?9lKK?s>-MTk{WxB|8AWKtfAwK9L;y%70e>%SIW%>e*J<%?k&Gr`Xmc3W6 z1_EE{B07c|#(SDlPGAP{fAQEKd~mu87V09CG4kBs_qqlygfbv6_5?EVSyp1`6EAn3 zIWw^5O*a*JZNC|CEAXj1-xj5*?H;H1_3`5xKR&591#152IU&Kf*17c3PMsDkVDp|m zNx($N4uD0S?1?N$w384Z0h?x&&bW1W{o$xARZAE77skumCV!G*f4WEzFbvr1?c96W zEf}(7r~MVJ{Y_X8rbmFDM!}jF|2a9leamxrT~vOlRkTOA$^COG1RMe~fn7$vvWfwz z{>`t38fy4e&gJl~q;iLxzw3?gzYa6J;jEgs;74{fe^w=`*&@l?K#fwW$B)(J@Vb9rh?7+J&)zw_BW*TY zuEXJY|IC>)os?4kB%c5%D=T~8;QoW-hlUJYJ-y%b@?s5{BQ{SCFR-uDATx(>@`U@h zB9eZb26_8e3P<)eJ+trBDOxPdfB$*jugJ!jD|5dvcQfCwe~PM?Ryq}}!gG4iy>NY_ zHI~N1_xjq4w_lV|vOlYm<5+pbX0|up!JQ;9H?bDJEA zjEOp3ntAa7=MqcVj`C=zkkMF?9X48;7qm?RMCNe(5kS()fI6Rf2W{FBo(M6tAa04(# z7r9hZs;GPS?puH@({8_gaiB5#6A5JKB9~}No%T4@$%TdU4#Po=7v_9jq^+iuN8cMw zso8E7im5=EF49R;%3C2}u`Y5mhEA-~MS5snUD4d!e+&G}eY|;^Qj2}g!ELy;jCB#b zr+5>UO4CIq0vj=F1Mt>z4x#dMtV&~!Katg5Dh(MJFHyLE z--&V;h@1FXDcc$hZEeFW$*sX?M&b_zqm^;2f904Bhq;CtYH)L|qP53F9Ls^nfJyEz zU=4o)?kQA%)2QVrO{I%2HvmgSm$C&4I{*M607*naRL6atUT<`f$S0YzC5OmBV7Q3m z4v;u7az(4l;RR5`)N-m<>Tua?wz~oRqJykW8RT6xACsiq@w5W5<1?&b6E`uQj-BYl{IfubvuTzx(P% zV|R4;;i0(9=wr>lzxqhsqm6Pi6YT>^QHz`k$6){^NNO|sUsR!Z8scZQ-j4Ziv3uwG zUZ;x$`9y*1P?57(WqgL=;1yux9apite|300y1KQ!eg=%x(yFVRZ@0?D5=eURpE#xZp#z(2G zq&)Vv(@zxsoY%mf$bOf}R0OWz;y{W^0*KYV|GvCypf1t~Odi#P+CXu)qHb^2f3H>l zbp97>`e)6Y*_?_-F}3l3jy>m~x@+LES9cA5GsBF|bbbrPvvQ@vfW4-L(6k`l}`?D0GGIB7t2?5;Yabupfq)d_wRaQr5xVLoTE*=QVDy2YCEmD z)mmz(p@wsC&Z0G?Y^yNx%xd@(f6+zSVB}7Ff$x@qP`5!_f?g zPDo8S;$y1F;f1fgi#lYMbiu?Icyf55D|YfoOr8Pk2F3z+dz{_#*oQcvf9vHzL0h*M z6?xjNUg2laJ#HB%&$uhn9(cf2KYhoY2N&P?RCL76FEzP$e7i@6OycgTcVlwZDstHB z-=8$ARthA21xX(z+7rJ6rd!IGJ$)1b7*qOl-0Q0c%F$b&*Fg z9NV}2*mvt9Nf>G>9e_o;e@Jl*aIh_w3J z3v{tPmKRp|uQwjuRG1pa8}}P6oR#71vIZJvLC6uu!h1#ln6i^Z~PuEFCN-?Mf2zXrb@t;#PHV6DdnKt*-AqL!C-f23apf^*?;I69aE%sPy5pL zQy27I@WWl&e_hXB`s3>#x7kfUO=+L%q7ML;bllYOFl7`c`Jb8I$Ssisu5Q6B(JDIL(=1yf_G>hvz+98yfaI=&8v zqZUS2u2nXhtx!{H1Ku)ERmblFs%;zYcCgN6PyZEre<6m$R-L^VpO(u$b{Ex1&Uq~} z=~wLMEFxUr71noUoYJc1Si8rc^BTa2AH(t>H7+q8SRHGLt-tE?1^X;9F}IhUJh=m& z6|ip6l(xQ__3s7mzqBg$<3N@Ca4&lfKDyt3AXh`B2&;!77r36O7^x}G17Em58>frj z`GcSje_9m>6fXj>lvzskB;5|=0)uCansGKiG{5}Z>xug7n$pHy=t2A;2m|rl(@b98 zE`XvF;=boA(trzccrjAon)-a^;DWS{YpCJBoAYvbMI4u46eKmk&{_4HBPrrIiP1Ib z7ht?nHs4&a8h$$_U|?8YUL9bdw;?VSM$RA&e=18Pxf#7~n%XSl*bEF)%C;Lo5*;>R zot@NXbB50uS86E@2WYdqO$bIlTv6f1!mwM{-+JtD)5Gfn0|M(^BW!E_z|4ik$vg|Ifx<8*=#bJLk|-7ya=>d*W-cCt~+K`o^QS zd*8kH72llQGexIv4{F)O5}g}eH$5%Ae;csCXOnk^MuyG^k|0i>KJ5aZhvGTVlzK=P z0mJECt&6;Z(Vfpj2yhc{pTFWZ0EfdNuI%h>wK6i|vZJEb0`cd&eV_GAbU(nKwb^V{ zil}}Iy6DehG*@u?F`I!on$oJsU;W*1I2;dP6d}H5v)L-;$|_CmynNo}1M^IIe`^o5 zJ|oRueDU=0ks}9z^)s*W5x{l8YMae=`p@0_zYe8rp5oj}vLCvnwht@{%nmFH^c}Ju z!0a>=ksIMX^YGW{)e4(&l|MVPfiNmkOb(*(d{|Lv&hW`c5>F|m;ngZWpOn09T zG46j?0fR&wyMc~M+5Wb&Ie$}@e^J$WE!C77m#FKVHKoS>hIN{OP!Y#ajEGq)i~^c} z4Vyizi`R1H1tzDSId##1(g9B)h_#_Lu*K~yE$$R0n5< z^U}0O(;DkH>UOuiWxr+puJ#%8nEU@%+wE*eyPcJ0LI>Cr?O$EM@dEq|fBZ{RT7kpi z*!c6$KVP>wC}@6aT-*qZY20Ie#uzUIy0i>4g@sI3lXtWVXtl~_vz5!7X-fM>7kv~k z{kra9ZRg#Q|9nESYw+;d!+)4PeD?CltjI?&il5eb#@uwPH2$e`XrH8#N3yy{^!yP& zMWEjmeAh7DlFqQ&!zej;f3V>>G+_PWc6viGBBqP0S*#9z@~Pc~S@QE^Vq3J}(1QvXQd26cnq~dsa5%0G*thSQrp=qPW81bUY__W|Wc)eE0OmjO$`fl`CRZ3h>E`ZD zN`L6pVQ6aIhzOi9B90)XY`?n|y2IhPi@dxi2@9L$kp=rBa5x;7f0B~&_C;4;%^{1W zAx0#<67Mv4XWu^dIm0dCmVo9l`!%IXbgyZQvQwv$qq4KF%#MjU;&3>c*=#m{X(oRs zQt^{c1MKAwh(2mc+X9UFz3cgRql^B79eYQwk2ZMOl)du1Z6`fR7fosYW}vELCb$dY zDmZY#J6b~x|HYh3e}`8vzLegQF6kKZWJfSGdQZ7OZ;p{?*BT@G=$ZO%gYnRB|A+4N ze>s{`v$^motzRPwV`^~+hL+=ErEE^H^{Q@w!{OLwv)Qh2I2;!d#DV&a>PykVqD-Y! z;yE6)E{DTm0zLu00#4X$wub=pL3%iUZr)uyut*nupr*8se}In?+@01mr4C?3L#rUV zv;yYlx$!){-m;g0}3cP8FTU}pebi-!I4W~_>|H%6Q6$jAc37Qrsb>T;a>2DGBYQ2xbn)# zwd2M;H~-mZ=MQo?e*V4d1UP!@tvyU7C0NIdfqwlCfBIX-X0v7Bpbu&EREE5EIddW+ zVuKntZrghDWOB2!>#6YY5RBa9zb%?ld#L7nmUuy(*8HQ3ei=qO$NA1Woz#?8otEvt zliM+7NY4j_ai2bKG^PHq7qc2__;2N05#HyiaCQ#^J(aQ@I>++mh&Vc6RQ5*!R~o7S zHT=a)e+9l)%67q$LpGc3ki+4)HT6JhJn)XqX7ks^HOKAf&c=|D8nv@%=PfQ=YmR(- zq=g-IQ)v;oN)O`V_da?oHBsP^-S_n zt}@SAF{fG?wYd%Emeg_8$+n!ayuG03f{*gof8={)o-%+fn$i>)PVeML?G9(7U#@w* z(d!X)((Byl!u19)*R!ry8cN@y1m-tC$dpyOs^aYGBA4uKMQ@%UNuh>L=r>^(fIfQk zJm523WE@7G^E|gktnc_(j7Zt%?tE4>uVxauNEAj2$P!H{-xLZ@@!k!YU3L=qf6U)CsFee+j%SFTDD(Xl?zs!M#sd$#tk!)6 zZQ49DJL5h@lcuMQYEjw$UF*^>+>2%UlcORCf*j#)OmvmNYG~mIMNro#wLO!r%g+e=Ts> zX?Erp1{aoVe23+Q{q7ln--4#JRBBQcYN+8a9ssrGMh zJG#ItMXsXZ_ov-I5O~K|_RPKAe@F~R>wLZ7oaKa1#+YFkzISKf4q)+;xe*`yno@%< z`ZXANkDO^i?M#j9UC%f+fPI+nvWmWoT@2chMj9cukeI0Zqb8M|Dw|ilt2i6O@f@j( zey^r9CAyeGn%{j%?xDO>4>rDQp=+LNQno!i*5Pmr4j&L6HT(Ad{}+3Le|zuv1R`#| zHOS#`46bxQbLX}Ko@=!i-v0E;&o6)ct+oz_qb)#Oaxz(Ozr8AV;X+SASxbDPv${wf z44vB`jPKZ3AG-x(>NpCc8`)qVyI_1rWAG_((Uh9*c9cHAcv;$`i(IZLRY{r*!*RP2 zIO5iL&9&KV8Q5&^>mPlze+8p;@awK7?EOq}pL{sWhAA1Lk6djW;GDcHs zYC^AG!yOjOL?%y;#h7jJ6b@d3QBYb==Zyr9oB`HSW@2nS(P#Y@e~g-j2%uP%miF$g zX-6xcf|oyb*Z(flMLJeh!+4=995tq^l}fsC>QuYWIs6#oesNM4xy(-*%3Ht#K$iO& zRk{Xlm5P_C<{JDS>>}5S@tv)Nf?*e^(%=_OX+MZvc6iH@sYG>?E_y03XXNyesYpfo zfYMa|YF70hjV`j6f2u`mDVkD!{)+vnp@zRB=W=*GH$?#!x-}2W&ar$sB92fhH%>^! zkoBqIJa9pP7&1xTSap$&jbC`-GF@awe{ud-vXoy;1jA5G(U3F5dhz4 z?t2(w|CO-WY_B*Rj@N;k&brR7Twk#MJ>V^+srQqUlWPODe-jcCQoV*;2IY+X3h=Hj`n}j`C(7jPxary*wr6e6Eq{CYFiVW(aUia! zaZ&Kf^tE?*e_9ik73Q~kH2u*|hf5E~iCeX`dtO1<0Jl9RCnyS^?}#}vvRr4^936e7 zpTlWFg%nW}Klb`mq!;L+jOFDE(sh|Bdi@dC`>mUKjZZZK{G%0=3e_< zehzFl+X0)?c|aE#O@$71bKk-DOszO4>GkpVCRm?}<4)IJAuoo5HLT9QM8co2k-lTGpPdG zy+2S;tSQwwQP(#Hcj5-<3hRzv!4EQGIf8Cfv&uxG1{mkf08$f7&=kl+ z(boXqB+gEpi>thgm;gh0^wZnS+4UbBa%Ua$gy$l;;})lN#MYj-DP=oa-45xZcfrW* zf2;@KG7;=FQwon|3wA#*3j-d|MXwYI4Z0$zr0x@Se{eV)S8Gap!tL}v1EiQ-mhzXt zw6SSxfm?69>c;ou>cu^o)%t8w@6Wl(W#ZIb*L~9@r;znZGbFIwsRrL-6p?K$*sx)U z&1S1ysA#jH&zNz_6cG{EVDjWk91cf&fA7kEO{wkfl!wcKD%V9*>bNd)4@PvmO5UQT zRJJZM0^@t`PeazFi`;@yr%QfS5c}{8SIWPNhx6|Aoc*A?FG0V|)2{`wHo(j(Tz$$m% zbcQZ^6tD`kz3~2FD4nYL?`Sw0w_KN>2s#+DZDklby#B7i6By3$I{aUQ-;9D>lh3^j zz*O}``nO}@Bg)tacsiI>P{Pjyf6(_2+t%L{1-r#ie(b>+*W>CYBfZ*WZfZ_wi1E{83nJ*$T ztXZ>Wm$+OmUfuR;axZ(Yn8ql<~fu+GW9fCTP0GLdsE_I{p28Bh0;rPMv5QcN%p&3T8BZ&Kd zkAv&Pgm~%xDxRV3_st^$!jBw3)xG8PSp^ba$Fh^EH~DgO(c>|uqle>uz^>>+<6oLF z5!xgvUOS)DM$xt+YsT{#e;eyQS$BuS;b`Y}dbeXt*H_|f_*pBkq|b^zCqG#K!RHbo zivS(i;&ygTUp@YK2aJXY?SOx3s&dN5=FOX}9XfO<1<;fl2jz`3zDQ0^{>Wr9<+xm~ zj}sCSiZrDvIZ?i7O3lE(qvx@IO4T;j-u7=eM>|%xPVazo*mwVme?9eA?AgF=y6E=+ zoxMyY!0s|ECy&t~%8D|V5}4{|d?`lj-Rjn_sHUjOYnkVN#r_8H#>2YUfTf}fK2C3y zYv6WfzXkFjK3-EZ@^q09V2K0;eYbMvCu@VQ4C+?2wI~zXch^)&wW=tZ(V4N@sd`PR z{Zy~a;n6}KSe@OQe~;v&m@>+$%&53Ma=_U#J-ianp5i`A=FH#eKj{ghG*5BE6SEc4~eU!o^O|KM;quBNgA z0sxyjZVF#~r7%xt(44cFCfh=AV9Q;~rAU+1 z`W2fA6$D}aSWKB6lmwv#qi|1b4czW#gjTVdLQ{S|sipVWzfxep3^1$f2 zHm6mqfuT`R8O=gWNkK2gl%9Dr`$o=#YQ0*(nnzA_w55xl=54_U&94p7kM0?6cB+DO{p6JdiCm+-rL^$IUsJv zfEj*ev#O#VJ(y~Z-h0@U19DO+RU3jsfgGi&e^K5`>YCoVeAICD9!B2oZ=!ea-Z{9n zeeE~d2MV&(F7_9IqFF|Gd821pd!ju-klMgSv+kaCrMC^}I0_=8C~a`sX$_0XF8c8; zPObv3v`gjwCMHZxFp6}_on42+F(V)#VA#728oYOJS{k!O+PREoD!5FT&O}nb)I!jj ze;%w`(TDv35@1>!w|IP9OrwZnX=$T1rM1;XZ=LZ{MoP>(F<)34TdU;9efp12hxJ_3 z^T?5>k1U=wV%Aesc6LclPHq^Kd8SRLx8EkERmzo#r)-|C;0(-XY zxeK4jYw0h)V7}@qEEWq&ssGLVJYf~kf0QyS?79jr-%xxhg_HIYbQR>jh>;@kZzn(k z&bA6__4x|NiemvPU|>Q*!X5ynsgy3Cbs3du$((zn_K^`yvYT|otIJt+&aQ`Hd-vw0 ztXOfvSytAJAlIql(Z$uyVEkE#BsZXO?9eS)g&`&ivnR(Er!(T!^)%mI-jQ*}f2bL` zCa1}_9Xh&52jwT-#tg4qSkaoZ5M(|`0mh8YbzrM9!}7n1E~2Z7&XfR{V8?K!9;<5G$Ma5V$@0J@$85M3Ta z;n9u#*p9tC4^Hedkcx=OHkEkpHmm+fPEM{J9v+^bG%IQ2{qNrYQta{ATNH{ETgpYr zJ(9biP*JC&PQUoni(deBfi_1QA077cQ!jgRY30e6pImU&iQ4-%m(}TGe-&rxh~Qr$ z3dH4C?ye-DqjiObpeU?#0LFC`$s(6^x32<+P0MwDJl21+PgQ)1=GdI1I6ru zx|zn<<_B30?5Sg^6Bud@wOUS|B=3ta8WA6FQmE3R9Ys07!}VUQx2|(g=XO752NVIw zN75oNBCwmyVLKtZn6JG5f6C^-LXWcxkdTmY%pvmnrrkdc4jpjgv%dR1an7~3WOQp* z7G3myu}PUe^tRbW8ko%H`<<`6@^VP0PFYH+4=(gN{8w?Z3GD0$mv3M%L2Zs>?Eq$f z1zZ0XrpHeI@NCT4@e(Ah)WMPwR5VTDrRbOTpAJ4vRCp96KkV!3e`z3M6riyRV-~E6 zv+G8cSuEG41qR;Ya=8`{8Z^jXXSqL{1Zp|YL|O~?IS)xsM!y_LqeJ(2$4Z?h=QAc} z)yWnG(SGh_I4_`!pn>TOsM|r6fgA?rL-NCr@DXMf;A9T~W=>Wf#(rEE+{XAn4lxu$ zDiv+I{1-6RP{aQ$e;4HNx+|kwW3(uZ0zAb+aTsX~3xNYl+0MIazJ~Lr3`jgxuijE1 zaq8U+EDECW#Ao?ey_a8}(xTH<*Bv;0;JQ<%PHkw@rp`%*ROwTY-}w0_xd7w zrRM*T+`k@{A}9Aw*vsPK6S?#5kz(Ilh*u6Tifur$*LFK2f86c1oe{evR=0zLYY#5G zKJ5DKan`u<&r(uSZjk`_^{zR2HRu}z>|7Y*K z!=oy&%Tc$GPB-cm2 zkNJ&HWM(?{HE2*5Lqq$S?|ZoJJ2toz4^cfAaJ1PMb6568k&v428sL0I{*L zn_uhp+R29=e`wtJ{W)t+S2_`fn|Uq2Yx#U|cJN@pQR#H06uZry=CH{X7+vyqd5?XT zV&ur{0c*9}=${gUt;ILH>qK4|nbxAMq2YCi)BrjPJSlGX6J4KqW^M87b%<2Q`1{-B zuc*u+e-eq|N&3CNf+vTF73c)4OHe)ICvE{cd&fWNCvL)M@bObwUD+HW?SWkhswc{b zw*k`pdB}R>Enr-g5sMp0N!$BrF0 zIi1b{d29FIbYj$~?)Jfh#|kxfz{nRMBpn~J>ktXT_F;pl+fM0IJ%vQR7C|ry`!hHNsWz+!yBx$KQR)?!XPLHk<&6e@c&v zPD)7Hf?@r1$&{f}?)$vQ=Y<5R*Jr(|*t6=@-bireHXB=pR^h2SPQs&m|8SY74ViCU zNE^;gn>GbJeC}Lr0t4T2JjBw?m$E!Ki!a9yV|Kwlp+=Dl00j*IlGD;G`(du~fCb)1 z?9#gS^|h-GaSge%VZB-(udlQ`e_&+v$T!>F(XQF3rlUG_(oR1N?>*dcYVVgLveudE zpdR^mkD-f#uI*arCLv~V=lr;;4ra@(xLua$bc^eL*LxpDT~YhR<6j?V@z;yV-@h{o zlerfjw>kK_F5AMoKX%{Zv5W637{hhsw{QszzEktEgE9X0N)$SJeR_Yyf5`ke@bQTC zVhhG3_G`dj;ma}%dChkYk+yzF^*o>_aL^&r$Xg^<{2HcU*w~$Qh}82XYz~pp82-ZP zUZ39sKJg*IWLN{-zJ2q0lS~b@b+PVqfcYsrO*?Fjque91Bas#jwFgrwg zB&dGj6K{uMI~D@;$0zgXe-ODGv+qHpP1n zOK5%_BJW^)hL`mWUcitY9 z;bu|-f>@N&6IC@u453DnqyiPFfEVQwUJ>V= z7>4y1lL-#MXrVX`e;6&|?5G;M;XKDwpcn?LW>JiIm4hIF*x1;qYu2pU)X3AwoRpa~ zrDqR`zHK{w)1G;zzP|UK-V}G!F|wV%{7A>j5ZD_y2JB$jEh}{C8)^9X@cL+Y-^7n) zl|MM0&V!ZBl|Onn?cL#52VGs@T{Yd*+l{(@1eA_M9W&FYtW50{Frw-VlTAf^m=RKJnTV5w^~F z2`@mKHf^$ZZwRPYG=9SYNs{C(z#t??lR0QC9hlsU!Cf-Yk3o?V3 zVx+l!f33#~?qLju>xplX+ZEoQ5g0O_VJFqX#W;EP~)zBSl$R!}ozJ{S$2#;UP} zMAF{h?$=c&&X^$i{hNQ_;#v#m01JTip!WdXAog!j%y%J3(1ZzMmk>;a&0jv(czxa> zj&$HQo81ds<%HVvuEp3L94EE`y$+Tq2*vF-9W ze+5kslzDdSg-g?tB;D|M$&#>56SPc=D}cHIbx+n0sJ~!$-tKDvVq;_1;5Gc0`4Wu1 zu+agSxE;Jcyvj~ZD6-a(52X%n)>33sb_0ownpT@ZTf77-+V^8S%Ovyd2Jr&aGU*=0cxU^NhdiD76 z#~()nUE)+-bzX~_(r5POC2tSq6Sw8Nd#+!@lFZPWdxE#7v{d}-f(xJzCJ-U#p~1C z4WBM;-gvqw*uN$2Uw8faDm&(9-eRwBg{5_Uf z-LQ*2#>AlMO3;4zJ_D|t>%rqgN+J+i_lEE4gL^DKNKifFeFpKsTfVZB1XZ`!OL+(| zrwpInA>MoNX@cruKk;E09pW}odcpyQzi<%7WMjT>P-JHyVO8%t$=Nfz5Hq;#Ab+Q! zMCQ~l=7={N{_BGLaG4Q~yUrT}P>K1Wd#N;r69Ma^K` z5ReKk6G&Guq*ngm5P3*TJ18Bnsebe)UVjBD@Q+4$ncKH161^v?#+K=2>BTSJe;)&g zIKReNTB<}b&0+=44-ecWaF5Ssw|`y4Qf1=AI(Cs46M@t!toh+umX3d37SuS#aT8dt zh1iYP(DwT_yd-uRU3hex5hmxxn`>gq_b_HqSFxwW6&w3%go4cCFBI4CdT5967y!yC zu}i6Tya;A0#p37l>HU`SJz{^M9B*&0Pp|LaLh<-v4BN!A;(yr~H3wzI^TDf8 zFMFS-eYY88*OaX2))4OR)k~N9wp=a-TbV?~JANYN6apux{u}srIq}s%t8(JsVN80; zivI%KSyo*2vOF2O{ln@j+?8wYbX5aW!6f0la6w~%(Z1W=cnRtSBj|m|&IhVPwUXo2 zzW_8h>`mz5o9~tS(FunS3S-9`<3vC`1*!k`rADt`|Xm0{4Speg>nJ zcPR)whfmR(8k5Kh!drm~cu^kVjV|{8a*f&j!!!&$UM-bW_hVaHfs2C&*pe3*n2Fa& zy-F%H`i-3nZ{yk4>zRDzHhu|(m%f{(*^(?hNh#JwbnsYi+kd=g%NLbzd6ZfyYk1^~ zN2q&n3C}(9E5aP$UkDxyyQCtRI1TK_@Eu)=$ItJAnYy@fEIzk*?k(XZS9Cod&-j>{ zF$3;ca0ktkn!lwxwXo=FZTq$L)?L5ucxG5;+QB*pOLlm2a~3m2zN#KZx;Msd&FmyO zKmVK=-0|AnQ-3Z(YR+eH<@yt5~={tJNlfMlcKjzWk?5sVt4>#Dq zbk3?0l7TrQ4macH2|VUD?q4BBkKy)XHR=1nbh-s+K7X9y$EZ5Trg~nV;F&VBvIWam zcClkq+c!&QE$LBtNaa$bw*r42RMorr+a}{c+ezXn0@a z{0$D$WsclEEq&Uu%Y!bTL$TS7h~(wv<>9e-2y_RY$fd|vwB4F^>Fw6E`$>@NfLg^% zs&t^H;WN>afzI@O`|@Y3TzT;2Ng)wGx-ivWPOpW_^zGFc69T^wy96Qp8(~^%^q3{U zTYqMl;)YTl#%AxbdYd+FvVq<0?JT?J69!FxfE1Tyul-Eqm}{pG=GVZy>Gj`unv+Yr zoC#{O=R{P}SSje{Bnk^sU`!*p+herljVTy^X&36KiTV?tVq@4jN-c2%m>?@jWPiMB zxtipGIxuaf%{M zX9h;W!x7L-z?&sA#ehZub$}Z{f{P91RE=9G;;bON7l?SHUF&)EaRtzRx=&_HPdt1k zNitC5^+(@*g2;`l#PQUl)Q<#i2Y(F#BPAnA@-QCi0bu5HJNCjVZm~;OioTe3s7+_% z2ijNnw4T@c+lA*AHVc)|LM>-rUf!@HsYiC0TAFtDeyaD%;>UAo@7*g~L2G4ZnwnUx?!+Za^tE;C*41;lTz$N*3MEiTc7K&GH9_AO zha(0c{tL26^Si7X8E=<@R;Y~-hJDh9mY?(?R9yP$@Ug=-4;w$W^);Jop4@!R(Fu)y zyXA_AvsmJFRY5Tdc99ojV8(ASXtZh}X&1W;0QwM0`$x`dscuz87cF9ksYxQ^E`;50_S`I)RcqL#!#G;~Xc9npMtG{dzuhKCmZDd`{(sEt)wW-;y-*93 zWJ~H6ukl}9#EJhrC=C&>^hA9=dz8w>VqWVwaY4Wq$Txv&v})%v39n6c;a_ys6{x`f z8=TL5;Tm8aMwPH&R1|xcv5Kz@`IRepK%8*=AMs`OcS54bWdbD%{RBK^`G|s-^xEZ4 zgm=X*=Hjuye5^4qaDUCw8vH`Ix8nShhq7p~#wPadJu$p?je-X^DU|f#jYGUX2FeMs zOOfu?#3A6_0)lP9;sQ!^0P}WQn*tz7@*L0(4^_S4su)%B>}j%RE0BRv_}K8(5wG6! z!nhZ%Dj4VaanJ8*WZwIm*=;Vg+6nI*9#^Br6-~}Wo}u@O-hcBC+7IsWb8C(ve4z=& z8#QD^gdbqhWjjhcVWh-x=6H1sGAg8?bO*w4Gq1|YDuWvAYcLUT)IMB0XVcr8#@8F` zm=TySHH(j7Q+=_3^x8#62SUbuiQIwEn-IEduOhNLL!`PHJpGG%+^VWgHYZHSr*r(fvfte`nxwEB8hNy2JCp$k54avU8K1g ze(mQ|Fjg$yEC%+(`SAe;ND{du7*cwv<^co-2ChG2wPqX|H7e}PPd~*bjU0KG2iBG) zh$#lH4uNe2_njh(!@0u@ zd+a+ZoquXtVvjQ93^PS4n~Pi~3Soww7gx0A`2 zrgWo;^s+uTDo}y{MU+c;y&k&FMV!&VSd0vAeSZqAf=a*xY%%OpOuvBjWz|*{Rw=&& z0;BvCt>xQAIs{Lh8s`0QD}_WLy%OVpYgkx-Mo=zcwT|%o+LwnK zCx7ALqYp=;gb_jy?WOK9GRCy|&dq)H#q7Hsz?x@0uIOii!~yHhMV$Nk%Ac-$q+pz- zH!fYd>G@6laM8^xcOW@AIr{kV<39wR4y-z-?wkP~I&}E*yf;@rZi-xa&A^!qX}+bj z{gOg|o2tFwwak&mxS5BNkdTzH_npVyX@3TM-Se}aR{$KW%OUYl=c^~bS{8O&SgP4< zezkr3_CMKFUv!8h3GB@SbMv40VM`lgi<4c4NQ(fYYChZ{a#w>}|tEty@PBU00BNRJvR2_pje=+->)7Jeij?dqd_R($pH^gf;w;}^KWYVDvd*Jr?df%MrTO?^qHL*zbz#4NxYFVRQZOX@!MKtPhn z)$yu7`NUfbEEfp2i*&N7CKrzVGJJTWOFaYQ{EY}3?{6(_w51-^-Z)afGid`YcC(S_SEf1=1?9~<9+~s@ZD}x+X70zC7b{*RbGWHR3zP8=b0%=^XR#7+-lrfq(ICyQaIEKG$?t zi6qjE4^MsgzPymUMRS+UomSgg`(RvL+)AKfa&mG=TwGjX_D_<ju49O2*I{!@dtStiMW-Esx=uY6TEO$5-NhsnMjPk@w}D zy|wo%cCmkvM_wyn->Fd#{32d6dNG-LVd(q{;musO@s8EW_g;82lLc*I+uOlvGyG5s zAzq!oLem(-C)U?1w4jQyn$g3o-8zf=v&G6Yh6Gql-8(~bt- zcxQYF?+lUZ0noyGeWkpRKQb(++Ie4goh)K4o`sz+VwR#Jtd>b4wc~xP$Hbe_1TN1p zuC;JdU{n3ZA%C(PxD2QgXt*mZ^SO*p#;qXKZ9MOizh~MaUmV+i{XUd)S8tU(3?FY_ ztivGoe>vWh_v(fcI#bay3QtQzkov&b1Wj1?7hYQV*N`Mn;^8*$%Wu?H)OK8EOFP_N zO}~()33?}(UV*%CykvJ35Ci8zDZ|&e0u`u0`M9Xo!hZ!&y(|wd`&;VQ{y#uqnfiRF z3FO;UAMfvQw6+z~gGa(7LyB5}Jz9JgVIgKk%9#=(QxyB97Dc6aJjxNJUlIH&u} zB{e369p?Pf)?jW(GC>c4wgRo~A_HuyvkKz5@PBy_ELNzdURj9G@$JMQ)fpbc&$a|? zs=wMrUNc#%KOX(mz>MVNPZrL3V2>&icK}gcOMMP z!RHkg+WZs&DvT7Pi`T{wBOC1-e_Y^Gh2*nFAyWyMV4??_0O=+_74Bwu+YOg1Bs|i1*v+tA8x0;qCjr?{+in;df>qLkVpl$Yr_K+-0r+ zml+@+Kfq#@eRJ+@`!IVU!3;N&o9DlB%-_Xn+(!V{ z1YL8=yl)>Sj|Ux86I9NZ0jF#Z1NcDz}-Tylq4u`X=#D~Eq=;@Xlrdv;vbx8J^H{nx*SfVV)c2{*ko zp1K7xhOfW=+8P=fdb@~hZ{NQC&H<|DfEyDA@)FEO#uLD%I?OKixZuw9A2XRu3s$dQeV51MAucX11t3B7 z6%0b^7xAj^61{;E4EWw&J@-r*SZd&X2WPmog&OnaaO;+1|WZ>z0Df#`z>6TPvGiTxAz zD*iR#|1k*Pqu!{o z1xlj44DTBlZ8@*NPv*l9CV<3%ekroPk!l-%9f2xv9>{zNB!jyV{1P@~N|e_*;lg01 zDnTq$)#XrFEOw#Tw|x2XNN?fUd2t~Bz`^Fh&F?(uIynC8%&+f{35;1786MfaMT-`% z5(_hBW_>f}n&%&>B!Aqv`#HqXGC>obaJ$`;c21qz!BVs4fxx@&Dr|@#cDWJZC9HHK zmoDOvUd6i^6`}4?q~nj|^BY#a0^ZViEu~%chh$r_Wk35**u`FBuns;pU8Z-BNwNOa zbQfpNe$i@)i8W;2{oUOy9v$B@s!>#jkz+@`RQXipSi85uSAX2!V@8yj0V@$A^K`$T z$Vkw#Te()C0)H0^Zo|{-2{f!h4a12WV3GY46eO~Lvi*103ZNKL_t)}M-7)B01r(CI1X|V z2IL+fi!_-dS7=`?yEB8o>k&x|2nblXeEIV37eaaux_=HX`{LXe?UU*xy))qOfQj$w zyUz__$ihvVHg#{&qD9s~9k?H#CJYyd4GwWka)@J6f+jp+@7#GY@QZEc%v=C*NEd_` z5xS8_7ho_UbkklXGdeRxD(`D-9Wmqnj80v@VSC49YNE7u*hKOA_$u7*TO3w^IE{1J z#Xeb(g@1OjcOR^Sk3BZc@zIUTsSGt+DLwbD>Ns`k$*SRJUVZ-6`N7%2C9-C@J8~}x z?-@>>HP4iP_H0ckTGlKey=GY8)v}gCojP?QMWuFwu3gD$)F`igT%510sAgzrC>D!_ zGbt&JDXrDaii(N~Y}l|Nn>K8?17j(>0zUA-JAc3xc9C0si`*)3aj=WrC{W5WOQGLI zwiYgpj7SUnnn8nI5SEU}w~fFR3#PRs0!Qn?FU_I)!Laj=^&f!P9Tn9Xg zn`D_5asv-7&IEE)|DOnkHYuDVOnNF-_gjV+kcV+*l1p!!dJYuUA7*;7g-CX@`qj$dKWy zSFbM9GrFO(A?`D7i|eRsw{y93`>oX@YBd>P&1g6@?9?ae-`CiZ5hGJGAFdoctAEnI z8}7Zggx+!O+O^YD@=~78_Zyd8d3m1-srC*!sAF`jwu5gKou!kouV=yL1BGe?Zp%1f#3{)pxmG%JHvL? ztQ=Ok>T}1R+jA)3Q03GrsedtjQv0L?xB~KoY?I`_-bgghe4=`b(|Oh=ftc<-g=dLZ z@*sf41CTZ~&yqH6U#P#+i$(SXG!B?tb4tyfY0ss#%{!7ecFi~zu8qjr@P2CFN*S8b z#JeE0 zxBAx{&lfSDf#?A2eFbTA;-eF-dwkfV$d<@xQc>NedaPZ$cBg@1#KmEM^wF*s_-dv| zHw$kRw!n&wjU50m`^Fnvd0@eZB0b!ozLo#QF-xS@061EXM{Knq!@^Tk||=yPz! zt4S^euUBhU8AWk?D1Q=>2`>L0u`pjG>>P+!6YVb^d=`R>lmFsK7O@7X^hG($Bm zL!OBqM85STk*8AeSZe%{bNwk0q}prM_T~iVtN^g)TKCw+9%aImFGz5c-PK-=wsIO1a*>6{x`f8l;TRPehy_0vENcQVx1yWPfi5oT{;Lf6}=B zLC7$QmVn;}^?zH4aWP0vP7X_(HRI@4*Pp==vN+76o{5^EPnUZY4#x&fNGRpQJ6GmL z=aOrAlDca{;j@=HI0@iM>aGh%=g=e3bLM27(b8-BIeGlklkak(ZEx*;!I^}wzFKo< zSeWN=mn*+}=gyta7}j*zTHpyO(vVmq##PD-uCDI;SiYx^jG-ArdrAlFG=Jj zg%^O;DbU&1hu1FhsK6TnKYO6HFQKxF*aY4Kjw@X4Eix;9jW>B<9t7o>7&gRBeV>f< zqHBbm4Dduqvywirf1+7`Z%&ohA>S=8Em8 zz<)}N>UR;iGhX%c;>S-Gu|^o}ZJR4RVN-p}&pliTbX0iFraH_|d@gXW!uvKY{kdWn zc}rlZ!k0GH9)6A=j^O~Yp+3alrvidSdv)p7i$&0L0-K_Rv&nwc1Eu zK}wNTMMD%_WK&yz-&k1?hhrKrO%oEfh<{*$WN$_?F1L7diNgJ5Qvd{ugC9C5I{#O! znT^T$VJ|_=Ym>Jt9dp&wSn4$)XM-7a9VejvDJ+c@F?uhhNAB@vhG&-?DXkYl4pIW#vn+ETOTtCb&n zh3Jd zJ=FtG{aStew5Kh-^g zF=-A|xC*!uqj^FhybV@@ff%4YK6+1&(b+Kqx<`1ZooK@J8zs=TmeM{Pe!p7G~53&K%gt zq^1CVHPQ-KQ?mB1RynI`Nw_kS~j;tYk%91p18RZMi(zr-o_=it{c87 zVO3<8n;Q#k6-dw7_S3+)xVWXo<1;&UY;1u|5)k07^3+qce0_EYs_wUoIE0WsO4dYt z&`0&OL*yMGLE$yu_-Iv+L*x|_BR~j*a7vgf&9xvR`qkh1OqndY{u8LzZDe7;2Ie2zcpluB?+T(x?rv<~ z+k6i880UTf$O7j14(@_~Ti^-BT=QwB4pm0IvXh|9HC4{d=$0Drq4HjW^6(D=^#rE- z9={j9kAX!%OMywg@uFwIZTPvzgH^MVL?$W>27g}nCA(g{ieYOu!w=a-V7$V+3SSNI zbsr^bxBseZ z(4+cjF8Fxw{)upiI0`0q1u(%+@v6`MRp+cg1^#I$Ptx!-hBxF{)!4{Cd?Il!phD76 z0-H8%3OW4#`)l&{?!EG^<;$&jUBwGpiGTX+?on7a{MA=mzrA#6V$~O43URK zi#-k)-#>Oo6x*(A%GKXO-?v_)e{wj`9prtc-jc)WTi)QN`LL+>?!@_B_5stptvT7W zX;Z+aYd2xVn&n0WPz942%~vohf*Ey*MFN^U(A2!=!+qqX=cyU>2z-{H35C}y(|;v| zAYSD!YHJyqL1bV)A*U-9Yh~(T3l_#|U){4TdD+zJJ9a;KVAd=$GBV!0ch|0`0Fp!= zJyg5)U5@zpW|!<9XTIyRl1A-dv=$cZcL~xMA5EMQ*XoMiY{8P@#yxM?cs8WhGObur zzkW0j6dD@(L5mhGvev9wQ&m+L0)H=b=+I$h@iCS!Umj_(Sn9^b#ceO^Jkp>E%yJ0|o)y>Jt$?ZgBvZ_u2#A>yE*rrXJYyi91H`vtXw;eSAB2tz% z^n&7mLmZQUTNNtLrPA4@RPGG!`Jl0?apn7;UcmTvu|Ej3?(gUyhf<%^>VL4n2DXX0 zi32|vzXxmcSE#jBHFk8l=PGsT3ueZ1QNIe;pYLi&tfzqqWR;$R(quRmga9O z+SjJG@+bX&!ae*$_zTAuakl5m>{q&-&dh3Z$lY>Mvtt1~{9-r}rwjL)e*g550r>&Z zHno*lCGg5>O1a7?^zLuB$A1CS>^9cA!HV}YreGg85@15z0v->TJ%|OsjJiR8w5hFB zo8|vC6i6BzHrp0|t%Yr>aew03DkKdR_(!9>46g%Ysc!Lq=vw~g(4s|)tnD9s@GFK5 z)%m`xT!8IFwQ7s1Jo;$wOE0~&dP+))ZQZ(cMV*6eZ~TH_^y5`fjei}2w_UH;Gau(B zZxZsBxks5_RI`?xoIJy7wf3#Hu-eo!-OpGCRt;Q5V0Im<9X-xNFVE2XvmGL_B1j5yTLw|0cH8`nsclDr`g$7#H- zU$bUScU4`udiCl;(wm%|9Bn>xCM7U6HPk8cTx@LYgyJW5I)9zdh}+#a^5&aE&wl-N ze1FvqKJhWGLx*}078d5t$mrEybu&O*T-;ix)0q?z5iuh;IG7VBPSgZmzMva=KB9DC zWVh3cqhEY8WX7|d9zSgA(wnrn{#@4vQu~NFcd5p%FLQw3L)1(j2+Reqq&dWBDv;xJ zI{S-APmjm*R)1Vv-174eB)5ceCNYZ;Yk;^`4;=GKC0)`hjvKzY%smtl2XuGCsegL8u z)G)CuWQ-0EU47{OH}=tFM;gIdCp5u5StR*mU_6N(Q-ApCzG-Z@u?3Y*q(1U%jc;4z zx@-N>cU6H3RN#LLWfET1*pr;6!%zkO8Yn!9kJir(I#Bgke}^OARHe#~XU?4Inser? zDeI)`4|NY(zI=IPi0XhAEnA9nnD2TtGnF@qFF_zoQkr!vtVdmHXe|FqYq zhOO9V1EI-2NUw6>j*~H=jjlIc?{qqoYOSa>W`FhWgN}Q5%2gh-2e-?OMJ(rl=fojP zG(o5OA-z|Go;VR&r%@x;j~zRhVuUw2IXODW6*TUOtFJKs_{=lMdoEsFqOflD>eUT` zbAv}+(y}f3#v8wOe&B%;%hP%L_MHxB(SpFhKvdPQ)5M>RLbChfi&Il#Ql?-IkdM24 z^?z9WSGTmK7U7# z9=-GU3;UX6|6qL!@Y^WiLU8oP8?EMAwNB-Z8Wj~#x9J361eg6V8=-J%ST*(?3^RVj z{!<*yelh~BS9pS__00i-{EaQMBpfS8F^ZD@RGbTkkwy7SL#O7=H(63MUTz%!%6t2G zg%m@U6Pz%Q$H>Bg2JJ@bh__Nb#ed(}cCnY-Q1uu@o`$H@==^QhX*o^wZKjDk$&d3DSsNN-$RTyk<=-i);`yl`jsrcI3; zB9jtSp8(i@%YJKM&A>d%8CWz$OE>pFDrA+v-^o=lR!fM(4~WH0R^HGjlpddxk3 z{M$h-Tb{C5Ebsar|7XL8>`n&EJogkUL(h(m+jd2_8vA3G_91QAM^t_qyxwaIZnN{nb1pS z5Hz0;Way=uO&Nb@@rIrrfY2+}r_g+>_k`rA#(fHW3Lti3=;W;x zyz)D$1l*No)Yny@02O}P6{x`ZQ9*eBhH!}7rf^yDZ33@9h2Ug$+XnwH>s_8SDHp*P46f)myK20E2|1l{~P> zb>zss5!YV3X4|#f%z}*IPs$AF06iy3@(><>Eq?zTm^_$Teou#}bQ)xY6Bv|(tM6>C z0}AwgOA=pXvO#v)j(-gQ7PH%X+fz!&QAJlpk| zXMDS>0r};wH%}I^KB`)#b)3I=k|a$4`n~z|oBdN_Qa-1kkwEC#EIiyZGG*k(MzZw4 zNRD;5kyBl4I`|QhMjMY4!Rm?cWl+YnhF4DvqkWdyE+SsZJH z+dTlYc}w=c{(m*DQl(0TZVF>WOf|u5c+f6y1p!gllR2q7>FccGSu`swa1!S{|0>vD z6Lf?)#0@-g`-0ma?XjT8B*=X#UI#lU0~dP_ZK`kC4fZ`L5SAp0boWkl{o;K0=zQlt z-|^E$0?P8}RlO`vuG_!Ia!3Q*hO0*yT$qMownzha>3@0z%<#n@?zC2cLO4VoQm^Am zF&wa|Ua&Jn1uF3W9TkN4ZwQ4xeu~yyb`e*lNt0^WMT+ZV)0I(CLd<2|zr1<+jY~UQ zMJ3XjYxxk0`T(?B*P1pPuMO~sngj9!-UGEj&Xvl9^+MJ7ZP#v#$4gpnSb0OQm7R

xZfR7;Uvhsa#P)Y2}4@3*Ob;3qyS z0)P5BL|#nL(mtUMk=G*N@g$M=<5hjTIx}miKWZ|K^_Scmn ztLuXG2blh=aPKsS-12xJk3E=2&=`@g2dLhh3y>sohr%p}$e~aQ3c_${?6UdRQ$BCcK?Nr1^qnNBz(Hc-ecq>o=FDeM{ zKL-jNbDPcilp=R8O*&57TCXzfsekNXZaGn_O7)f(0lb$=aYWHC| zW~m%|C@tw`oV%3EeiYgtEjEx9=-X^}v+05PfelcII2(}$6uJpiJ6SEN>(^bk0GK=` z4^Ur_97SLMYv2CGBQq@1{QS7*$JIF$wD!IaGg>L<=AVhEXFU_y;dWTR8hy870=ef!?()Tw*V`5m~b z54PUwVu0tVdyNH-$JqC79)FI03k#x+qXo{XkF&$v(8h6RRxSCp|6<3N9y~^AD?*+l zq-083jqDO9z_Ok%Trh8lbFVYcxwFyrjk;uAowd8`=SRb?dCxKc*0-D7^wm4cM?LRs zL~Vzcb3obN?2Wi*#(N+0FL&eNt4Y>m!`ug)`Y-M{oJ{XW3Oq{Y_pZKt!OUow{+g^;@VvOp<}1gZno!{Hh#y64;oG=^e?1C7O$8&S%1 zoi7_okACl7gW$wq^?wXkK+*(k=#r*&A~9gF@Da! zycnj3*|Kg1Fy=9l`-4c6-3^2*jC6~P4@?Z9_8dhCTs;fU9)AwM29)0h)KKVM2%V|u zT9S+{X;n8IE&)Cyko6I@w*L~=t2%`Z$&Xxv>xKG$3+|MJluQUI`IlvQjT&=C)r_1K zyVem>l;5)RmkZo3_u1oVGA5RiEsr&MZ0Xdfh@l001BWNklyIA^YGcPnILkQOrjq3?0BZI~}&5y+2}Mc`sUd z(aRUD46gXoVrvUpY;EEHmLW)vAQuFl`|i+p>#psvHh;$J^!{5R+@%z6JKM-#*~cj% z#p*fKzzAuw$qH+|){1Pg+#yW8$x9?X?jthei2#v0(kwa+pquRjWt_0Yp1ots!F=xZfXYRyZp-Vk>b&aZ zd-G|m^1c_Wg0>sHBQ;s)`@r~?I4%*|t{?!P z4)43bU;>O`DAVD66FZ19EpX-UM!oTvDK#gF{(o&^vir_m+o>_vUujlmNf*bUwr8=S zBjZC}+|qL6qkp@aqrWWBtNl}+&Hye2MB#x{k%j(S`wD3SH$&JFC2l{$lR#67!(jfc ze0HRk)tneL2=RQ)xqDp!-@$_U20RE981QikB_WY0ZH?n^g*N@ES5f<)A*_%sEn#m1 zK!0gCQ)YH_;8+CQV=#4r1y=$M1?B{fQ3R~Xw-Y&>t56|{%ZWLl4?%Q%Z&l4VMdn}| z_R}iS;e8XhPvGr9gC0QOO@-$bGPVXu+7kFv~4EV_k;(zPwu#N6S-(hjlp@#Q9%(0yHM2ClbGUz@b z_m27$*D*jF?QE9yPr!*s=g_##CJHV*hx}Ei{P4dP;}og|yjN+e>ME-ED^jTr?-~D~ zDjnXSm?G~016`^?1HUTt!ZcT8G;dxgKTE=%m-pFiJwb4irI>lQe1enfM+R`k5wAq13{~txE8V%oMYqqNgP< zWMJqc)Niwk&;g+Pz=)6J_|)6^$K~x=IWAfCw34HUX*L61QkSbjiq=xx;$8r_OEro` zR!73|Tfjl8vGrE(pc>n)LhGXFoqq-GhuvFr2e3w6t_4sgnKnydU9##Vw@7$1@C2rN zE!FAu9y|8;pRc?!BhdfS6iEVxJK>s8DDegVRKVw-8h)FbL4b=Q2I{R^h@WKCY`L+X zmws~vd8zhiwLcp$U_dc`GI(=DVs2EekS_Lg%iock>KAdzd%%Y6Y`^8vcYhysQou5l z^YMg)F#lFKT-$U0x^|21D$48Yz|sFEy(zu4{!Ec*hph-R75F){PMu4J9XL>?05D4= z%3@}cB3;UKcz3serB^}taqr!q_E}sH@1FZK!lS?{^#xIGTF0{8uEc??Owyztr~6s|tAA9b7OtX-)2C{M z_YcTykyaik7ZGlNm881L0gmvnu%71*YY%4;*|Tp?&vw~v0c#(*d&;Xv=iL2y?-dK9t>ej;f0%rzHKLC09hI-u z#*`Yn>FMd!MP!1A6c-j2KGCmVzo0j8unmNgfWScebJ!xJvZ3vUhGL3s6uN;!4Im=- z(~!SUi#vB%({=^LC0GrP_hb9^vbsKFcecXXQhi{b8bk$p_J8boXxXx5S2|*1KJJ*2 z@eokvpPD9e6EM68u6FsYsxDcwq@`gPPm0Kng9i^jG-SvSuWv;#|5lh2nilG471L_x z;$@2+4zJ^m#KgpR0B+Jb`)A6`D?(yJz7G8*boR1p%l0`Oj&9w$bvp=fGDGQf%CFbi z`)10_{tg^pG=DLg%#>Vdq7JpU2%IHw{Zy@WsMh^=e)sH92hO)|;-+%hynKe>G*g6# zu3e|*j~=+^gHPW2sY(4d-7mP{g8bpCd2Vs5Z-RbA*-zYlgPa=8*wxCBO=zQhtOg)K zb%*`uVCmAO?P^ZWS$5?9XJb9tcW~Fs?dFb{F(C>_xPS2b3(X`m=}vcwJ1etC=5iPI zda-YegB!a5syYNt`dd1c-tNha(bFkE)f}Cwer3ikfNHM{G0m<`4@h=&mZR^muP^+x zWnBHALzY}v_Iq}EoZYaq*_C6uNNgyy4Se5#O{GC=ik3{S9{Tq7ItQCM+pkz3);ayh zryhLjoqv01G@~IUFLk)g+`hPHdJ+z=RXFp({x zvbk36T9MlhY2x~l*Z+9dkt6#wFYh=YURYBCR|mQf7>4oSS#{64?x-F` zRhI#u_?Lg#^viAABDU3R*SI;quGx4O@J?yj2pxZsyY%CwYtOFNs>6{TD_dsOuHB!1 zL(VRc5jrCG&I|9nvfjRWzm0H@h?_ZL<|Y+w4QQ=HwZ=Vr-?Kvn`ROKZx)lwcWW}XA z?W;L+M%7DbaPF$lW}jDWSI!_VxL^)|*ls4hQj=4E#J5$e$bJh9gX67>G1jZbS4bTk zhDXJ@Z?x((}@Dr8Cgi}Qh0)Sb@YKa}mV ziYoq$R2jT~9vr|}%i-`;n>_iPo-e%=xLRt{*Qds0XIdMH9TbGPOU82Z)1_rcc)hYD zV`l`fqPkRrcQ6gpWAvfF1DSw6Mg$u>El@qL4DFovW#q(?x$e zXXb~ruT^thUINGCLbCA-sB>q#Yj{dL+!lKqModg5@J;!TqIToGd#|W_;6O{@+SC3v z)epmk8Q=-o5SkNOYk|4z`RQrJWEu*r`Wed(A;&V!3iv{jPs`LGzz}nf+ed{V%>4JAL22NPnYQqGkHF!tH(b zzWj1{!Mb&=IeO-HL1mtVGMbs;h2e3JKKkgs88^@P=9V{aSrvCU?uTdZdv>USu`Y?E z+bT15O9^eC30jdBgoix`FZT$eJV9e6r+| z#gRmg@7=rimVbMY{^ZQLk=3&oB~AEGv>g(6AdlWB;`vnL=K^z~REKu~^jQFa@$EDJ z9Y4G(s;J_BiYkNm4~Rg9255ib3X~+Pj!ZGl6@mVse^h$)>a{*2Bjc`LSN+=8@vx&+ z+D~au4cFo23==Ws5!81bhOirG=2!d7yjMi#fctAYN~O=TW{T?@NuBAo2>fM>5NmUdqZFvVt?G?9xI5a z)|gs74!UPrxpU`EO`SV;S7~ZtfW*YaLZHLS@bH+D@#C)yg9Xz?29_GViHV6{1C8e` zTP7BmZjlE9Q#1c7$UJ`uC7*e~RfXY&Q(NqB@jyQL3ula&u^ku+tVts2j#C-C0P*qh za~uxGM_V4AI$Tv>0T#~?>3y^6R$$7%+Q&4J4h~pcxPHCt9e0243l=Z_=A3iRDYhfF zQu{e^_8G3j=KyH3F1YKHyC&VZx7~!A)$<2$J$_KI1N*A@{p^2HJ57B#9I%bQ(CTBF zqz$mJPMP_y6hXdpsq3^KvJ~cPfEygJ;j}wgMHPPmstn#gATHHuz_e4I_(w(fRov1{ zlXZJSf}N#p&gF9&Sy&5Z%j_{8JY|vMsiZy^P&)(=x46SoNnPMyFcRY`4_3XLY~7|9 zxii`NFhJZ(1FwGwjS8J`&M)T-icXF`vV$GCaR&_oZ2Y){?P-VssoQ}Hfrnl|kmF8| zbHD|wF9_z>C{5X9rE+V$TOi(b?a*VgS)6z!W(Mv=A7Zr1}X!mo8n7by1y@CgKQ#jiv|+4<)P4!VcbI zo`@?SOj9HS=%_FuS+#;eX^M=;elVEoQmwGMH$6;lnHs_?E4vVumc%RS(lNMTp3J*6 zpZv9ubqIg%yTT-;hD*3UP$SkYa;eX?WMfmfG*Q*ly?giE?w0kcDWVEfov_ywSrZ9; z1AS3viJWV}yTDNkt`1DzFiWJlWxIZy4de^-8@_Mfx25H?Md}-nYC-jxlC)}s>RNz- zQ3L1XdpA6C%rs^17hhbNcJs|UEN~^OrkB4OL`;8MitI{-5y`6W2ab_j)B{e47N<1Su(nTl?_G* z(B*%?SE`9YgN$9ODJRdCX63HnxdWv<|Rv%AHf%Y;3bJ4v@r*#J!U~xc1=ROPbaw zfh+nMM|OD}o+bH_`7w7+zO!-T-Hm^8cpRq`S-)eNhNmS-ODf8~mEl{63>su)vFU$^ zGGhT;bQlc6irPfP=G1Egx-gHmt%R%c;p#Zx3X9e)U|(YZgH~T+uWI?Vb#`Oe+(H3^ zW*_dmZ{Nm{1|fB|NIwJ)dg)M4__2+xLHqpSM17`gWj|SUdz#3z3bXA#^AG_(y#hZd zH1fTE3_qXUA*$XPB6m6=3lLv?KJz1Jx; z5j%x-x_|vy&<3LbYTtv<{UPB}$b26P;(&4nuO{mn zaf=IOG=A66{K4~?KX^Xn&KE-P`S4D9VAAN+l+Z-td3vnr=1twqGu{KGs}s)(Wd6LC4A`OXeWuIV-?G1W*`NSvpR) zNPB_VUg$hR_ihA8G0jH})wKy3BQ6p6Hc9ot((_G884Sz^jyqVIG+dXIsT24V9o_>P z172bED3>OGke;6Y0I+>$uU=nTut?x25DwM{1ds#sW8ev(sltCpf#t(ty$L@6ov^cw zmE&f}cH5uPAD_RZKND50G?5S0z7c;IXzY^Dyv%q)``ae!iYV9}*oMFywdMSN2@6Pw z0HE-`z~#UW2L#hr{fELw0@(r;w1m~}cQSCy5s(zZ$LH8%(~oMLq`x03Qd+ z(+yGenj&vw2UBLm+7Bq@5O`m0!+Z5E*c4cUjW8EN87j~6i@sIr}Y0aVEmj~ z;~qNev(JCpoztcbD_4I%$Q1LssTe3?RKxp|DJD}fY8bF90xK8bI7Xb8*?AV?h{Y3c ziaF1vuG{?E)@1z&JHyjS5mO$w|G)#v9?JXhzK8n!&IH;f@_Su3JoXc5%BNwqZNU474i1BSvU2Hfw2)dG%Tsuc{KF{(w6iL`724O3Jr zB+-9BRb3*TXF=oPsyl;~M~Pf@4C>#kx+BO~&;&;8f{DXb%T}lTeRkg-#>~q=taw2Gt76A}-a%vqWk* zAtKlqxm4G`Dbh3o3W7;@C8_S2CsHe54$6PHQT5n7k($L&|3=jc27R(>;dGIU!=Xl! z>Yl*ziK^BVkxQ#X-Jo+|_wlkBB26os1DEQERFR20VNbBc6iG1A1k`nFr-^ANC3C2#h7Q3wh0w+zbv&I$xMj-TG{DF`PZ#^FzaG69GJz{`KH z_{+5kZIQS6~mqEsjAdSJg8H^(!?Wve0&sUuo4H)Mji72Y5;xA352Cr&@5?r>a z0wNiUuv1b-ouPjHr4cwJQ10fZP?V&4p35{>B&l9pSzT9}Y(E;y_9WHB)BU{k^z&FE!?tyv-eZ zh*+L`bG)l!sr)9ndiCm%yu7?d@$vE7f|aYQykDq$g!@EUvX+z064#R6;8+f_9;7a4 zB$eFw!o$NS)lwaO?AS4C-hY3uD<(}!hZ8Hj7ECgNthh;lo@!QB)|i?#Yd(KhtzSO~ z55Miwv15M&SiE>~-LSB*?Kd}R5_YhE|KE}pE*wx=XSPTyFTAVZa@jtNl-7xei1;<+ z`|m@m4H)pvHJ^M^x?-~rATu-by6EWWJ3Zmy)EF^h>{T;nd==Qg^z`&cMC1a)FdpyO zv*!;!1E`|0TQ-QQ!+mLdgW-Sk*9>ZC0r&zWPZ+zOOBnfl0`x_htjRjI)QzDsN{#)> zNU;ObD&(-;mzJNe&<$sA zxGOy&y*@x_ap>`s)RZ!}i=9n&MtnZt^IoOLH_yB|%30$4)9of|vX;A_x^Hop8NBM! zMZlucV@XJS5RP3Dyb)m(quZ&4=}KpMk>>=qyB$wo|@8z1C2U~TLMz@ z!rP7CKD$|qF4TMYVe&;P1mPsBuHZDTTUBix9v+UW64|L!nK2w18hUn2Oib7%b?Wf; z(W7PW`y0E-e#S0e*Nv&XrO=ZsrrA$Il%J>eSsO8tf!q-tBOBAafhi1IFH61UFLCm8~}R?V;UA( z&WJY0!|IhlyvR2*RJ)Zu;0#yIppxHNifLZ%due@s>F2f9p11aS0ECShGc(G3wZ$#X z5S}kR9{m2`v9%A?{?qLyiYXg`y1v6}%Kfb~X9Wv@gq}%|Kd!Z%f*K8m;2LjG`gH-jA>P__@`cR!??# z97no+)9vBjOL~{BoGtIZywUb%+gk%f6+|5!`2N6K42*Ko+K)Z_n7vAL!<04@M1fck zR!|7mTfl!upm6q@vuW$|s2K2$x7ytP`Pf^G75k;yE+!_XX-u6MMs67SH=s+9(^_CB zmH-XV>9weXl=%SP2K48{#~2dvsb*hd2`J}Uhf<@xv@KM-aJR` z+O_XFdi3Z=IXO9p9S%nYIWVu+J9YEs%`JfAAt51e2bLe1awI=%Tb3nHh>^d3Wewuv z|CO)x@1bl*0Oghc{)bob|4C68g~0Z^U%Y!HN=FXWhne?5$5IE_I z{$GEXSW4XvwCtXV?>MqdbKD{Vs0P{stbJgFs3xA4#9{bx|2CaCv$nA1hb#1oN__%niJa@TgP8)pIxl~x zs4mIO%xtf!vmFk{H9dOt_|5MW$;il~P4iJ;>uDIfCh&7&Vq&Gbx4nD! z-UD18tjsO$dV-{dpC3N-SXg%6fd?PFI&J*;r~Lhf{~L^}J?_F1+Cc}t_wR`L8s*ah%CJv z!grENF5AwA<^AD!Gtr*~%CBqz%68xD6_EH9WQ+vkd`_r% zR7#r2bPMYEzbEjsOZAa6)AcH<`15lzgLfjfUv3UTB7<$TIY>inJwZU{0G)r&WC#C> zC|oGm>I8o86;*cre}J6RJkMIzbJ;aLm-YO#vJ(;#5=M{!X`|B4668h#vaLNf@v+T* zhv#4ia)bkkcTT>u2wzmW5o6T-u)fu|B(Lc3#^a$i!$PD@iC02n9ac$1hluhL6h(x! zDQOcjzu)`{TY%43kBEHZqT+w{Asz0WX03a^!Zu)7>l+)-zij^gkoqC#A76ajU9zL( zvdwJ13_wIAdQkK=cqlyf(XmgqvUTivcge-AH|MtVa#dHQ^Bid0$1UzY00x`FRnl&7 zR1a%@=)4D(=Ph*>x^ert0qltu-WUQoamwg7p=E#{<wdT{ z9RRQQ3lpZABCCK1;AWR<1(gF+2%d25j=O##%W)3&$J#aBwr*4TipaHWdHym07*naR73XBAno@C zo&;9AR7VFX?}{zEwF`f^$rTWkWs0-~Cf6J{u1Q$u&ew+3uOFkTomKT!Rh{cSaA5bI zVZ&Ns?=lT?+5Wu#_3LBHtu+9yas>ponIf^kOF(;IpvxDSCXyZw@}Qf|p@sl)EUSMb(bWBB-K@ZN84&v+-R_TJ;u*?k81 zzLGgOA9w-VkGaAeR7%`IsCfWV$8)wL?_=>gnt)t_t$YE1Wy_^q9az;H#DAW!0$AWG zGkC!<28xS0Qs;kez8C_Rz6(pP;y`g!gJ!4I!9N!2(sG*P?&0n-gIAMv0e(s;3!RnWm!a1u$s1rlfQhSOl#<#4<@T(mfhlSO|yJNOzej{#t?IiPeq>MIjG zu}5Qje0%Y?SKXX`^Fx|gxk^WpCjCZ`l^!u=Wtkk0#qiXW)OLb&bgAnBG37;sUZD~i zHX?RJ)X30bFXZKE{-Q88d%JNsbX_?9BZ%_XE!XPnmD77$P-pu92c1&B{*AF1uL^`P9l<9j~-~4jPvW zEHY}P5BFy6Ztu;8{G~4*gZun~NRCt!-2a3f5q3MXx+#y&EH^eB90P+dwink60B(1S z+m|dO72#NQ*l?kJ9Ql!3-048mWKHf0;1(GoC$fLZy-0xUmMIdAEy?A;HVXaZ*qqD3 z+xk&%YXVfef;nwFc~@Y5`XV~yO+N3qO#A*8`Sn+;2X}AErdTH>6HSqsu8K+o6M&0> zi%k*JrTSe!`FNo8!Q*Xg?Qef>1uhY%lVfRVSJ%AnzSTg3(9qDhl9H0c`D@p9;6%o* z5mbN7#x|4_5`Xssfh*#daw0LpgFtC^z3L#tp611v`?-765Z<6QKW{R*_XbFu2g}|B zdpD7ZR3nMg2@JyVp(p8_8tO1ZRI6D83W8-&g-4pi3olaHroG2AhNOc(@FM zP*Aus4UW`tob~4}Q;tXKHJ%%k(UxsmN$sQr&ie?OX9lp38TCFdg+kZetG)|92 z-Mvsf3*Nt_DX=cEfo3%G>|lq-o#g(~|9#VCmPHr4aR*j&?rOa2XLj)R$ICwcXH-el zLEqLq0GXMY&+hQ-xbEpgPw!n{5JZ1BUFeKb>Jw33bS&0l-pzUU;A`I3?Ct(; zY^NJt)TNcLL?;G+yHuqiXZ6cBgjUq8BzMER`-c5GH?ZAOH-^>m@$nDhGfQWSw5oG( z*o(`0H2CMMD<)0$uaJ?EaYtU}rO}7}{*48cz%Q>QYw{8(OE&No_R6epUUz@YNY>;s zE!;UGF&;+040v;^{bZDcHe8t>5%YbmL$!{E6^D83;nApq$X~m74NrAXNZlWuo@UFV zbEB^VNE7KRc1l+-wn1A#@d4Y4{tnw9T?*h9cT+*Weio-;A(W|mKNG8Y}1VcyY-qP11nG?ZN zYY+P^2hpMP;J?vDlH~rP1o zZ;xp}c!3g`>oaiVAb8(JXhys5eg)3rlbbe|AfX|Yci~OcM9(~#7n4U`SjFZm=aHR{ z99=wb@jD+Eef&dGWRiF3(xn&l>eXv)dU|@J=;-Ki{oD43=~@vaG|8oFTMf^4tD zD*%ZZiEbcV(RzPwaj(0z=dE=PH#+Ps@su2IwV_ph`=8nipoMXTU8upq*%M~xib`-l zXmCN zBO~Jupnp_+eBVRR1~}|e-J71CUdx-6H8s3`{l1=k`{I8T?iTnI@VIq2L{62fhb)yS<4bIguXHM#*$FF0+&V|U$}Vc=&5`*apFG- z4ZWM<;-dc)=0JL@aGLQ)p0XSzlV+z|R&VAPDDG9B)!>F@#Ti3pK za$qc~Y^i^-Gw$=tJ|CYKmDeS5>V!?Ba*IopA!*6_MXu&8WcAyX?P^(&76;7uaCX*P_;u%fBa_6jsi!> z5r=2rf$raQPr~oP$)&o&6gdw#?g}FP646gz)mj!SimDdq%V&B6c7JU_pS=nV(^JCG+Vr#cKd(@!BArkd{4m&m^zd3lpv+iJ*FKrRvBO&@6#o44bHV1or8>hDSr4oa zoP*P#EjVQZ;b%uQJ&h z3uluktn4C;s3^n}hHn3z{rn>^1tl5)gROtq0lTGqiuz>4488`<24;c23p@v!2iC~S z8m>%(xr!>PIKjzQcwgXmNMre^;Hy=|?Cb^2W}UU9YBsC zou(bMoSI`mQB5LJ-0zqvroM&|9gg)g$!?0milV#@ufvfad2y(nK(~Stzz`i$fNy{Q z{P*x!-N&-4H?K}eUWnu1o`V+vYZDU__he*btlIVKu8W$~XfkaZ+sbVx3$lLDf)7SHn5%Bu?*D(DC)bmE;I0E@4$k%5_~UQxf2e*Q z_NrT@l!Qq1Tq==D`Y=SK;j06_!ST(*Yo@?d4Yay?l& zkT>ap1!p4*`T%r`==Sw94WAhuH!RNS^?E11fAsyvX1k9E1E8$z{!RD&O8Ep9F4dE! zWDPJDN`O7{!y_Icwjg3{yX}83(tgWGUYykPQ{*OK5rZGTjt^b^S>@%Yny;&C>iHUV z`6Vx(r2WId;gggfBS;oGzw!d$8&k}SBC$4n-(kab-xoFP#$_M!bNiK>dE(W&8Qjtl ztQXeZ@Xi1}xaE2@gqBymryE|4z;VT(f|}PGW4I6xDzD>j6wLAMo1o z9OZV0Qbij3GU=5=;7h-twOYsO?t6G$`*o23VZ~vE^)mY%+CfNT2kPw{b9m#Li&nJ* zRe)Ygdo{GYj@JK5fB!#Gmp~^`!3|>vvdH84QO9D>(E`q7sl*1IcQ6U;?#R@%d zPzthy8hJ`Te~s%%K@NYp)b;Sc@inTb;!n%T4Bm9?Jol%{S+2ku;91p#lij$gI87|L zMFOO@6x0+M-T3jxTbm+lYK|M%pxL?S*3K<1X6oGOJGboJT5Qd|ErjrbV#%(1^r5wl zFL;gG*Jk4!TbJTTN0Ys1!nxZrtjPT4(aZWi|2TUmCu{PV6efRvA$x0f)8Y-qHTRF( zf2DccOmnHrlaP>bae8`s!^A#`yAw2_T!ncu@2ouXLV`8c^s}bVlFvswuHCT=pk8Xd z7LhF?!&P;rs$TQ@4XCD}aA$ImKYz3szGo+6@fjnm3`K z8dN`ggpU$V+1#1poBVH0xO^!Ry11&m5LJH}_DGFsw692FV_}mnBwhART#xcQh&liT*XarIB zmpd2Q2}}!YL+v2GQoL^sdn;`1d23(vRobW-Gs@Ipd^)^elpc3n+i`52Lv>CWU-Cyo zOj(9t3rq;N1Za*w1q=c}G1a9NHOo)dwjCJS)Q*2I|G;4um)es%xB_R4l=1C}dM1C3hWs6n1>cGRP4~H*oy70x?v9*(Pb8`zsq+>!t z!e#(<=|^G;z{g_BNsV4pWGwarTpqTY&hK)C$jHd}M|5=bgnF;NRtMqZ0tbx`Xlcj{{Hab z20I$ec2*1jT{p}M7+$58?|I0Urt{#-<|S?*w8;MVJIC=~Vq4p2Hg<&WUqDEaLnMD= z251QwyLiJ{z>2cxu|Es8oC8aiA=c8pCNd%(h)3pCx_YIAj`$Jx#t zZ7y~DRW@ZSk2k*coczdqr}=ktDbNrY?NZkw{zaB9U3$J@80UGt-i5t;_uhY(k&#g| zAtB+gOI&pMU;2A~!d;Tp&iE z(VN~Zy_2d=0}hBSIfa#-UAw`I84Zlk(0pgzx_iR2v+Fi`_uZoWufMJkWEIh=)BPD4 z85MjnMWl6`Hf`8s7-7!$-Yb8Th}&O}gT>cItmG;K(e?U$*Pm3Ac8_Nddpvu} zsY=X|IWZnQA8Vn$(0_FQGHIgU_>Bm(fSoOJ$*B=dvrPwCHo$?sI@TB*Db(A$j5_b- zaHMu9&puxhEG|jBWcVou`^@p5H+XC9E977!n0q^1IyVAaabP5nhtq%4hp1fJ_rbwGh&;gxDj{ zX*nXx8-q6q^bikpT1H4AY&i#728+4-_sPu6Y%LWs_(CNocv4VfZ0!5~n7x%xu|JEaMN9F?5&Z@o7OfjQe>Z&wdb|AYr>!}~O zc*xbP{ua)6&F#DJ$nK+EI@%!uRa8+0oVnD(Rh&V5={Pe^c22*3{qlg<08Gr@fM>(m z8_b3M7j_8ogdD#7)63szy1i*xu;HFYdtyJm{L}mLBlF`t4$ptAcE7Z{Ly(w%wU6oP z>ERBC;~rJbbT}NJWn^R|0kiPQGW}ko*Jtde0)sVKw`68!E^s$=k4;QW+@6`4dBgYL zfB&#$S?~EPK$KEy^!AACvCOO9B8ND7CL|;rg0hv}7qM@!{gd798o*0^T&{r(8FJd+ zH#0NyvLAl>s^wVm{@k#y*MJGbFJ3lwXMQ}-o=NDC!|T5U$T}XPGxoj$a4r| zcg!?q%ASUMh{}t)F)cYQF)!+1oZ+2c*BiCR@%exzt3J8nn~PJ-l#5GiKi>GUD{Ahm z+2pC+mNT!|gKWAus(L}#Ol7ALj^<|Jytt|B=^P=yMhSoQw;jY$kAP$%rpVpc$t>=3 zsb&U#FH>X`wn6fQOV!_%BNK(DJ89M9YkHa~l2WD-Yp@ZE*8y5?AqsvN0J+t`^;(ej zyJ5?6t#GMU*h=+jz)k|T0eAR(di^K~ZS~UdZU;O~@^X(gFCqSu?|?Xv5Y_mf&bgm+ zBUru_C;WeS_?qluBrMd=1~)}&W6OTk2Zp$`{4RhgQqA}I=K@1Hp+aJ!#2Sim=VY!j zQ)E0a+~@DRuq?m`RzS&Ec;ItWl1G&W{{?);SnRa16J4sG2Pt;}cL2}3RObaL9|+tB zqjtkZ*;vd0Y~ObWn@y2E*bj=IVX_pfY>{F)a6NyR9ZgAo6IfZv9GrtKUA8Ou9F!yS zqE#2YP!v)W3y@Rj=zwgzUiQ?$_#sDT~e{)%csg+JI;{61!iG%%o?F=~L7r21PWRV*N9(gTwQ zVh4W3eRtt^9n__z8mx#ZV}T0*)^%8yY%{>(!k0(4NBqS^$A>oaTjErT# zy9BtuoUYMZ&e(O?0Ys{rE+Qj=hvVbp-=dsGY(>(Wr60?&a>B3MeO+FbH>+3^w1Tng zQq4&=%^CpHMIwXAk`=?gTeYHVM0|YwXTWFv?`33U+|jVO`20g}zPa6d_{;GESbl#I z>0&E1)BsR!pF3hEQ?Y~4<^Z2{`-abMoo**>seW{KSD>qZ`%C(~#sK$){7fg*bI{sn zwO-r^$9KC4xiAclL~?j*O+LP6BOS>ivY|zt=?y4eU0UB1sRcZ5cZI3g$rbP`kHXf5 zbpmey9|8tY&z<7-1=t*J0%`y=OmTlt1kv)+blD9cA{4$HY>G5?sRpi^KL+#$dYdBe zyHr;Pls^RY^S%C<@=H>YV6?t->v_%?j*>%ONwufOJ!!GSQGju^DdwU3nOYqRV*!p) ztvJ-arv2w>D5W7la32tud8$7rw09?9{~f#Do$U6x0hEM6PJR1z=vq_EL*;+Jzvl{D zI;!M6Yy;&&|HgfD@B;Q6Oea9w+!P4`UbVj~Zkmik zgC`8=;da&71CA2E?-mD}?!=yhK9$VD-N0qO*MW0TMtC6+K(A3#M_pL4G(Q~pOtrIr zkQB2-qP#)$+%4GSpJ~n7+bn;Y>t?>i58WHEqW4jd98ia9uep+zQIyPuXO1_yy76(MKY~lzLA$UU zSNt}4W4O-!UMah0wAk9B>B-utqKf}@PPW231b%lgNN4;bO{@5qFyMcMAVF(I*jk}~ z2>SNzdo&{>;{||(goF(l85u*dSEBiTi(SG%_B_B}@6){w^2<(Vq5=Pw70b#?Aot9A(xZ8@VPmQ|g&I8p*jDRhLIGB=LDdkhyUM;$*Q^}BO~JgfQWn{B5MxuXZ)EcNM>IeSW@1nf57|4 zP_gCnx3%_R!Oi9Gut5!YZ`>wE}Cp6vG3<#-1`x}wucDsLl&R^`Nf$m=)>HV634Cdxmn_K+c z{^#N6&Oi6%CcB%&;J=%oP&lP3LsHZ zs+E5l7kErWdPG~T-hcf5nM(?HT-SG#Q7yY6c|-7Mtv5i*dPpgS6L*@%{@Ch>YW6nX zdt951M#b?f6ZgP~S0GMO_H`fX%x0!T9X|oMM3S+xkym%(o0SjfO22x-44=mGDqJEx zdax+np&F!+;!rL1X~1^DQe6p*SGhz6U_F1RF06asQ7hBslFQD)azb0=P)AUGvP-fr z!K%e{H$c|LW0_uj?33RL#DiGo7hgM6gKAE5^s`5QloQs>p% zAl0F}Lr8ZyHn?QU$v``YYJQ0EYu4acU~!1J$0c$O)*6H|rT~i^_J-;u4s`@sjJqV; zfmKda;LzKGbaY*keGjkU1MOl`%{MPJLtU8x4Porn}wl ziNMP9GtQqFB9?(A<LlMM&#bT zl6rgRiX!KUL0_7Q1CKor+qXr{@d=^kRI*?}+1@9g`~+|1g)5KmcjwCES@G^`j<-S` z3y#|ViAz#|=Z^aZv-dK7w0nQ(=TO}T;1buX!0Yeb_ufQ%`VO${ULSI(9<=MkXQt5L zS~^sB01e8|IaK!$+#u;6KR`e!M?k!s5E(qbxaSwfH=#~)Dij{n6>}d9cu)O^?KGV` zlY=0Hm2O~s)i1<+Dal1~fyp!a{+p&H7G?@(Z? zT8@A9V6$qrGp)~dY;?eLBJNLsoon^{Eh6p?Sl>^}wh*?FMaBRCAOJ~3K~y;(D+AvJ z`0jqa@Xt=H*nvCTZuh@ydI1i1R1)*{cXU0cExWcx(fq`DKO{|%qI-MVX1!%1Zh_u3;!f#>dDHP!`zUWdF8r(e0+aT*25j4PNz51XDvKn z?AVJK`&)fgY8#Mx0T($`J=eeda$Ga7w~5cO?4WW&PbU%k-dWJ6fc;UGbz~R7p^k6g zyYIcpsi!5+ET2A)yz{7 z^271}aY=s7F}HWReP*Y%o&LgEh7rbJi-Qtg5%<|Z3_ktI5Qql`Qs<;|P)VjR{xl>5 z$4uE2xo7{K_jPH6cZ(f8-j(gT`%&!e5~E5#D4aYhow9#;wSCm3+SCJaSfusM2FAMy zcndI8Gs;EW*JBaXXsqU&Yk*0kNAt=FC(sKk8~qdTR0HGHB9QEgOPXVC+14YA@kV@Q zR@1E8Z#v;7`?epq?eUNK$nImnKp)w?8><{*bVf#9=C9upHs@vWCNOX8ys=s5C!YU@ zB((gUwf6=Mvg?lR z6I&6NltjuESD^d%H|We2#@`;_1NQ)nT_XMe*Gcc+1l@uAeg7BW)r^dczfBFVh&vK^ zW+RcY)kqW{3_pjW#vSNcm$)yFDGxT(2_uYu5DtH)(r_2>pWis%Rlj^l7{3IU$W&lh zz}poL)rOi5-UbHDA^Es%MD{v>(f?X@4}OotUO(B5JN3esn@j7T3T+QRwSTCVUj8P@ zlkZ}?1E@#PT;ei-PwyfsJ|6R!W>jx>$%c`)6Ma$>s#`X~y9dZwOY}*0bZYSvM$*P7 zDt3QFq4ON-c>I^Hs{yh*4@)WFYyV>vm5$PJOi^J`YE_lDw$bMG*WLY-l6ZLQR)&lk zm9cZ_Quo~=v=gaMc5BCR=-hK=pOb!m%K7VJBV&2ES+g5&-MJH&$f>~m5YrsvP_0#j zaJ$_dfCtJy|GbIVY=!FeUgX=RM?Ko7Uz>k6wx*X}O7)N-KV@WO9F*{jOOml_R)gv= zeVDm>_wIBoWrtt9pJDtx;ZS|UCq?Gsf1LFCB-3Vx2#xYrY51#=k&&?+KV9Iz8>VvF z3NN+}5qPSFi0Bu;_2}v?EqSu%FP|Gm82=O;EW=xLkXS=#@p~r{Mm@vd{~DvHzv3+_3( zxY)qbT@Ad)z)2^$#MP(fRZNeVj2@5l=v;hOD$&OsHzf0nGdut;aYfkE>=}P^AKtsC zedCzzXU4@%4IIfO5(7MhRg!UUpc<)5#Ezw(+6Z{Sp}Gx=5ZA;bfg7DlD18JTe8{>Zbo8#c|UR}C-@J=Zi1U&DjljP z)HtpE(g5O;e(=#eU}t|B^u6nGttXr15-}2n4Oa`5^G$~?yFu&YVyvAArk3+hYgcFTTVM-W{aD|Chf*;+;)HRbWLjfDRGau#Px+k z9sXVFNBI7oy?xiNK_b%Ri{p=<@Lgfy7_2Y&0P+x>09|@x?NoRG;s?Z+?XK9}xpIG{ zDN#{BSoh8q0lenf?)l(#v*b&5m#lc`?6WtzM9!v8Qx>eUksAHY9blO?_~B~mlr5@( zK!>*sEW!-14~u`8*1{#y7fZtxpgC|>K)eeu!}s!N;9mjpNGyHh7%Y{5P!FswEUoR+ zTq5rU5=N|MsusX7m&ihgYM|5EEG*fxOI#vLLlDAyu}m58#RJVTo}^AkcO^BtOLcgN zIytT>F8&nvMsX>p0`Y~Ut{e&K&^UYeN6dY3Wd{@;l##rE-w~oa+*K-=P}V zv^B~1xkG*N^#SqMupWvoZD0)mC_&f0rr_(raEW~4Pz~Aabn<7MfRzQU-6!`V1|SA{ zyonqm;(kx-D`k{_6S|@ASG$OX@vGqby^Dx~#O44^3p=)X?wYF~4LI)4v8oFrjK2YX z$yzvs3{Mcg6mfUO>Xx@1l3D%3;ZPlml~wy)u*fd+fCE5Zb!d=AtV7kzU%GUA$4;H> zg@uL9KHv2DkcV%1c>b-A+zPGMc}dv~UA}^Lt4o2OedE1(CHhnd12I}p5y}O z0S=-Md=RpGy*l)xz~kL+_ldxbg)bInWE=a_N3u`tHU>{PutiEoY1QK@cEe!z)=Rgd z9<2t>yM%pQNMQu9&n2$z4t0Em)r4}2OJtlct2G25!)jW?q527aGH5PwU5@poKP*6g zYlYkGo=Y`*p*7?ZpOkjya9nWUFT=!b%%Q!58I*Ga_yS>yWyn*mH0LXBO*a9+` zd$5Rb1lAe^Vii`lKGt_^AU0q%EjDW)Zo_id>J(~xUj}k37UA6yg6!5@LklcP!ca0! zUt$s7VnUOgnrxvL*c2lEDHh@N5_;FE<{p|@*BXd_?SB6cbr1dRWA^~K#MK>e7Go>j z#Y>Mz;MGlZly|u(*%5qxweP&t2F5qA$Vj^e;xAy4{#2|7-Fj*)4ppyjN2fQ|Jq}e4 zQ*#e1sDHiw*LX5kr)sDKMorUk71lb1L~#Q9fiJV2*=-PxVqX&*&rV3i6Cp!3HmOX- z(;7>E@3)o^J1p18wxPz$cDX|6I*0Ll;7~pFubx8~VI1!G!zv9T?xV2&$U%6!22Y+$ zo6L=wXR|Nm6t;9o!q}@q1u3A%=<%z#>yYh*)>K2{joG&Lp9pnfc5kEgHPTo5VvCy_vH@N4I$!FfFFR82IR$DSeadq zLxUfn9IEquI=3Yuvd1`7zjBG32sC$psJ`bTyYuoJm%Z?65@$X0b6ojtl<$n<$;T%0 z$Q+UHBUO*8@;iTrPiOZSLNtLoR7+eUr(tDa8@k8GVm&Oq+kma_5UhK=w1J0?(OA-P z3j#|ze7F@$_H0e4k_@c!rZ0eAhbYfLsb+s*$P)agpPW%s+oFLsb>6tS@LFK zgb_v<^Eep@$^4DqcCuZ+@ux>0f+)ihqvh+cRbbZ$WC3{`T5W7+P(X_ z;lqd5W1hc->;hzDWGutVJaGXR;1X9fR+er$bzAAb3%`Nv;&!{Iq@<)=R#a5v5s_p2 z^y#x<0~?4$tH^w(DeqkLZ$?aiVtCT|EfBx&;pRk4A8DlJ$vr<51IMnf9-|LGmJ@Ux()JBb{$jJD*?-^%!mM>r4$>Z^V+-Mla#|~8& z5JbZK;ZXhDC2}U#ws=nB8KN7xtt z8fr7U^ER~GaI5o@Isd_beOCIo8(w(gg|SZ`15aHzjFxXd&hA@9^4F+l&h$I~I}YMd z=1~2a(AnLZ_zr?gHT>iIIEaI#Pb)d7e%dcyPUr^Bns^ll(E)A{sXHL10;b$SOQ|T> zuSR>3{1K{|FV`HBC-N`TQlzK{8$5P6HLd;KktZ_64A8+BSmjWE4Ybk@BaDA64n}7C z{zBXzij{@A@(<4_3=~chE8|!XyB>I8;8W|r=lyS=8PmP-fcNnG68o)W_n;7dMtwr{ z5@3gFun&`kKv#s2^|wB<`x5oZ?p$E3I)WRgM5J5m)~%_ouC|wzmDN{TIOC0dD6Iez zjBaT_Z?D&?5j32Cg;hG*DjEM?dCPcvSpUYiH(nZhYHV%!fR;6jDt_mk94%Xy2BcL+ zM-$hqBXMVbmQb>wmZKzy)zn1nsYB0<>{L~qIIPX%5*rn2`#83sprBQsK7BSDhVlM; zKdpbLV@#CUH7aTzfN7dfAK9kOnX7YiuYCEUi@JHXZ?DaNdOjaR^alfAM;a~s{3vR& ze3vDjd(K5?JokLeSMR(tx*#%YLZ3c;Hv8fpm&nDoq@-CNOr4q)eZ&#_Ow+t7Gc&V6 zGlatld6IVzup>*e0_$rJ8~Bsmd+)jTb|9UtttnqR8(PC01 zMu)$8zJDoygj+FbgyDfpo)I>;k3Z>?_NjvW1eve+A8JCgP=Cw3LG|-t{6U-{mJWF> z)RL5MdbzS)wHY=iPSj9;V`J-$M%xW-6R_$lYqy#M$nFMl zNlUEEZb-5V;PraP&G>Z2?54J+FAnWLG|>I5woJxZ`<*~D6?v+7cYL{%SDTBsOr%6M;(uI&zW%>Aq96o?rzBMxv^MOBTt z_uhMNMd=7Y<~)EGigT7EJ&NA>7+bT)vg7W_G(Jycd3&g8tJ<$2JK+CW=*YUJHj!oh z!P`{WsMSaWxF3d$kZy}%gb_v9aVXfH^{_1Xa{w1d~xL4gWi7Rx=Ghf0OGxPSHeNb z?zQUB+6Kr)ixwr>?e>wt>def{MSc7Bb@y}j`vQ1I>rB;Bfey_*&D-v{3m^VZfg=6~0h^_)+2uiK{lSpY1_KWxU$cN zOc2`EN;nCvdO~bx&$^kHR_)(xtbUa8{1BZC{K0{QT7(k7rJPe*R$7t7c`j z)m86yyKhw0joWtae8G0?v7cSCZe2agTAvOtKX&Bp=dWROo`{*HTDz5<*}wmPP0N-o zn|NC9-XS$vci&qWx!)+&wENO93?t;j!{G!U*?n;K`LoBh-qhMW>9dn^UE<0)+(_@m zdU0u<R@>fP-tumchlyuyM3ncPHE0KFgMCZ>RP3+prH6 z$v0Fp|G{;u`WQ&UT#^$L@kW4uY{t)C+y=|MmQ!Orm{v1n=KteF|EHnQU#;fWTG*_H z)-b{d!-qfIv=+vp$0$n+G?dmQ6{_XxD8F1>QU+Xy)m^=VKU&7%LzZU!qMfZcB^oHo z*6jS+_kJbW1&D}A-t zvP#h))qpk|Nle*Cx{x*m(rVu~uzlN#RySwre>}kbE|Cb}ZkuJ4F%00t4?j$(uC9*D z%*^~^*|KFXmq43>JC6y`~bjEDnzW zgB9kxM9#pfo4&=NTGrc-?D{lfvw@S9I~NHZvtq7}>0D`4YM(xRe)Ju40anA?y$;o_ zf!FJ^JRS->?$F?$4_`yo#lYlHO<^vP9#~CLGaRZx*-V$n(Y|YcTZB0kr(k8W%N(i`L&TG@6ip(4djgxj ze6nC;u#_Zj_0k|J zR{(E?k_>Z+boSkYe1~dKt*=X@jqe_=@YR(E#+&)#+e59v!JyjbU)C6|@zqGWlazY0 zbR(@A?vQ_%M!Om;-45e7!lAnEmyZe~jDI%5g!eFnOFHL&K6HD*xusCS=qDh9Bz8mtH!n z=+#%(06*@z^rj-)j?F*)y!iE+#;H4g-Q?@5eG_z$NmnLp88L?K&)D2wWm-9IAn86jxEFt-GG!=B*2W8ZFY@1UF;$ z2POjuS3Y8r``R53+%eX`=sUtOLS4uSK;AKt%bD}<~i zlrfrZb=(&e6kMaK{o~`~FYMa2Yjv)Fzy3iqsIobv^3eXV+TY;?88zqXxpq=v#9v^c=q?}mr(TTt1AFJ z_T;j%xbp2lDEGTYe*fPU9F8xsq)`E66G3VT)e%??p#rO39j&AH)sItiZ{k9Kk543) zc59Oc;t^ORu$AxMdhn64eOQFIv;j%1n)gg#Zw=WsG5b$hKIQwhqyD?voxw4Do{9If z%+#0Sz0auUplPgNvUPu@V}=5(6V@jJ>~<8HjRRrM#6HbX~_xRXD& z1~u_Uz}^sK7wRPGDzHkI8oI`s^=c?i19SMLH3-BYgyZqrVdfZ6;S)0Oet%W79A-$D2Ja z$K~pgt=Z$mDdQ1Z0=7IyUXxr$?j{Zw_ac*Hurj{&Ai2^HgNeJ$U1tpLKe*l(Z$fcG zqt+W+|3}d?YsfBuI#eZBj={iMT_3s zx^-)F5lM~c(BbB6)z!I@dtzjjk+A6WHgjjc{ah%W+P8d`u{#~A@A&KRI>i|+JUa$n zc>xwKg_X0jH9LUpWaE<8Rsbnb^=jbY4%PKOcef*eS3<=1`brvqx&wJ3;-$XxPj4Vz zqr*EC%PlMvF2!<6dsE3BA;|qEX8#<``5quAm)-{wnECadv@^K;Aq<;2=akXYyO&^W zZG`RPYRCuH0d9xtsu1HpwuC{=U|41wA`)LcY1O;&z22!U8xR$9+|y?bQ#$U>4q?md zP<`Dc5{>m_5lX9n*zFP-hDAJQ0c30Ty-smr!?v^{W^%t$ev(}fH58$}7mEllZ(t2VV_y?6ZE)E`$nsg{2BMCC#A?zEldLep2;;CrnD8EE zkUZ&h!|~mUj#%4$^V~u6?3K}0p=tUS<_2^SMpLtXCUO7Y^Zcz4mvlgwh{-wXsQ;xq zU8bL!c6(aqCHPHGcj10EXnpnBQbJw_2%_JXQR?svZv{uqUIIN zZ%?0_eub)kx*H<9{xD&!QD;f(|9;43u+vUEZ9hJ@Hh^5oJ<-5;>GPAAbs)j?moGDx zE?qj%X0t`d#l^kpP@NVyR!n(}O^`W?&dSygg5v&gs5Yo!>x&m~5FJ{F>X+1abPJ5H z!XiTr#ec>!CTJ+W9eCWA*}Z?(@L4y$bJ{ywbA0`OuGuV2Ws*6D-2NjCHQG)cJ=I=L zRn>S}f3ROO3Vp7^k2f@aHtwT=)bVUv+!#;ibzxX>-3zJuXd>ZeBYI{#^daYft+S`<&+aR*u z=cuJm9Q1o8maA786N3>p8P*6~7j4`{_?52RE+EeEh6x4A8 zv&2kDo0it*j`TacEzf9~TToC?9r$?w?hbB%&8BI7o{*4mL)Wfdt6NNLF>+VaU9P)- z?!PPQzwQ2eovOa?M|O>+OPBUGi_G?Bi5Uf;Uafk>Xq@(p?r+;F?}I@N+=9Lfr!VZ= z{E3$nOXk0ZEv?lF3#Tu<%Kw0>ja6xh=O$*wpBw*Jo8+RtnGq4E=I7_v5U!I`Qc`ZP z*=%f@Gso%d)~&Y5=!r(ezA@a!`EQ(mb&)cCHY_f4O3p=r6NrQS;g%V}-vTvccgCeN z#=n31`%_WAl_@fSEAvkVxd?0tlC#A2U)fw?sJ+#br@dQJ0yRnK_!7`(OY703ZN=Nkl?EHn|X|wBrj&H-QN5hh>SbA{FCx_^^S=(_9l%;dOb2N zGA@4Sf#f8cttoX4U#%h{BCLkI>gqJV_ld5Ih#xe!2ZhP2iW==(yMYi*Vg?wcaN~55 zu_HCO2KsP=Q=C^B7!S{X9W-~u`=`G@1BgZFP9*R1V&(v@T)s*0Ja#j?I`2|@{FkKs zs~AaF?eoPi4i13Ljn(ki6M)Vu))QM=j$l1ZDWS|k4jKGN@AWGBhM~r2K{6fcIBa#t zJuuG(Hv=x8t@a@yLzBiC^Cn;BdC2cRO?J166ujk2fhH(JPG9)L9fG^_-BX~Z3Ewp2%Ii7s zR&f*0Ce_Rr#Z_`M7fjv>z`awFK}td-V}IQoW=TBlc>9N{AF8)TZIz5B8I%;2glcXH zjVhE#>i)1AR1m6v^~Mi=WOrb;X79*xhL(JuDpOPJ*w0Y3cFWqpup1ax*<};0yTX)g)$t8o$1;~Ic3D~3ifMxfzXkAr{rBHT?%A_vIS?J!uita$ z{O3OYbB>E;z1O~@j$nzc8oVNs=YhATi(GTKlU^IPcTLRe&UxpYQ)dsG z{XWW2J~$t~?cSZi&Smzd>u`~49)!A#+p5?&GJ7mj6cJwH2 zd*M{ov+9w5TxYiP-a~y|{>awsdtKs67L{vE%;T~(d&8gkd4Ck6RJXk%($`~IG9NP1 zTWwc!l#Xi9#yS)X=q2!8;QMMx;e~OCV1su9o7Oy5(^}?VGovuVIQ$SMyni7UFJ9cZ z#hh7#+N3m!JayY$&6bxgAEep3z?swX-oRrG(=e`oW>des^>K+#nf6RBxn6wsoL>+( zKqzmcXhPt5zXX{j@su$S;kn1`kIiXX+4S!9YuC3iP4fZ&E0;&(E*zGOzr;G9{r$!bE!$`$t69&tS(M6Z>Rrzu3!7 z({wurJD+m7TyqVRHw#XWb`Mzo*`CP9V{P#8GA||dT%S%@xiW6$@yB<@YVD|{NU zm#S`gMP!Hv-pUi10VKu1Bg0jLviQ?QGE6wl15b`t4eHRJF4E0}!6r=cZ7lczy{T!Dp_8o`CP+$@9=4(roA!hwkH(JQpBe#J&kGQfRRO9=j({1wlmeV35MG{%a60$I}J&$3lxxYv2^=Eik|a z&(+k;`oksCRbi+dazka@ri*k@xL9F-W(dhJoFZ)va0o02^#Js;NSX&O6ZpuXx)^GI zhH6P~p2$Fj>5dSMebYn|>@doNouSs?&qSYmZR^T*eSu~4@v9-oy;+(y;ZK@Y7-59* zKZr2l{R?5Y+xw**d31DYYARQ6zUaqg*~=DKk?QwMIyKo#LP`Q9dy7eEoJ!$;h7=kt zt`^VOk0~8_3XzYlCZS_BM3-UP2f8$gq~DYc^e4NTRjb!{PvCKj7A;DOh=`bH7{(V~ zueU1Bh_fdc2`Rvt0IBxWs2(vrYG3z=>5-CZPwfwN+s)l$x*rWty`j3PiuO+(pZbQ8 zXq;RV?-AQ0H9aD|FFvIS^MDzDSM_7n@J5$4S`yJAB2-4OCGcWqX66C_*J#^mKTNu0 z>!Y!+rr*-)g+}SOrl0uj#NwbvAhA>$o>I6SxH~Xzv$R})HKIi#{@tX>GeFaVf`Y62 z^yyREgcfNL#i`b=`+xJsJ^j(kz%w=RHkQ8X1pv|37k=#*_@$~_Tq5^>t4~>=%#yXL z*Zh+w(o!vz1MJX|fUMapkr)#`1R@Pf1GaBK+-8I2K)lUT0tj-%Qg|P$^kVcZkq*PP zzL|%@3}HuaxTVBb zxLiQBH-?(A@0!g{RT!mv5u9+s%VN`$;gBM(4DQ%SIi5!{bGa&JQBfa0NrNeuEp2$&I zs`c!c|1U5b>w$Xj(f5;D}4Kd zw;!`VY5$o3hH31ZG8&BJLcluKr5U6mW0v$>Lq($6UF;pE2a~JugKactmZWYjo5RNAU6V=}+fnWL#Gh zGms`VR>{hLM{fV~oFb#da^I>!P-anJzt;UfDDJU{Y84O}DqdlAZC7H|_65a@A=YMT zyT*r#`!diqQ?L!Ujtzt_vw9#(ST(@#9{FmyI~8rGKaB=GO>O^J9Z3 zzc+)kZ04Th|cjn`6Z0(9(l7*BEOLu#@#YIJtpy zu?YQdUV}e^QK~Cx;P=eoaybA5(Za;Sa}cfsDAO`mwr1BVXXH3@jzPH3njvfCS2sNV zbKp>a9s5_$A&f8%cZ3P=Ux@DAyC3M`>anNk9^2|izVl z^!0k>DKE$0bp7;Bx89xpQz{7PQMDjhU~iC?#o5w8nNtguglELeD{xBYnRns zIv)G&vF9t=!(V6DC9Y=`?RZy*ySld8+-in@GslS_Cn~x|(OaG3yw!i43rSu(ljId3 z3xEek^7N`6EdD8R_}j_5#_ej?e`WsxFJ1mpH(-_#VdOvZ?j!&1-Kh6FJ$v>HvKjs* z#GH5{Nh3z|5s?%6^yw2)kpJ|&y!+C(Z$GC+d3jp&F~?k)r8*a2mduJNr}S-j>JwOh z`EHe!WsI|nox!s_M~mekwo0umZ%M3W%Ulmxs!LrWmkFd+gy?i1rMf0h=JP2sD2d~pT>kI3yC@FNLn^Klj@aRarcWv zRJ6n=RDI_IR64U;xFP94oaOFU4-VB_mq-(V64SDYu7@nu_nabE8xU0i{|#~emrjw9 zK7+_apZ-qi%scSO1 z1$@?>mW`B0pdw4PZOu8Y-g)Qe#4TG=+H~r~$~CLU;3K>)ab2hAQ3w0H39Tn|tcb3N z>9n@fY119kcaPMO^|Fs&e)(m8M6s>-=~Mo7O3a#X)>KADMlNhbRde{!~>OS6b3(ZKtl$718eta)S+|5CBr8)x_eH4=9c7HMSIum z&dbca(yXe|u_vC`bjBr@q&Y>#3*1^a4=R0jTi0i)&TKh(@~Nn@*s7{;IO*&rrxh-|b(OcWvVTr! zBY;{sR6~-W8e~}-vOzk3vVQSJz@meU{~=b{LrC~CbSn>X{yN~_HLr6tXZLiO-swnM zc@Jo&FC*M=BHc&O{@qZ#fIdUO_^d63{Y;94pSwg-oB#vrp3{_*@`32#s@_mzI8+~L zZ~{QKYDn2Ezj#BY8^9l517BTkgXKuRy53tFif5~C0B&k1?)w>kYw-M$s--}KjhTN0 zc_Mwa);_xq0$0{kY4~7RJH9&!j{6k)&p{+V8^i(nKDq4RZ3pa5gYHXX|BQM5-Qg1X z9Lq7$A0IhXhyE4w2_uZd5n;l6m>^qqhf}1lz&F5gfpHDkYP8$!`NgeTegFN2bse55 ze5Pr?XZl6ySgizqqDvA=ny9D&5K|UYYWLWq1vy&LPb*?7Vk)95n%ca!>dNTK@@vvunw&Oc3LQ&L>Qq(tis1$@!e{{PPn|A2sUN*tq`f zFj7YzO2@x{65y+N^vG>Qc3^#{C^~(62!{{j&x8q40ztybrd29ZhtKuqEpos?DXSEyv!w4e`AHsz9FhaKKj=3U#$L@nS1dag^@F;jCpnkGfuU^H# z(I0>Oam<_pbFxX|O>xR}a@mA%I~IWi<*eMCT!7cIH9K3J;zT&s7h9&;S}(gR)1(D9 z-riZg^UUPZ894L zM=Q+n%kZi|l_C;*?1)RMw^Sd${igM9Z_(frq3HmpIFm!p2IzIUbZfIgdpNV5XDYfg zn&_iU%pkH$TV7gGu_E=(5AX0^A2;@!i1rD8^MC!F{O3Y7^9_LK<>j<$Bibgz-;C znD8EE7^J!r=>2Pt@7c3wx%Si-#3^3@y?k#Qzvr`kWOpvY*ov%*Kh&vx_x*SGFfs27 z(Af=|ms6b0CpMe7ab3rC4@|ji%BRGCu@k6sa#F@pv)$_D0G|vPSQcS^`uXRZ*Orvf zS5+@`-co&O^>*!1y{@{;2pv(ot(}pP@g5l&R=4*=OZGO$QfW9lTXiB(tA#s7ro^W7 zNm-V1T}r3D&+NU(v*UY1SUMb1zJ{Y3-17dpJy#XS%bL>{>QWmv(}U;5Q97!B*3#2Q zcBf*=puN&F>6FXP&Kwx3`74ZrAQsPBL3Z^j(%|pc; zF4tLAQR-1>@<3sE^C+~m3W<%FeAzVEqLmm!O^k{Wc_V6fm@1LDDAX%R{{PoC917%U z&R3&cqb{v^{lOU@Je!-7>oG8Y$kMC@InJDM2m=66e!}f223-ld8srLK5@ZI|h}XcO zdeWbN6=8(&_ePlT{!JlLupKl8tHZt=@=GD|DAk_(Bv(E|e6BlQzM=m1{X`Te0Cu+2 zj`y;pVdHItv6}VAWQpQS68Vh zFW3pRWua}%KqI5)%25JpH{`ljV z>gwv$P_bIFJ1!+TA~oWq;rYXNJLLl3m-MDx*_yqgp>cCut{vOcSRd*bnIOh#>sYw! z0R2`S!>eXIj(aojtygD%>J&L0h>L@FhpScvj^PyPVL-RmFga7z6C!?s0moFpyem}q z2gY9(>F9wz3iCqM3%??g?t$TUn02Y@mcaN7k<==qK2e@m8XjgFrkG*HMfED z`wJxQhj+)S2B{P{MS1{jZ7|PQ?^+MjM2@t<*%9Cj)igF;q_qjdZ17qro!*y4(!4Ox zg!e*eCBG`t$O9J`usD>C?<|q{GD`yQvrt;ezI!~(URK_3tT28IH2 zvNUV$FCKhoQJ3jf>i@0_`)cDt2^gzD?*g+o$j6|gp`a1;si%(~Mi^oIdV~q@VF;JV zbqc5Wzh^*ImTFerJ1RLj-kV)6+w&lwnWlN6YR{T2tj*Ma2)QRxU!5R|JyiP2BPuO& zDKASJUglzQz2;bCH$OkWv(0Af<@I`PKzsio=C_`2ziRtc?ep{VCjz{l{(97nJ8!Ig z-C=i!4z_1)Z?2|~yF<&$W=zE}VarT3o_sw`WrDW^Qh=kF@ z4is0%#@sxH7E<$O2TN8^LgF0x(x3oT!`H7YtP_OWKoZohfw&KfJuyTN9QO*y{5 zSrHSl<&rNKNkzp`y;a?IOQH!N{Z}no0Azv~!@l5u!-4bZ0btshpHp4GsVYz8Jb~GO zl*2fDh7~n%iF6hCSb>dJmh4Xd_;itD1U^xSin3G~>S@IKh}72t@nUJso>za8A|Ch( zXyUQd7J{-wRaPVAF#^{)MUKfhuED@Db423!A5ibO!p;Tuy(DtfW!5fHEy}IMf+K4ct(u~qnw@mVwd>GBs<@K z4)*S#aZtSvY-JD~^e|Nem&h}rba)-A|2b6i4g0E4l?mg2f650Hr zhdMe%UW>7Pkn-Jk$^RWTERK)9-rRZXR&#|s*!C7$Y%Ied7qIG1OX~~aQ^{ip4ghS- zmt2{Fa09|P&C!nlKK}UQl*q`)ubVc1Z5q98+qNG~)4a}qh)5!(f{NZV56s+rVd91B z?ARl!s5Rek>J1~AAal|e7V(S+tww&E^ z_})|Y&OOlTz-BGiqw)PLZmQh$O<6(NdFoO1?)AP?lv;EF&=_F1xqHXPvW;JV7gj`c z`EhfTBM#VC=MSA#y1V-8hq8EPP=j6OXU3m-LPVp8_|EB_S^vtc>pSk;X$m|m&=#aOsvpIAKnxy#8wL_!Ev%NcaG3Ch5rz+8!u$7u2e`K|C8Y~+@3Xh9Td_O7 z*F!ye#L%^T#fI^3xYy8RduJ>W`yk@b0Gc3l!p@~SqRxG(xT-iYDLN@CE-nsWSB;yQ zKlV8Hte;#s`Hjg%lSgWnem!*h(84=*-ti1}2IhX0yY0Z02PRy9z2oY;@Nlk2vt=hM0 z?DM@rUk7&FeSe=Lfs;Y1G`nF-)GxpMa&}2!NvpN{R(IK0Ts(DKix%fW{A*Csx1n>e z)B!LLA}19JzM9>CTp(+CZ)RRJlOGKe`+lgm6**NT#SZ_+-g$;cRc>wgUQ^O2p;zgM zf{G{tf{>z!6b00SqCjQ>iio`(d&Po+B6c}KW(Tlg1rCx*yUy8ZRhYKh zVado9s=G5qh65Lt+8o~q)jXfbFoDYzUJ5O1c~CVlT_jB*UE%czGIr^}T>|ge*-H!D zq2{d53Xf`zP5cpsJcVDqFw189QT_u=hdpo zXa0pp8YRmAB+-QTIK&TkI)fUj02~nTsIE;ni~_IfT9NGQ;^OumDlXoepxV7XdUjK$ z-hZZQ_r2niWt4U7I#~%+{IOJ?qhfSrqk6TH#*>w>J&=}|HfvHYie45!HN~-Q-yx`wW@6`S+b;gNl8hQl$4Y( zx2ND_7nqq>50BR$t_^D?yB`COdbILLQ%+7!`@FoopZoReR|Mcut+Hm%(&>$;)$3KA z1os@2wWF` zDLztlE9UjG#Y*t*j1;$OX77m!Jp?5pHl(TAcE*?CRrNunf||qY^m2r03AnzB`0rG8js61yu*6|grU7gMQvT4LDPyUa@mLEL zGc1+G7VV5Mq)5kZssS)001BWNkl0P<}NA8xI}sI2X2FxZ|@!pS}FtzUMx;Y0gd0E^M*zml?S;-hS?XmggSg zQ${Upv2f3G`=0w^;h}{~Qva3ub(29&`t9wy_sc_V4_!I+?WyH8YYugc^t=5r&)xVO zLuw3JIrPn;-4A_mC}zcq6>ds-v|NYR$%2k=JUV}5^M5_kyhUTj*=PT9!kjr=0sbSF z-)Z%8AU?L5Chfmfb0-I0zkKn3^(#WxZE%%O8gv;;y-VJQeYL17J~i>~{Cub8^$)e~+Cu(ktf;=f-_|NvV}f&K0loo~x9Ld_mg47H${Z zE-)@FB-zc%${Js*R;@dMU+2!9+pa9>{UaEynm_;Tw_i(Gx$?~>b?dT!Y4`48%$n6G zQT{YWsvg9wO%5a7F#gbeskgF0h%en&5lhzvf9TGR0rhAl*)X5S*E%RRu+qXF5c%Rn zh;ImmBC;YuwR1#GaLXz^N|Y#75KVZGLo!8r2h3^MavV?^+;HP?NJ4C^&||B&*OL1ch-M@c&*{v8_jNXU}Ce0;~s7B=$kjzzVSfM{XM_@e$Mxo6!$9r zKCx{gi6k~I-;jbc;B*DV9Pnu1YS6~jz=gOaHZL!4mQ$R?e#!@bN&UaQpL)XOOG+IJ zvI@xkVRJ)hF%KLrOzYk%nIcmXPPqTG>>nC+N*K5Cv8=Ne6+V4w$TF)=XZyys?EmgG z;$w?pXMN7bl11AY*x9mHojQ){E*D#N?(78onz(;|qdI%`$daD)uW^8m%{TE~uf=3Z zAly8p;udiA6{@R$L*I8e9R1t2ZOeuY8=7O*yZ&LdlmM}f8?Sc_8pJ_W4!w7%n=jQ@ zrNh{NGlKl?!j&;hhlMFmMEUE;5NRebAoP8K&nr2t9U&swzghjHjX?JR2f)=u^_+uY zHN3$!vf(wne~PnQmB;Rr;0Me%Lym7S(9(LjjP%7@!8HnhN-hOwGuVF3n-kjT3Kp=2MN=nMwB$Bv&+U+mgzw7>YP)1}d z&FH&p%&uF1uGxCc3%D5MOZVNBO!DQP*`CjWxAU+(>}W~L*BYJP=+((LPo4ts;_eqW zp7r8c=LphURp(7>I_=`ycHGu=cggM&9PHZr_N7a-LW-Hg0G9j}P5h_@ltU(B&?F{D^d~s?R6VNZ>MskG*PsInJFs zH+J{#-4l01vxfKF^Uq5^`Q)c`!}sv=E^Cu9-SK9UfM;5^y~XVjT+?bRSFBibaNa!E zt|y+jAn6b6mIvt7tJlh$oScpYyY@EO^U9vx9C@wxe;tZ8E2Z?qnKE-wH0k~O5I993 zOg~nC;fiD2WCG{~Ad5`7uF@M-lWpC{jyr@&TO5ZLc3AlES!W&E1ahHj z(zpLf4e#M7OLkTDijq*HOxc+Zu1%-Dq2*N)0__ z$*!WmPQH2ayOhbO1(BSb{PN^aC)c^J{(Vn9y6e$@?)L8n{7Z|99OM5nR2~CP5yn9=haddRJd-?WFT6ca)e~$d2pgbwGN(^o&du^70j2sO6%9CAx z9TFxz*4+<9cKWAQ{EUR&z1!8h=bpPAPUl6vdiDAtD<#FX8{Y7VATUSZj5K%`)i6I* zpGZpwwA~Mj>@;Lw6KUvz&c%>5S~Wi@Dd{`lyX0ci+he9k%~BXp3iIq5o@R-}=fg!# zSY)RmJ4M7D2mJ%^RjAyRd67giVSvDY>Jh5p_0eX$Zz*gYsT$U_W?iFHKkSG!ZEJ`m z3FM|#9yMQ4({4YJ?3XZsjS2cN-2=74l6rc?d3nhhsZNeKRN|eJBO?Zz(9AE^fJObc=Qjh8@ z>4xF;s=nfMW<3I%{SJrb-GBdop`S3NyJ`a9=1WQ}SF>gzjSD5LC5E2?sD^Eg=#nK% zYWV&Bk*c~iIXSt!Ydcc1TZX3TPm(GBcy07+-##|&vD0;=E|cjp_Su`Comc8AO-uKs z?*woJ95QIoppnbsmhJhp@25EkUr{D6<)~bOar5?@PeVunzHZ`bk~KYlD{#*jpK0h-ucWcTP^uupK*gyg6jZkn&_!W{LBpb|<}eC=lPVj`QsAo^CY$bvN$wv$C=% zT(_=z;j(2X6f9qUrowQ42grLDUGz|$+it5QskQfo7tU0XNAl*+cb0D1^5D?5YkvSp zQ7z39x#Cy&Sm9{}hXHqb;HlvCXNa^F_(mbIrl}BcdvN>>k$P@Z`JiDe%)~SosD$6t zh;89A^>)t%hrm+~P@l-@UakCq<`Wqs@Uo@T+skV30w7J(mKxGyhO+!q07K+* zkLugO>l-5HIbp7XyA@nb$h5Ifq?5oBfrMC7#o^K5_;itK0r(lH77H1e${Q7kh`@+6$I(7O~raWs~^N`7u+g~l39uRxR-4j$xHL^Su-iV z5R)x)Uog%?rXBIeLS06K9KD|w|1xPm=XMlDu8YFqGls^y;ND@&;W-=~W@rFHm$l@S zOzjXRnQDSIvHTtSeHwk|@w}jH4_N$1F=!iJQ%$a0-xAXL)(29{IVX zr+Eu{k-OWu7E!X;pXk7vgDbe(|M+xtTz+h{egpO7w7z&QPr2)^dbOn>YGCiy7SZ=+ z(kw4k_r^xp*x^Bc;;r<#MSN-JS6-mszvWTCV!GfxraMRc4uxOam-#+(N@TAN3llzN zW(zap0qJS<7U>_2g-R@LJjN;Z9K2$aLdGl6kKwF zTU6l8otlP2Ych}(QqP0s@w>k|oVF9AygJdeOYtM^Hhh)_HfBfUoDz4i zU($|2VPwWCl)#B^($ZGalOFX{fltSW{VT{id-skm%m5m~tQOU+k-T3fmh;#{cRwvI z(e3lD;kCzE3=*p~zlz?FYRu`61|;Gjp@qmKgq}w{p#s5D<|AHX|Aq@yeiAP$`jW7+ zn`IS{qo))+H(=*~X9TwYb|n&Tyrr(&&~F|hvFeDaodquG34rZ0{u-w;s4@L7$Z<&@ zqiUiCO=A*^kX5YsW{>Eg7p^L6X{tNthaY6pV|5owmcO|)LL)?Vw^e(r${(w0;$g?= z%nR@WWX(@MOG?6345DTn6&fT{u?2i(xvZpzE{Gnd*PbSN#vCK#Ja$nM{Mw-{zwB!n zC@)>td=r8?KCf9NkW=+YPzl)0uXt6I>?K+DCFGcvAyUtL5%e=}{DYk}%K3@s@%XnwhCmpg+Jso2_Ru^gS0Qdeivd!PEE z6!(iX^Q)>k?-2ZM$UT}5bUA)7a^aQ7d|D>;J(I_<^{dA`Yi6m#W`sSB#D&%*FT}nF zkb=)cjx!MIG~N=5&^fD$<0NZ$QqSO4_cXFq-NM*XjsSmN8p&?k@)D;&=ZQ zuFf4Zs#N>?w^jzNs!8FJnQ`S8((rVLSr3L(?J%;}vTuqQp;-wVPRJN9wga0P~m^3b>?0Z*IK5#6nw8GiwV0X_5f9Kn#>VE#rHt7wq; zT&L_J(?l&Z334xCh-+_^YC11eNCzGU3^QLQ&10IXkESB)u1W0n*~|mb2WdNWqF8)) z%c(ul%~}YSPNeD`FGvV+udtu4*Q*j3d%LUP?brGoOjsgvcmJnGL6x;ZT(NBj7{Vgk zh*k%@Ch!CcX&Ofh^`_l;`OkMR*Z)~UZ57;4bw|N%! zKKQtA4fAK+z}p}@f5r0qpQJP#APtfy>-)Eybqz7uWk73Y>aG+T8?lQ$BKB3~D?iye zcX*yLnSY7_6;)@yE$}?J>;4E2Ld?;!G0Ek|GlIiIRlj*U0O#*;iUj6F-Za6p5(I?j zryb<^JLwvkyVR3Vaf%r@cE8+g;pWS6x*&uEzdY@wJAly1E{~gYS2rX8#=xG(=l)7z z5N1}R!)zM79el@5nF_$trOi4`;M}$6$>X>*R%bB`{ynH46ICk=Rb|fNBwB`53j34dIXgcc>gA87T3r+t5 zXesDUt(@ueAD9fRQbJ&XgUUfX@cnUl=gh6MDl6B34}7#nXSSj8LD`MXRL+^$b~r#! zPd{Ck{E$t3wkb5sp^<3X3Bs-QrDW_^*Qwxf6Hyq*#6;jw{I!Heb9Nk6eOvkG%N>Xf zb_#6%zKLV*OIrWtr|57bo+BdnA$ytWy^gkgKmbvJghP!8z zu=Xv^NclP3da?UKoaMuFX;W&?L3EL{;2Y#x0&t0_Z^3c@XsQNXEg4Nczj?zIr64vSe_>R}_pYE4A!V(* z;d5&|WVY&Jg$`hXmyhOq23q{VzrNBKM&P_;8zw8-TnZgYcmOpm&?4gWIFk2M6g8dAXr&S2&$d_WC7XEqS(<54w-nQ;VF=L$J8X1xl&Avqs&!kG z5EWpEmFbh8VaFtgwy!l?zCnCB~`f+ zsdGD*;M8%pg3#d&F^W8*{lKdKrwsxkHBW{EAAgP3^!`)QqU}(VWITdDmzAKexO)^6 zC^D|r6xvp}$tA+`zW@tK3ZrpaE4nK(^RFfae;q`IC$%=Bw&oI6w;p9`~Z>*0_D3e>q(wSNS) zYc*r^c#c>5x1>9Y$NsBYq^hM+nxk&leqClUYUdH-uADk4!*fjrM0Qo^j$AAlvlztng979y|K*F!O*HPBfxEjMInq{@(es6y%TqqR zgemuB;o`x1oIY)@N>)OSU4qLucKQyCCG7GCQRZRa33RQggHP?Dclde?TN8-h5P%bg(-M*a zoI$fyXwo>yA*9}Oi-7Y$WN7bjVkyBq$U#zJAE%^$29QsNNGUKpKH9c`tpmLW`L>_s zP-SDT-;-?no(XQUI>vtH_u6KV5LW{>g;!M;OnaM=FNpf!Dq7S8%GG> zrl5&DhxjTir>xw)6*_^c-IaN_PYIR(;Pm0|)-1t~AUbYKq@SHYTic%X>a2TYb1Yp-b|`JZ!zSUI*Eh zJ%w`F*#tZeCq;waza_AD{aI-D0LjG?mWxZyHzN;nwc75g8JQDI<5iqQ&CQt==3+ov z^v6*J4xS_AMG*cwfmo72h&x8Fxp3}=9yKR?A|B>=m37xl>kSs|a9>NIn>GX&I!NtB z=!j%IA#Hy$GiX2l(BTs~lIbL1I)4+%X;jj6=|aOwzzjtTyriF0Y3jQP1PG4@)VR zvyk-OP}jxGRAP0LR%bc$=KomKn#OVcAd!r#jiw=rA}5u_lQ`m0B>F5~tHJ9z$&=~j*E9ayb>RJA0VM=JT$4rfjGw=B&EDORLQ3d&r6LF`cc4ewelVjLs|o+J z@1!-A0b0@lJR6sZV9u8_h}*c&wP__{#rf7e_+Ih*=q9(T1t*6WZuSrYm|adWxEI&> zHvXA=nh{4Pq)3uM#mm3BU{Do`tcIk0edcT>9T@8Vw=6XzrBj?LOzZjNU4|=6=lvz$ z`&TD21dUN3-Xrn+EBp`J`E4xt@>QUlp#snX#c&%AfNbj^~mt?ET9 z1mguA6M2m~QDPBx4qx=3Oq2{ee^E`NnHh&Cf_8x{q5-<`o@I2-Q81lE4wc(a+1t28 zz(PcA%K%Z6drKShld@7TPZF{6(%)dA$5NF3uwSkU+NZJwR!SK#hPZz9@U{BeB_i%C zf{wIonB6WCZj1pC$_XP+#T4Es+~-!aGrXj!!Xea5Y8A${?ci$2Qb^%8D<=7qUS?3- zJxdEk*!Nn0L(>@vKUF9EhdjoUD)eUqfQL0suxm&v?Mpr(!7bkP*=H<2&4zT6^b+au zJ)a;phgz>CETxCWx*NaDHi{pR?hDjdsx->=21Os>%d;`3v1g%d*29`mSS^&`!@ppB zgL*7|b4tg_h?47>DOusTn3O@;RKz~Qwhu~TL>O9KR6pE%#P(=fxirzewFqsXYZk{Ujz=knUYc7sV z=<^1W+qRe9FpFsO?)xyUE?pjv2I<~N`Yuqxu!!HfIk!^2oDac%W88>|J01p@BS&^9 zeFdRlY9}i7t&IFr8Q$~%ODX{Dy2O(G@&N~9EfcMAP^N$$Sqro&CKAiJuY$2tHPF7% zF0#8nzR~_%Twes{2M07fKJlG&z_z~Bbas&URHjn`mFuM{0sO))|Lm1-#x;0;;!#R* z9h;0dO@q%{pN{2QlZ7^7-bDMf7-)-SOpBu!xCzuYYs zDx%`Pa|UegKb44Vq5{XJ&)hU%MLB%v{av`$oc-`4>9t_;e$QWMviV4=rN8 zYuS|Ab3H8eB`1F&D4H(iH`8JU>!Wib`JLOvR_ z@QFQFf<-U{#91wcmWW^d36=^uXaxAQO5(>;t@WvE`<-dXnvpl0?P_#XzG`xdfSA#e zVkGFBa<)U|b=G%q+hi3_HlrkWbwKCb(oP7oa6?f;tYjOUyuEOB4KAEHEZ==^@lyoV^*2do+32}kd=s;1Ma@I% zO9@+%Lvl1cq?AOG>Z}XgHu8p~GrNvtWK*{Y%w|Ia#)pTpzDkt* zrFB?Dk*z3P_z#FKA6YM+ZX45U0xQ;NKXv&v6Al^m>fic^VM*1Gvjhxxi`=ELnRpZ7 zwog*Nn4TOGY6s!&E!B0iGa1<++j+#}?7a*B*UXeMPNxmXNvKC)uM z$$uD9<-|cAV8WYbqAk4hn>yc;%#|64Xm91z=iS7zz`^2|6y?*N*U z;_ZmW^8oZa$o%=jTufj&xuzW|`}Zza)lgkc(hiL#@T3vmBlIcC~oYgkyQ&o>Mx;t@A%N=a^K}ykhs2~fdX1tPD$z3+=7+l zJJk?}j6vEzCcB<`k3HdvY>h>b>?VhL93mW%_alFLk5=<3L?^&1Vfvl2>$&C-GXC=q zg*?ct5zY;wy#GPwqz9{vDN$!s@4)+L8Q{L4=X_D4<7}XGj%qPBDb_f6H)?DMX0-p)NTfsnm>!G}Ly zC<1IzyFTEF$vcIfHp*(^TWbkSR^ z4q_N_+7AY0QinzFNpXgwap^PbpD$R^b+DswF(}Q&3oTEMjtZi;Vm`0*GGzw!A=jnc zo!W5%$A5r}z}xv_90DC~0b}>A-rOsr4stl#>+5mqdC(pZJ2J9mxo9p8<+Nr5Ha^yK zb)CfB+1>PJHJ;#0%C9J@lALf$6Yj*;Bq}0B*yb#Nr{m;s;0f5&;@>u&%?t&bx-uX7 zHa`7SlU&MQEoUQY$p%y+bz!H$i-PGQ!byM}^^_<~>}Y<&tN(@wQ%dS%EKIP}Ll+HQia z8sC5WjuCk}IO(o;=%2*)L^HpJt9y&A_)%wfcRKrP9CWuETp(T^EQsAhO|>`GYS{{z z|F@-Ji7DjkJIn`BS|HZDfkR3*e>PhI*Il%NwD^Sp=A&HEmQ6$Np0?Mq^!weO-*Ntz z9AsXKqctF6ke?o;g^IxOv+3Hj3N{N)a$r&FvB~%5_*pcsy(f;OMX9#)By7Z>@i4x= zRFXze58v8ftN#x=jH-z#q6BLh_wPL~jSc`H_CD`qprae~Z#=-?V_0^Dc`yPlee_+E z?sN6CW9-oVU|q&H18v{WPFEH{H19sBnx--;(%m3=^((d@#d6engc(n>;2KmjH6ZBO zR&~G)FSZmWZ-)Q%l2M{eOMUv1xDsD<2NC~M76Ot;O3e|r!q<5EjYR%qe2te1%J~FK zUzu0<)+l2ITm?zC1!&)(!$3fShG7QjhnVNjvqUBQpFCXFs7u&mhL@BoO>+nwof}p3J5mcq(B4!Dn({t51N^um2 zggc-5`g&#jBoakmh`aPD^gjpj#c0lyL$GQPq5>b6iOWW$2jaUu8#-X=dI)3h_=|sjsfh%>u zzkZb}We<9uwzk^d9@mER-<6~g+gMrUqHQ^CkXGn%*PVKDOzAh_RGPSaI{CrL87DkE z>@DtdxfP3uK{^6&j06}@VO7A>`Z;FBRQd20#K?LEhWn7ttQ0>c|)dWArBE zA$>%Qgc$k@sYI`7sdmC%Hpn({NOrQ;P8MeoP;KCh+?MZuj^>9&5dn4343P8zirS*!Awwv1 zUUbdpe7tA8!MSe_&2=w)-1h_kGZ17K;Q24oX|d}PEA+)sy|}tqV4tV(Fd9ct`1tf^ zBqe$a|JvKmFMt@a5?XT{{A1I{VfT7>LTN&2$q4Xfh4QZGXdEp4kd8EaseAvZv)k9? zY;%^&4){N{$Xi|9KGY8vE$u8>79(FZ*b{BZvmLTjOng4`NQ zb>JqKMP?@siH;Rn5b%S4$3tki1drEauVxuN4m{cloPm&0pBn=1{uckDU%8yiR(422M_EBlB z1*1h25DraQ|Dzdn^Y|fmp);)tv{{vws+@882+zN!x)IC=yuA!$WMs5x-6lrTy3&6j z&~d2scAkq&5L>CReSNC6X4bjcH>$NPviI#0IR0}K9@qCtvBN7DCpo!BocCkt;J46F z{vk}lOWQ-PNI4ZnqACHYzmPlfI?)G_60zI@h(^0CpF)q}N9=|nCZaY@0Ab@K3^!ta z{TjV?s+LQNLtqMD+PLHc@lmuegn^6+lW13ZG1|g0t?~R$jFS(yhQ`t|RYyx3L~u!X z*+AtMNk6dGheZ@yVbZaRCAf}jZfq8f2D9N&t7+Ohh2}hzuKSH1+VZOyqW*B*l_GyO zV1K_wM?eK@8Y!paq8u(!MTJWcNfJ<1gXxHHEYphU8R8sbt+f_*!LheaPA$#a-;TIx z`X#;#CY-mIh&tbNWuqGz|HJO5E4z$)>yV!Zq)0Idi05!`U!y&4!U?|f2cXA9QSLB9VIv0C4CVW*C9qzNVvag+;VsqfUCDU*Spx7 zXfyBmGU8^cyl%ICj@_}peNx;QoiiP}NoyMUL|R-ui0P>!s5mT+ft7VqM|il536jnj zAu2wEP+;YYutqB89p?&7MvMbG)def!Fg3k=smtck+E%QNvU`>~=ZLeN8&IR8O@v$} zADDGK63JUsSQ14pe!?GrWI~t{7?>kR{rz#NOCf7-XKRN^@ ziDN8P!iP^>{VIjIf#nJn7&b+Rfkb9TYWcbeuOaT|AQwQnU+!<9IJq*zeum0<8%Sc# zgdTqQ*9{u0jODX!8=>-R70Z@H#iu)b7u9fd)dUW`Uaha?9B6eN zh`F3#8tHDtuc14I*DkF_>znmWYn6V`wx(=yzdHHf;5~O3NJq zh|rDG8dab9^x_ks7`Pefa&~fmwI|8YNkaNl6%cWBR=iDaiS~5dlgRZD@Il}}^FSz3 z){c8Ib!1Gds*n9iVits_HkQ;?&|whWezd^B?rtR=^?Z^{QtF^AYS3i zdLa^Xvl3f4tZYG_%sxfRfl!4WCfUnOUySFEtk~PVScCXKmTs^2IBqUor>*G_U*4_C z2uTBzsS~nzUB9ipB@ZvtM@bX>KFgJgs2SJ;uQku>^y|v^MR}tBf_^%y z{@TfWs~vFm9Y|XcH_mBj4eSyNbUP?16LyaHX339*q^PK*l#4Fl=H~W<022bs$jF%H zXc0&qS1z|d=K5=g(tjQbe+Xl^Z#<*PQ#g3>>kfAXQ0|;#j{6Rkk)UUO?Pxnw{M1Cn z1v`h=r(AZg;{bOj>FD7nT8eQ&lTjRHRue$35p}PuSiLriT{?I1>rZg*wi>#U*Dnts z8zJC(;LZ(_^=t;Zuyo;PWLBRRpIpQW)#1~hOo?^!)wmsK^%wCc41Ac{iZe(eDmuan{hvv z%FP8o*6JYBTk6>BKkxm`aumQ{sgC?0PL=Eq5Zjt0f=8eha^U@m`!W@B3Rj=Nz2iaw zdkFO9I;e5~3c>+#dNo)Lcnj`9kUT|nz%3u%vzC7#Red7{9QDeu&9@gCtRUwW*)&tg z9Y+aCohBjM$dR7^o-SXmu-5_OVGuJyo%34fGq!5p<=y$Zzxa$3#P4i=Q|z)i3JP!@ zXK7;z`<}kh_0MSWZQzv^n%LQ)6STP&^#0+mluXnC?ZKbT8EYx_;PB9Jvi=Q1K6$P^ zV}zhSEE*>mT>H)IQ`QFAhtF#~IoteDhe(szGcKVQk0g2dlww3h zpoTn^fVV}gnPA!)ta9J5i$5r8Xd?x+jD zwdvb~)KaP=+@J|%37a78+sC^PlU7&L6@*kxvFyT%FE$gux8<%_C8W{Sxhwd|VRl50 z>na?;#ye_C73Qx{`$%@uZ^8bTaF^2fSN%u-x-mN`81t;NY&-@$o}QPmjtjZAaps1(fY5_mx@jnW-SF%> z!2{YYC)`eFysp7Ck?BMTWN^=S7^F_hVJvusmLB{26E-Yn%N9`)&9ku~YoQ3)IhEnV ze|W>MN});n^ESc850xnYa@?l`%MT7wlNq6}GxPG2@{n>ubnW5C0PyR<-8)J&{@-Ub z=g%nSfy)ff2SyxV^f5k>@9LTm#?-MOX*_X=1SJuY!-5b_R^U>V{@c!r#-{LVSIfn! zuZew2OMA6X{dP(|L7>T>?m+$LIr@Cw$1{Wbji)~q&UsI&TWP_&!#fn?_bG1fUxIFJ zDqGm~HU3mx{T)g#1->e;YOGa2BIl)EjL8zbqNXL?0h|Jp|gJlQ*E)U<~#6(PkB zqSY!+(nnrgTt+N2+1-_;2r)st?&=jKmN7W3SOI!}dU@kABQ4tK@xZ#ZN%CT6S2eTw;iVVsvpy*ul7i53C_t zNWhkw;_8V$h*9U&6OjZWT=*Y(y|4HQ>QbUqu18{|JS}WY$bvdnD6B_Nb-1d@;DI}g zTg+0mbQ7p25+gvO)MQh_y5=lfA`{S!%U8>C((kjjvhw^E7iYBZ)@FWveZ2u=Ec)Yy z;jy|z`B#0Y)BLl}`@i1^2|+^ktK|+(Z+H7Q=Ybc-vbiM3-z&&iC|U(#m>~Ow*2pYtZg1^Kda94f4?2K(F|DV2)P8+Hiw1u=gYt0j9}? z_#|t88Lcsz+%c^At*+>PSw^?f;@U19_CgvML5QCb>Zk~Ted7tGpE0bM%gueN4xP?Yv?QVFz;OQ&djT) z&iAw2;U8K4u3s~lG93aR#>%c+cAZpnXFrElJ;Sn%UMXU`qzh&RK9oiU>k(_pYpg-b zR)^z4mW2y)EWpFAt?;uG%2zY{nOHE>K*%2`;;qUu@kbKuH#;)b3qoYIJQuFn=A1^k}3;cO7 zHm$(UoLiQW`^H$3`n4x{5bT=t8^<<1IoVC_xAHGe`Dxz||4a%DjM&Z|uZH8ZoPd`1 zqmq(8wNI1e5Ho2wCpdxpo6I4e0iRpthpv-_Aw!RO&EpDLe>iYTZZ27a%XZ(5^Z*%f zx6CFRM~n_Ba-U$zw7|x%6|H`^NFr|!4g_nivYO-!V*r`O;gOn(uSMZ}Fp2gv z+7>68n0Wqezctqbx4p6M_l0A*-)rQgFMFu=9?O!af`~5v+Vj?>HX*iLIOS^L7OUu| z0fPxZ#Uy7wD(&(q6OIW2#Yz5{bx@JaOnFWEYZ{MQjz`JBlM};772Z8qAgRiCs|s1v z%SJJKyURf&(N#qy+CmW9_$KUTJIT*;Tj^tO|CkTf+}QGismoYuM6h zJ&uZy9Tt-lvttd?^sMT6cTyBMI_iFns#A2kEmK~}(=%l?1?hm7k?0!+hztn*&kF*> zv|FaX_Gm~OLqYJ?ctmmlKPkGYw6HN}bJpnEUp^bc+qQy=6SLL4FWiYTOvz1Q9|QOS zRj=1mCG%SwJll}n*Vl`5VL0?EK^K*sv>0?$oev-P|Ndop{yRhO>89Xr1O;Jlk+)v< zHKU6?N)yLKdmWd&E$Ob)?sV=(Q3Peo6-ptlI<(vVF5-p_>CFXt&7&b%rcT=zS55H& zM(rD7C|*R2Dy@SR2ksnXWChp|3D-3un^scDz#&+5>A!!&!{KmnaH3CEJQtIUQKd={ z5_KXFoOlabQP2&c?R_P)nnHJ+de79Fl#E$^MjT^j<&OQRI8j^Ikm9PB#))=Vzgs_DtDyIQceAE}DJF9b-V&g2?gX1am`o@aL``$rk0` z3Ox$xrz-`@iQt+=vskCZQ^_s3=*K_BuZ~U8A$LJ#JyGAX^w*%HHnClT^``)X7U@C& z%HAeXS`E-e@lCek{MAB*7SirD{;%B|F6$Zp)6S2PV;qYJhw%vCr@PN?asYlXQVw;| z0o;b3^x4Kcx8=Rcsan{`O`e4U5O?-pShL67%es-B34FsSpqJEudJ#c}JF(1*(?#bR zBflDjawz*LgBN(aFz9Tg-&~M(8idrDnVO1c4PX)@OMU+wJYAgEy>L<}F^+lLf3=fx zb#t@7NH3x1mK3bI@$cq%LudN7>zoATpVF5vU*xA0jU%$!Tfygnys-*;k#qhyhz`Sn z+~%`A8howc>MdbQp$F9XG>XoAfu@^t&wLx5zBg7a5SPiD2x4Ayp&IM=TXq+i+G@wP zFrdL^ceCZI&y9nzHt+O&P3_#x#Q|pn>yMNu`he|<9;*~g1-G!I3BrFv> z?(&mF%fVxFb z3gNpiVBF_*ayYu|#>eqCXZrAuN80JST+GHDeFG7Jgj&T%^P(=M2Of=6y3|K=7+4y} zhjrqR7P~Buzx;hEAK~JKn?Lm|Zv5Op0F0fecPwM@3E00PH z-ds8(n(~h_@%vgeRF>jZI%f~_@IE=%5bN9J%e5$i@ZRpvZIYLv!{@K@nVdFu(oxHH zF6$UeoCCb$>Go5PZT|0`rr9z&g#SGqyOTnNG`jy~?M&hRkpmc>CKB{4;XiaXY4*E) z1U27Bx&7hotS9@&rU)OW!%0HVELsA$8@@+8+qlo8prig)z#WaV*OS+;43>SAA_`^H z;>F@V5}n=W;h<19wySlwVctqZRVdYRUUY&Gd@d+U3(g&hUxHX~TZ~ZGfQT?>4t#{W zU8LVi_}_wGdq0IYWhBdbV{d)9J=B0&US7V1vm6gSwj=1QXuRk5ncW}@( z1}yXxa~A2MJv^LJ-0IGjk#FsuAKkXOTdx+JMZCsR?XTtvdnW^z1wFt1R4g{GHCAZc zhUJ*HgmucqhB^>enpH4(0OxCMv~+Y<0qW|rWCyw4#sf+1SO^yOoeMCLs{_walbn0u zoqSi8igd`ohVt+=rcPKUJ`Qtui%qcix^v0~ouXmdtEs)=GzU6(_~7kt#z4s9whTIY z!|C=JpNR{c9yTCV^|X>1_UH?078K{-h4=KcA`2( zO4=C*J_^XpqBDe%-;1dfq0VS`rXs^{%+wtdJt;CHKTPgQDC2;xm%)KYP~d!^Gt%_q zq>^L28STDJ0l}U8Z={JH3bJ2c5SY2~8U#V`E^2!t3O!qa2!1`Nb%Q~2Z67?~1kQ{0 zyUmBb!y@+{4#%%71Ds#94nHWe-oZ@tEFM6cS&{zN$Z;#8fw%>dYc+i~=v9=Uj{8@m zexuMy%30Qh=#OrZDeGA)cb9&i{SCl?`{wZc>d6)^boS6aF0PfbsD1^H8oXVh*`NIh zUkMAce$$XisfPLa`8}wuC$=f;r>BmB+ts)m&87A{JTj^_?%T#XdH)+)-pm?1+}jE-uIgz-b;gzvE3DB_dtGH9NZ`_NH)|7>@m zaO?RGetg3QN-JcHkQO+Y=n)@C4-rIgiT{2<0RMEy{A!RT~p0#Zhqx0bueT|;XtSP0d2A}U{b&1&nY`D<%>U;Qv~%KRi+r- zg_=W``0nNNP_$S3fL8#0H*1n_nx)lUZ$3s z+%g8G>B@3IhhO*?!*?DMkIYiDjxvV9eO!q6UA%E9N=<5ShA z(6CbtK}G^&+}5?HD`Ch`Gi84Cgny{G>N3uVyA`*neKk?TG*|I$+=DI<@7ax)hdo=|hK45;Q7Xh7w zcww(KT$Z0BC|6SF*&LO%pB^hzdp=3)Z|d)l^$!daQuBXi0$-Jj*+z?K%LLR@T?YX8 ztNCWp6OBO_m36n}LDtcKs?48FU|B(}pDQ`4(`W`;h^|+@O616b>(y{b4fc@>=29DM~fKC1c0cxy1t!Fb4hO?3vJ9i5J=2{ z#OQ~=$$G-`A+ST)MVj$bzvol26qp11_2DQ4qF6yt3kKk~nHv$7#{nu$o-JZ3%nuks zs(0R1ADQcDF||@&!|Bh>AX@0jOX(OKy6FFU2`+dvUD$RjspxHO@gyiHuvsn<&J~1= z-36Czn$eHqF?z+iLxP&$_s>2^J;6J-6jpAt9jM!=;o4p6Lm)C+-0`H#S0E%5_C~wf zAdSoQUV6A~sBOAAsKB%1A@m{3xPKLCZc}s#n*q92=+IMpK5Y4%jm%zbDCi^`x$i&2P{8(0d>0O2wFR+i{PFP|u1%5iIp+6( zUM?Xv{V90%x5&K`plO&%HuaE2rj~~sURSzL@p^1zOoqUh?Mr5yF@;l$K}d>Jq3R0# zV16U~-Nmf~xLD}*_t8i`#@i7r%P@_YS0_F%*+%3e0TQNJSe(nUp^FW23R|UfA`NXQLy22a{P+%$PX|rTD+Hw@%5wBg`Hm|29&N{ z@=okiKWqr~>C#nS3?*|4e42lCSdThghLbLptnLg4cY@@17QQ;(DLY2BAji1-B|yhx zL^sB;xJpZd>W_Jo3O!txJ~Aj$=f)i%JtyF#=&oT-e!Z5DmcjKYM#>xXJ97J+=t9dB2~Jx zA1uHJzbLFkiMz_7N`P&WsXA|al5I2y+d-Y0nKFbwe>~`17C#p@Q7w;CWD7OmMC)R< zT0qIhe)B+PRoIIpTNHV9`>VOR@&#>`<1^?$Eru7BM5Rrq$%MtOI$zHAFMZC=|8ohx z%hB5W#`#cjZBwOqQvt=LtxP=@1O*5@fGL1m^%6WeXWAdE&b8{Y$IqvxoyXN^a$7K4 zX9-R(hfCHr{00VuF7lbUo zWpO4fc@QzqqHN%_sZLMS>MBruat~^0_h@FvT0(QY1bI88bQS%1W2s!}sV0rZ|57JC z|Jo{7PN5yjcsx%GZwAvX(F9C2`+4#uHd3!)4Dl#hXeqlYn@8(5&E*=Y_rGJNyyVTx z$Rt}3lTzDQH1L}WcXm)hhBow$w6B!6J1ogawm`enL9D}6s61dn9zHGMtVq5{3oMnR z37T~7Xa9Z0oPXyfi2CP?*By6ft2d)LD$2yfghF}Nf1wO`f{Zw2fk4!EX>-obkKo<= zHIT6p1|`lIJd@V?ZIEENWZ^<@xCt|JzAjl@UdxkLt|-4JFq|b`RJ~+aZM~IG`Lq^3umb#) zZgKpd%J{7Td_rt2pl!4AsfG5g2bLc}+V~&HIDa4r_Oc~-WY~BfHDyT_N(E868&>(> z!a}qt$%D7VUvJZ3{!hr%ruZVhune*5{piSd7IoJDayZF0q;of5DNmfSoIWI0irs^z zz=C}dld#mAP>3Zy2!guP1&^L_55&y{?3f93yw=mo(!~ zUDy&l_#i3@Me#){y{}zfLU}Euzv`3zY9nu2TH5c~S#>U23*?1li!P!O*Hjo>TFP8# z&Gqb-p=J1UUzgkCidC+=uYV1@SEOsqa zx|M`6hR}__+A-;A>r6t^E*r#MtZ|-QOnY>*Ew8@WNZKJH*`)Aj2ONK@bN%UuFlX8R%<|;-@3FnBJmR-`r&} ze~MM@-D*NkTz?4N6(7FB%7r4O)za^p?{ekvmvM53ib<;O&4>EVeJJ1+Oy;)p_Kzeb zag*esqWvAHI9P3p)vX)$15AO$?}hxxC*uzQZUKIgGuPX<3zNsH&B{o-oU$SfDCwW# z+CvxATbuC3D2-zuJf(9r5R`ni5(93J2gm}Ae0_ZtP*G74SxmY+RqiLwogV_<-0%Yh zb3W9>qbj17iAL;EHX+Gvg{99L>zA5O9tsu3dtKz-Y*%4KkiNO;PHO zh6Nu5k#?j`PjSG{sk*j%2{#jcqHjzW%-ev(GB_(i_WdWaAuRa#DR^X6Hv-ds(9<8n zKTWSA?Q&(belzX^A>3B**ZlJ&Wrqb`>yo)r1nI!0bfj<6EVT3?oV`{vc0_Ay%#_e3 zN;kPA+dbI2t;G9uvFw3}Irkyh5o5H|rk4pEkC-e`T+7@U2ru99X&(J>{O7p^QvW_J zDAbwDCeS~Mvc*k8nV@tgQ?u+^v5;->pmj4gTv!dI({pz;_kVK~L@$kCrj#OG4c93E+(dy|UE z-6*Xl6`9sCK^FEsDL6+jea>KfIj;Cte-=@hjsR$73hG&4I5Lbc zXG$Zg?PhJ%ML$9(sJ#Te;b0vF-`1-$=S|HcRj%6Dj>#zgKDAB4cVb5~Xyo;x&Uc>O=Fn4Qo`Bqz0-U-BbhRkMn>@}fcI!2mxh+9|&CAIeo<&EgOe z5%dd7+L(3aoTB8Qp?OF!pw1mCT8&cA`nP;+e{}g$CdJ|mjm5!L{UwCJw9Al9?sOtp z0Y?Ef`^Uemv&)sGfm*T+qgejn_+H{Fs|_KST&QhtIrbZF2UX(I1}smL1Fa%QE99{b z7;pSn_k4iOX&I=uT6nrWp*K(KT;KMYC$WlUv+hsm@6d#CQx9g;5G zUC7HUTgbAI_F5%;rSuNNj{<2z!pl`jG;WivnmpOIL0x)MDzaEzc)$LXQ_i}j!X3cX zfujHd6;Ix+?B=fTa-;9Y&Q6P`SA7c06Y421->{Eiw2t@II)`t=qctDA{6aV(r73zt zM~Pd`bPH6iT@0K2Tnc{J`yBpkk*zUb+3)o>Q>h0Z@LD#$ArPg)c796ny45rxd@44y z;R7Xo5Zv&+Y+5Ach(2dHl220RDfLrCU{+n=D5MPW=WT|BS;|EGY;8fD*3tiC?W>}y z{(^rGt)!H6NJ@7~sdOscAuZj_mym8yP*S81-QCjN-QAssxL<$&bsz4-y-%05;5?kg zUVBe|X7=nEI9#fin5EeCsiH3XlVDZBWx>w0a1ShHC4+RV_ikUI82%GLVL*1PmIKXo zIay9SX?<>Kb!kY`4YOeSTsj=-e{TTF{1($AcrDHrWWs61 zvE;2E58Saq+e8A|COru5a10Wq(7IZ2d|Pq`)Q1MAzQw6@xD&aExGf(eOP}B4v~K}F zV6@h5MIrOkZm?bJBQJ#o5jt{+yHGmYfpA$^m_RcCFA^!x9FZW<*luV~!JHGmEmVjfj^xm50zp2ZGej3+AwIln$;YG{_0tx(z0&_ZlzzQLpy+wDX)S}nl=8!9n zd-gv~-g`G-qi$^}?GphLn2$1b!bFmbh{KQZzjRULSFW>p+(dsmqSVoe?VTAu{1$Zo zA_o5~anrv!tdw(75<0VYbei!LN|zksB?pV$=0W0N zI0>dv;J+e=2=!K=aZwLDvz@66xzFoT{2r9pu+lNrw$qXW%| zyJ?-~d2(<2yz~o8ue*V&_VAPvy03lCYQVdu=k^rLqaD9s@qn!N$DdtRW?~(X#62Ot zMa*~Y`crBzudg{^{%vRaQ%Enbw>3?*VvrPXpuQ=Fd|!GpAiA4s7<5FP37Qp}SxHuKbm?bMk?(&+aBfLX(>1PwX|5;AX|h}3Srz_Zzr(vFmGxd!y@lDjqhs?5dj9Y;ng+l@#-ip`qK+lJRFb@e5Cc zJM-C9Q;?3;O(c8u3qlHyu5gadS$6C|UXamV*jCVHsz0SI`wA|OzASO^`4AlETD}r= zMRirCakWQtU0B|~+miet?}loXV-+wi{mNol?bqAaR;k(dr!W0Iz?>73L*s$`nR?$( zSq`%}{d-L)SNvN&w>p}KC^+%rl|Gvf__)ZzqzexQQ@*91c2r^9i>y%<$zzW0z zWJA+Ib#wOsxDgt4GnoEX!IdNt^@Cdxe&ny0*Cb`!t~Wi7#8!ACKZi%XCJHQ8 zBCkk?aT2%V)vPc^VLU+^ci5Uw#5RvvICXJ|$ z{FXJoE2Zfj=}Le91NZtx;svSQ2;y;9vW+)C<+(MEWd%xE|K2kGt2?Q9#L9Q&>FsLM zXo@T@RVn3W?Ow4DM7mM5HZv(SBW<~7*x6}+0)y{FG%qmbNagkxC$s=&L(=B250&8a*bE&PKlw!c*}Rux2YBX@}L z`~qO)ja42lDlebe3BykOc%_F*wjAU49%TEooRKJ5;o#Rl)RJh^O+GISGRo77!ZUUk z@GtRJ>+9$;{1yS!Xw-N)Jq1K+^gpjyifly8;JoX}WIV;t`3$7cC6g^}<^LwfrQWud^M_Lmpb)drXL zZ86qP4=1s74zViy!B`ui&_x=2YX+VcHY@&KT9V<~7hsW5lWLnyFe=`xwd z>}5$!lJFR1q+R$nOhV@M(P?2>`CQaLWEuDxlDl=wu6#unAF+Cpi6??7Nif<4rfz~p z0*npbrZRBezOlIB{ZN{s8BQ@bZtMSMeQy(q?k6SjZ z%jB6tbs(t3l#GD_5FuGk|9wMG79ZM%@Jot)2rerk^H7i-%O8J*=MoCmBX)NXhpSjr zvAzhs41a854D-5wR7QMAlrOx^ z5PZDy>(#K~->qU_dwMviQZq@^W%Xr6X;Yd#8Sp}_m@KsO&d7eIfOniZirqTh*OSp# z$J7kjDiUmZX&PqSQ;HS}jy&*GvkJoUP>VpI>Vep(EN<9~nY`04W- zh#h8b*nV?vcgB&Er4(SJDr_a5yY)efLfT+8gvr0B87ewME(&og#4q)XSK?VG0M-f9 zUxs?a4Jw_XclY>WCDC{xFLdUpGwi?;<|J)Fb|1(55nlN0aXeKt)KBSo_axr`XSL4% zN1E=>qj8P}_{&T}zs|QusF&6sQ#AYm3LMyljf`tedAW=~h$M)ALKJUr+~c^WKjsc3 zJ3#5+Jw4*tHkZh(u50uN)NYWbuakz}2urz)TIy)mmtwd3tu~_KIEaszL0P8gBK@t) zCCEM_h;_%febV;iry}`8%M!VXw-HJ^rJ8_2G7@smaY`l5*|NFlQg$X0yWIw$S~Lb| z53Co+Y}3%rS_w3~ysn18yZMY@u$I?AGdEi7?vZ4wmf?k|1U+k9{qnw9%@^o-e z;iWb+$<-1ynQ2POB>g!_(T)h1KC~jeC+zhcHEo@+Dba8#QTkvGmlQEr2hSLdveVwi zBa*xPN04$$_!PCCwp@_H0MST)uuf=(-rE;p5$R(!4w&exafgrS<%hsCCHxr`$_dY8;g&MBFyD!{@PnPgp z_an1zYA`jjjxDXdh>tt^_BvWL^s?M2Vh>OH`L=Ckn>lFW^Wkdc<;ibV^>Z6auIK(I zqwhx0mM17kshnwV%;0?hk8c%Lk<4wS)fCNkwS+r$kwukBAs+rzknEPQe?}q^kRl%7 zmhVZvG>)kvt}cOl44}E-;rT(ok^C+?L^H&I>fLCSQyD*dI1^nl7W;3V9m%bxL7d_4 zKTJ2&lh#1S^}qF+LOn#{MyJ1Va}x(xpL;#x27C-qACIrlZr0ZG)z(ii6R%~~5OtpU z7~^u^JfX-ySwW5cEAFLdr!HqCyUF?b(h$G5=OYSl6_7o=0%VZ+8$5KD(jO#wm~86x zHuSZvVfE_JV^TX=FpSqTSv?<{T{s&)nIyZZZyL4Uie46+G^Yux`yr7CUgt@{95!v9 zNDXc*ADk~MKM8W?YAYiEz6xo}ID}L(&hakq;-{})$xF=m&O@!agL+8@}| z+QSY^?=~NhfK3$EhH|76U4i6qdH$X1y(ZV(&cCWeT-%PT%IH}<>eA?#w{#qqLq?o+s=q23%LjhM{bBXPrCERrI~#CW zF0qS#+#Ww}C2M+VF_f#2XO^48V%_R!+7pQvpa2i-bor(t!G3BLC$VK;JnN&xk~>X1 zY!6ni-yqhKja+u)HhP_Z8@GX8osr}=H7gUI44NqZ=X!pf}i9*u23^ccI3 z1^PJPR|5C);pJ`*zEU#t$vA#jvOtoji;)VIwDj1S?^)}P%1XE-vE#>KSWMR2XC5+4 z4C6?^ITQbP2&U;p_!U2A+HP2YGu-Y+OB958v~daxoDc#(AEp_Ed`xW?WxU|Q<`d(u zQuZBQg`cu;OHeJUEAT2x{f2}MufQ~bNH^_BfTfsZpR)eDiGHO|WC2EtVNevN&ED?r zT)2NE`=)gD;6Nq($39AXZcP|Tn^8VaP!`BIe!>$*M~8C>Lp(wBK;}o^q{JpiDM2|x z>`s6;Ce5V|;Mqu1Tvv9amG!(jciP@w9O^0hTI(+0?87;*rwao8chXlL%XTr{~9o5VLG@ zgq1o|-~Zc!DW1M)s!y8iPRAebVXp0VmhEZ6HF1KecR#F02|dyZ_l_w=o3tH?jk#Up zQm~hb{f55Mgsyh^>8;g5_L+_T#TwAc=XIPds=aj-Sx!qGGj!rpX2)H^skOxe?ctN& znDKMT<(be#sE)t0o`2TYdh9Rx?Wy`xGc@kj|FYt%4o~hKfAAS8)j_CQ5O?w4UWu2@ zBi$gui9x*ERW~^f*G$yLc1~xXIO3VGV*Ggsyp7N<2xt0Q)7x0QpXbLs2nYiYcaNUK zCjwSlJ*EiA@+7DAD?6o_K5xrte(6I#ebyQ^ZV#G^Z?>cKnJ}o(htcfR&Q&I@z}gU; z`nen)-Cq#xPx%&fyLgk@b*|`EzWPbCg{{a=PK&0wuhT$Y zKIxCB5(WEXRvA~Sx&dtZ0MITKy$t!7k^lP-?U@~p7+11zl|Ke9qRb>Cl6}2>PUQRI z2=Ac`T}q_dBkR0cp=P0kH@Je8Ej(g!_Nkbk+1O*o+CbS0m&rAqR+X?fVk?iYk7sI*pf#I#JLqpD>Qz2x!t9pPnC?qfXEQsKOAqY% zPg;dVT7|eSh%J6%o=mehD$Nvn4rN8$KK5-E)I^vk%Piz*A(WbSN?N_HZBjts1WX;Nbv<&g!(=Azzj~w2<&wVl^crRFZEkGu6-arAExoSf` zeoAt^#rQ)@ESsyWp2NT0Eny zN@p4B>%Lqq9Qp8s2-E&Ty<#l_gSr;(YR*&&fp3kl(pM^XtiWyDwk-&_FF!Sv9Pc2-U4fGFF2Z|kTlZm=j z<>hmz)*--*nOj!p_IAoiit|HurAD=mOTXV9Ligoei_+DhzJzCxQ3Rw6v!;kgdB>)Tz|4fug!f})KV~5Rs(8>HYB5Yjvp>f z$nYI28(Mr^LJ3iG;D0ezyqp;HZk9{JtS!|X+c~JH(4OyNnHiwh^EJ30 zRtf=LB}{NpPr54(jF(r1!R=lhG`P)|9=a2|2o1y;_q5zy-|egjz)m~)qDTi)Mhu2* z^>W(D_aNkEXL=7zmfI!dJI+nxuIu)2LdaIb2N9Omj(_|01Vi3dH`7kgnaB=r* z>Nl;EUB7&ph~02jtd5hkdV{UmXb2Z9cPYqvYzc|;^W4gPIsOnY4|U;S!HIY4+09Ue zW!6iI%=?!e$Ez zA^RNW9&jJhI$E1w-i_UhRRrfasiBl1@)}>dJsjLhz)0y;5TEp0ymPn-aI(!9dt|8} zj*`B91UML^!b4koe!u5>Zb!Lx;Sossi_o(fQ!qHEi$gF|L@dgCCKqM@{WS^WbOR$p zsnx^N!^1gAy64w0C6_=^aCq;Gm*%00LEyUeK}W_3n?MT2$x~k2P4lh!@=H@GvHKY) zcel=lU1$@XaksV8`kHOT%6si^UD|f#+wRWbe2nz#C?eceCl>4Hh$xT~egMb{v;3J9 zZ!K3oNt#PZSKa~9J8@rPVeOR1OI-X_U-C9+RWO_c`DjAof=c=SE+(Kb8GAn?(>veg3`+Gd3B7QM+tXxK!I%$lI|K z$`iynW7k`quC}ir2e$A3E!v0?^)-Hrcdww9MDtF4Y|$tK9awn&7Dm5_tiBrsx}Ef9 zmfwD|8m2Cb4NQlEk@IR*Un$v<>hRoaN?aE@LaGSY5L&;k{Ae?$YL^Vd(kQ(-XnIZqU*qMvKvL8 zoS!yf-3=Q!xezWG71km_Xdx{~E*i8CB&2ry`I2CUvk4CQy9XL7XPBiEJS9%wQq^7` z+KMOBmXGIiQDttC&4gKsni#9c<5d=yoLmb1@yQinwcHkY9zFEZJaw=`WVPtqajT!T zo5h~GLxFySePm=k_N{wlq(E_bsy<|bTj>RI;F3hPfEYXBB^+UJTp@Ii0W*b}v3~Ez zp0M&&ihljpr}v!lgNAy>>bEIvUT|?1%&YZdvyoW@e$u;$u_SG`7cIX_94zL}DP;P%o@k5dgSqNFWdvW3CwreR zwk41iQ?7NPA}I1H)9rZ;LzUxC58ka}EAy`jXzPH@sn+**&=39NWZ%+QOImk)l8Z}^ z40UAQy)!{F&W>ijjChuOPuIj)n$9aL#Zp-4!{7Q_9q@Bx~AS7pMs&hw){6 ztvA>t^}qQRBe_(L^rM91+mriL1R`g1lR z0hNIk29YBoN`y_3I2o)D+aF&oy@+n~^Gd_s`SKnA#nCG>)hlkv&BM<5^WFENDmC_^ zEd|nf!Qa$UD;p`;KS=G96iCwcn*7GXNL|7gKW;SOl5GC4RXcz>eM~ zy}IKK#arsZIPobaHfSc|sG6R~9(Pm+INl4TX`h^O5EPj{vwRza@;j4(thDzT_?Fw((&{ z%|x~7EN|zz%5+Yk-{7i(rFxH+((O+b3jh5=mT2q!oz=?RF-b*8oQwn#(b*gHZDMxf z?}&BOgqhSIz2SfE{NGK8IRhuEo#k-0;PsE5|o;C zU8o?C+PnG|kFlwdD^33;Vu|<_Wl@e}!`0Ek(dk^}UA6hhDEcc)ssPlQv}ME?J!I0ewSjAE>t-C)0WV%}SX5m`zFCrN==2MnPk`D^+^=5-z8dPU7c&VGzB{WB@#@~5UU5*RNI|0)?u;$8H-Bh&t~);k z;e`dhGk-OX85bt#xCv9>MFy?@SL+ZrKFYB@jL_5#wMX}m49zQ2QcMXKUa`qFX|%i? zY_K~fp(ONMstsLyq8aV()FDijlQSKm1-VY14Tesc0eJJlJz?mUoDcmT8>v+N{=*J^-g?;(a+>Zo#H8PD9}u?0y|re-XgPu_7+nT zqCf4Qxwptpwe;`V)Gy!GZE$KM9!~%?yVJAX1*B& z3{8wH(f$>}y*yK7Q|G-Nw)fQ7v|hY@tenxik+yD>om!`HrY&Eo9+2?oe&6)G?`IqkiCWJAngnx0Ti@S_`4ZZPerx*^XF6Op z3b{;4Z7iP>F?w3jRxoy%?=l<3bP#~8Cxr34b5c$FS2;_*f`67VZ$41*lO5_9jdQ@v zeBFfTaY*y`f6Y&B!1t2JKU!%oaEH&7Y{kS;OWh^0UQJGkppV!MUzLh%d`v$RU84Zj zRaP$AE;2t^#D*@~5_Y4td1DK>jsAY$9v*}iLhV?I2p>ap^a*h_q?in>ep4^LsDynl z!Svnb{oqn!kUeG~fAD2RqH<-3QF!}wrO?_mX7 zA+eBjAo7uu@2(NVsUnS>@YCy98yXsFcI%qQcbXP{bl&M5&%v6^d)^7)V;X08yc6@O z*zqnBRf!vuq<+3r;@G$eza0-@Cb6 z{>x2LWR=$^Y5=un5H%nU4HV1>{O8ZU{ll(*-!;RZL}3efW$))H2$!Vw5yo-hccU?L z;VbQBIDa(_mfAD z%*B}|bX?gPJ3jQWX8md^H2m@cRj6{)db|g!I?Zv@(9j1;s@hO#BW_|IuvQIgv^{WVZQ<#wxxyeD zrpw`qtINV6!p=D0l7U|}%qYzLPK^vV=Oev@`Ozi^cpEi483CRynC921|nnjS07l{NGi}GE~)`Wn04N?F#$P>PtbDFdSIs#>+3J86L zj*Q|Z)VDR`O5nX;SOTHfJ(GgwUZ#K7kI)iF4c$LNttL%h5r2@?abKgMQQWi?4vWFuZeh?S1d4c zxi_%@NMwB`dDUu7g;k`DndXr^b5jum{bTlvoe@(JGPtAYhuWMqkZTPEIZYlaSr; zBASqmz21Ihyk~s^$NQb*t?qO{z9;b9`}K z>8nqsE-c#eVLJ6^$=AS_$bp^yM!`mSBdG!xBV!hq9@yAwkEreq6&2?go$QImUDh+e zK`akEr)mFp6#0q6TG8t^L7+_d2PA+tFj`PA}{>F2lH`iBLfy1=?)J?rjD zD?lXn7Ee%JO_UEk3p#l6e$2wT+=#Gne>ZpRCrBO#X!4e8qtq8#>SVBr=6C1XZ3g%& z#ZP(-5j8V2i)LeB@F0~thbnS1l>UWrmhlqw=BOPi$B*n)+om$j)nC52($qe8k%_Ax zOSL4FJTPRh!u__oHt(S`Qvf{xOG{1YKMveLD?kk>ev zv;cw7Kllvy4+s_YvQNbKY88Ew>$cK)J2EI##mwb|8>@N8%ur60mH?I;#PnQPfN`e2 zc!ggEDP~WoG|hQbXm-KZ(IZy_bprr3qsVG%Kh`c<Udg8H%$4N~Y$-OqNN#gr>2H*CAhk1#Hg z``xhn`QcQ7%4Q=%dJ}VV1N6>T;jNym5E9(4GXd-pxG34Axw`is+47LO2g_WHuq03TQy z_XsLj6xF#FIQw$-yNcqS8yMa~f+B)h=ks^PGVawUXGYS)dp5$riu|F>Px-B#Ynt!u zSKB;-jS!g_8F>XsqgDKwJK0NKhIEF6ayQq7b*|M-a}Sx1PfR3To~!_5_U3Q#I901V zSK9*z0^3(}pv39imdXd2A47l=oBcD;-dhVVI-Ye5^l0nTS$V!biBti*M6; ztt%v9;1Fvx+)&lv<1%m-31W|=4M1i&&lhJS~&0M~r< z0c}Ldax;rspn%hMq<;0+9|Id_cnFAr?S-J4Z~VnoVz!)*n?FSV*7vr=aBVd~an4)- zsdz^D#EFl6nXEmOE@^W9H6s%f3g|~I*a=Cu&)n($3N(-0cCF+<^=g$G$<%}j+^>>* zW)}V0d=qZ6fWwrEas1lix;&^to`&F$7w5lMj#{O~dkJH`E(5}LDoz_uY;29>a~u=reo zW~ksr(1#20?7Ysk7ZK9hAp65X=~ui<7A5+bYQI>KI^md3cnx%t$Jp2@iZVVrWZaX7 zSzS<24&}9|z=^Ohdz-e=XGD6ob4U2W`5Eu%?Hxz7jTAsTh{|Yu-)--zXOhR{4FfA0 z{w@U~jWlXUreHiv*XinRqfA|4C!;<+cIW#%E~qVhjAkuW4q>8m^g%sv$anqA?NsxK z_>RKA0JWfndB;|11@l&zm|%w_=KVR*Wc~yZk~&=_+*@&#IpP2~D;|mG_)lrp8scvl zT^2KLUDZT^`@Ot9u(9uRe{b(?36P(kFFYzIE(x+fDuvr}{H0>vMWrFTdu7iCSm{;5 z8{T_`ODBJ;0gl+ir;6Wj7JRM(dzS#N2h+vg`;!GQ1bT>_8kzlmJnal5E7-F+u&0eG zD3a!}yVur|(yO-9l+fmr@VLe&NWt^#k<Du zGITN8$eEtlreTvH@4^S{I~7&BC}9!NTiy~7kj*8_VLNyOs}*Ky{!K2yhs_5~*r-_; z5?K%B@fs0FvBG>X>+(g#a_Bi+F@WBXl-sx<;i-dqp zze!i^T|{p#*c~Rjm7ZFyF^71C>s;Q`DLIBI&)X5o>xD#hV!ZU6J9%Uq+0v|DLO$!GXHV~C1|29vEv{~EWverq9dsh4rDzm(`}4gOr49CqOT z1M>^g`ufW%wzZ8m{G_&V2?>>@P*<=wC13+0f|v!OoeU8l&&J5eNQNWzYFO)b61Uxn zN``QuC77vBK=cZp0dKX%@Qq>tH0x^@*w=fE?g>kijStN zZT<$+Ji%}X$jl@sXAhe9JEFjLZ{HllRyzK4?1!n2Sw%HWgnnfPUr1q1o*hW>W|Dsd zFP|$UVj7?N_6$tT4EuG4s#Ko33%L=ze(h^Q7~I;_^socEnl7m4H|R159nvW!#^>7n z5qhSbpacQ!tKMopg!x;i$l~gsR1%rJWZq6<)a}=@z=p4&iqMnf8q`jUi2cu?-pI5) z;1y$@-9NH{TE~nZKN(IH%t1I&C6@r^T&=;!3RVDk5ukyK^zpaH$9dXg63|@^etLWP z;`9&H719m5jpkl;MrIl+DlWCDA03MU^Ep8&U3R?6JY{71|0tgqE0qGkagh&4I>n9%L?!f+sHj zV3CyacwEDI+CS;h|4({m52thsh>^E>vyaru$2%aIucm^C(U+$S%r*1`WsjN0D&#{YVD`D&S2CcTbQ4JE1?z= zD($6q#6~8m;&{JWPXzN%km4dt@YAVi7}UY727s;I19F*ZQ8dP|0$QU6!GcpB(^)jE}S>& z;;L&S-(PD3$OsrP-K5xu{zp&_08AhgNW%MTl&wQ}e4j1r>pMl6Sbbr=k&)E0@=i`p zAz2E<*&_w{wKHz=)!rX zz)8_3LTc@!1N7@=o)^7Sya)Am%q@GVNa<6hu*gE;o7y+P&4}A`{_mRKwojpVUeh~M zH^epWvrT{Y|6mN|GR95+?8v zd`UHD1mBR#9%G2~y$V(unyXMD&7%ehOeP*DOuQufeS8a9MAxwr4bDfJq1a0ks<@xb zmVwGjcDtCOW7ojR@bvQx+;5$K`^C`E^E&Df7QHjsNcko2X=ozk886pJIMH{{rM~`+eKJUC>En%*-qYSVqv78ui0`aM^r;rnfbL& zGDw#nn9cj$QX+}J9y1%ak^Rcn1)An8bCvn>gmNbu2t5Rxwjfo(?LmYzm z(7L{qQ^rYqOO0EVYg#K%#pQp$#E=0h8XAOZ)6R^HOkH&&j#PB4GnT)2$tq0-rFCo2 zkc~EF&iz;hn67wvA#Ip`Z7Dfl%4bDWd;rODuK3FW=P%vi`;vB~58Xyv_*-lX$en`! z)e->W?^R{vQ*qSIibZgs#f#2vsR5H8?@*S7?5~EB7IjyUOsO7_Wj)8#)RPlZWpYPE z8tYB-E?W%tD@T0if_iKZJL(~Zc^_6K)boc*kC^hPX=HM96pl*9g{dY+d6i5WMsw#6 zP`Q6wwsfa<2gU0jtjA+3Hp=!VWdrCGPbGlebsvd~i)d=imo9Iac|JC_ogD;}r@GM# zRxJudjkJ3EHHya5*@ql-h+t@2L=pRSV0mfpC6`Qm?VhcN02#BT_`BTwg!By}Bw{uh zohkh0aPJk zT!{K6L3-*(71RAkT_wpQxwEo4CuOCn+5#r;mi$@%eks3;j|LYakiAdKCY4c=PR5Jq zQa7vOhqRf!XnMzcQfi)BemOd$5A#*&++Sa3%T-q;t*VCGU~Me2eXd=epKZ-8vrijj zjomT5YPPncIK6H!=@6!sarA5l`VR@xevK?`d^uNfo5gCeJZ(r}Z7e{8{vD=^lO|=} z^UfiM)k+uSai{*0rm>Ca`toi9R|R4iBDuO$UVQI<(p>ro{t2wXbhlgNZ|1XI+>SEq z;=E{Vs7qJX(_Au8OrVjeIV(3N+p)?|ZDE$d@W3cqlV}9pF%zvq!X@xK+t|2#wqKme zAwAn5Wv)IX5>{Ry;;CiAkE>k%9$(-%wu>BtbsT+Ba-HQ9^BSGSF^EjM1RkQ@>ggR;fmv&BjJ zP!5r#paP8{!{4uOKY8BBjhh>1H!sie59ZEN<&aZPRRt%tp+Ur?u|eW3FP$ts>IT75 zX!`c>nJQPL<1y2;3Y##-_ur4NN+_?&P>V`qpbG7^5#*$1t0u9Lahq>=+cuDtiV?_+ z$C89t*PWe{c-=-qAYw{yPN|rRj+$MiD|wpkfOuv9nE;BfD;j8g2i_eYQ?STy`g2dP zo9Sgxxj!i8$tnBz3R}6uL0)jcvsHAEU);D$<-Vhl!Dg}kTI&dF#Z(|1LpxU)APi^&~V#RR6-k$7lbTWhXWJ0~6Cb z?!deWhx8`~%Q8=^?CcS|DFn`_I7#BmUzn;Tn2DFU@^1ZmFKE>m8Pfa4;UHoo zZ%)m!_V-h^JiG?1`D9q_S$7_Tk!#<)ctAKm|AQ3Qi)}YEWvTb7E~qaXIus5}qxctM z!1KsF)t)u+m4KqtdF zB?nE)`#oiowCuWP1A&yO0F zVVsfHrrs;x+fmfWVN@8`mBCVEODU06V{8^~?z(1~7|63r?dkKN0~%{X6fq!>OLlm> z(93rh@&sf8oXR)tC`@RWLiTmpGQ;&b)1&OY14C-gyPtSiwZHFiE@YS9r(?g>EDyP! zb!*vP+3ACoRvsOSgR@~koeOCrp&DRq)r~h%{U^fZeB_6Fw(fl9cxxYMlW9T%^Zl;pded!9Jh$Onm6*~Dn zJbgAU$A;lLtOw$x<7=N*hv_1%tii)VFq-wTUTSbjl5UVz4%+d^qS1RB2AUO!C%Qi$ ziNFk?GraY9I;}%rJ&QXxUG{E7xb|*)IsSS&x97V{(f9s-CS)n9yknfOx|@T8XO;MK zVYZX9fB<8gzWCA-v;b33m>*^0F&W+xwszbQ_~>ih75(@@HdBBA9;pi3pASt-Yi{gU ziBT3M|EDpFBj0q5R_`gO8?EKdn~%sC14DohY;S*`UJ;x>m(u#BYoTixpG-^lVJm!V z;6&N>xcV0>2?TN|^rC5(bZ2^Ua=JzBdM|GV@EZHG@u*g~iPV1P13YZB6TBwCioMi5 z{I1e61^bH|bLx3B9V-53t-|0joG%Ry;z#~*6(bW0g~pk=#-6~~D8Sr)L^_yg0kVWf zNYr(7U_b)BQb{QkO1zi)?n}qFm%u^z#uUnX$0^{s*715#B?^rI>TtEs=30L_o*_FA z&ZIWa%_f>s5~khp825wIbC8BW{CKHP%>?*-?rBj2aA}KUv*AaW2osXrMg><4KAWrS zc#R12bUHcRK+hhp(w#Ii1byy-9@ypzF`QS^$;H7moBwBTG7-)`?T{CZ}lm5Yv#Z&sMEwxp$m_565$3XPyht5P*S5uFTZ(0COV*>|}I zfk2#j;O%OhY}2y0W}YweTEBmvfo&$3wv?8N+-1vcdhpB~I*#-}E7A1$6v*8<-u2Y96a`ff2FEjyL+<88C8avBZK<`&D* zX+5Z<&Jce-ldlFoYL7t61sQ&Z*D;^@;Lo!HC);MH5{*}g)IuXVC$Oi&IBAm)%f7HJ z8jX^}2e>qnxJXH3m6H}P-^yvxRK3sTmIud;InJv;oTF10wl^lgGc@3UD-$QNPR+xP zRi562xTiZ^$jQozDAM3G4_VKcaNZqE6>4Z;k@An;F{yjk0{6en8&>_IG#Kg44Upbn zUBAl{aMReqLI=?SFXHkm+2M@Rk=DB*6!84gU=-k0A(14-BqwJ@d$o61ewgaC9D6Bg zz5@q=?6T_Tf_epR0oR`Z{K%ACMFQ12zdV=^c9qC|KcDezN4CGS%8nP>9 z16Tb|&{8r|8uIEZh_@h+Z^Zw{7O?;CUjhG*@BY7kCBdZ!ff(*= zko#0+Oc(WySYST__fvBWc}0B|7!XfHaMPm~(t~OcOPeg(ue&pgH zF--#W?gkA0S8?AJ)zlWQ8!K$xs9Od07D2=yQlp6U=2nD7u^>g7s9+F*L`r}VV2N&Z zDL~?n!R!EPELlAlVv)==txBWecrJlrI#M=TtM{s`#+FIJeSNQ2q7Oe4`3A(t4aYH-on#L)>~lbE=gv&CajRYxv%I*vrD_6617oq%XwkmL&I08Gpa z?wmoVbqPlH`iSPQ+nj()w;cYt*|h3)3EleiMFoCN@KgOBb_n;(;uIEZfE3j=%}EU= zC==d-GliG_AayP7;hY9spL1pS((G;{rs%Sp8~eh<1UNmIsnek+tT?mVNULAAQB8+n z!8$V~t5f;%dqR?*;Kd^aXL@9*A*%1jU7p!I|5eN6c!7+8gED;A??2}kzsFQGvO9qr zk31^rS3->!pnyBJZ5l=keGG?P1rMc!cYd#%X{fz<=3&sgx5g8_JO|zC{>1hL;%a+g z-KY4fAi1#iuqpxwoBSO3aLM1;qaP~?_6V+CM0{I!wC?? z>FRtDdhsQ>1vs_wDi$u2Y@pK8;3)P{L-U9W=1<|?_VY!;HqIz zhQl4Fj7Mc`0W;s-_4>`50k&}U6W|!lPs4lWiStDAlm>L1zgcOa?JsTXB{5lKi93A& z?(JQVAX$(H^g^nTn^Eoc8)_8;DtA`Zxxz-PRAc||pLLMRa!q8yA?|n@!F;D7%7i&bWKcqCDq!?AFEe1< z7R0cT5y_=GI5wq?G*1KDQExRVsy(Z|ZW@);l^a!E>{xQaChVFj)iEeIQpxB~3rf+H zi<|3;nHSGwc`QfTV)-#S>+_G9O<=F#m)Z%f%UC>Em>c!(uk0Nijgga8Tj2*U=eznRdLAq(W*#QCs}$4VSUzVXR|?v*8%rzUNMH8%&lo;+FDg5;%(^2jdFj28PY zWJWCZAAth{0_0{5u}@Rjw8}8+a>X-`97t(J-gA&{$Upbq4-C->zEh*++91s$25M;V zRY6&U{>kX>t}bh05NJr>+uJ+M!*f(lx>S4=5>Oc5mCvo`K>!>J0D(w63KZVpHB%1a zCd)vZT%e0s177Cyd6TIWkIHG3PK9|ITor2|cvlG?9myLya{E);m8t8O^J+U1=WgEP z;ZfU8-+4g(=&6Z+5Xb;nf3eTRFA%~{1A;E3t#Cct!qby$;h7yMc!E*;tIr#_R{5<- z8g9#zuP@~oLMnjB^#OVCK7cVx7sxX67jhc_v@tm92XrI`O!?-P7VKP6>EF@NkX~ZE z_Nz?t@+-`uxaNg788;TM7+g^Fu}(hzZ3>GScIhcw zN+m<|1kxk!S5^rdYGGoN=hC?8c3<)ChMLzucCy{|O6NAbi}*$Xflxw5dqoY1FVzXf z8)^n*r2E?{GJM_T`%I`$Nr%y^vNHcTvW$yNyt|{L?&$s9#kZFZUbQlMr1LgPC(hz2 zv!z8wl`jAn(5Oc@{unvw#R$iOJQaoY8jCnTF2m=#n<-_GD}_T9g&N{(+L}sU*MK8; z0?A`7U1?k__$X(Qia?aVe_S_BpG=n-#&fioa~#;I8pEEMO38+inq7L1gU!s{$e4+| znu??piQV_ILprObMG=Y;*on{*EHGZ3U5;IIFHi4zIzFJX$-?#9w!5XtBk9zkRuF2W z#ZK>8Fr3a?v@UHHl2ZF87!*{RQ&yf=vxB|8k-Rsq+AgCWu$A<1?z+7~+`D=`>Bnbb z1w4g9aiLL4QnsBR|G~^Ev51VE$@cD4FrOdC%|E}@H8MOrG&J>Ki^1W|D8xUe5Y$Bf zG4pHpZSW%|aX>2+kTx>lz|ULTxzS4euJ}SSdAKXoFw!Pk`j}m6e@#)$PGDIBD=R{q zfx=iN+?aiR|Mz5%XHM$;mHrAZc=`wgL=^5m7`ER9scC`U*D_rvS{iKwHA!`+a5(ci z^x|ZW`}(pN+gYnLe#2#mw}Lxgz5put5>O+dmGeb=dwZ$aMy?<8;5NX(y?LX5f#o$5 zT5HHQ{M?$UrmoH&pTPL+*zpF2JIqYVW@kemU63biGd~m&G#CkI+z0(*u4Wiwo#sXp zPs>AABSR)NFN_fzGaW<5H+5w47H(G=$sdS$=F2=tVMr$TH!&y@ZK>vjOkoRn(J&wp zF17Hhntw@Pj!Z6lz@T`n$7SwK^b>6#(7s6pvUjtWxm$Gvd|y(2kYIECY-;t$RHfte z8tH0SGu&B13r;d*;%Q-$YSh)m0$higUuKBkp2DZ~H{5;tGcAyrLc?iK$iVHZUqa+p za@D#*-c;S4Z@W2%45|z)H@9yBhW^D^M>iik_YkzDWU+Rl>;zW{5c>A7u_N1JV#<29 zJL-lcl8w@;SA*uq>gd$jW)3jE?^5hWeIER5CSpCcNHX5tom;RXprT5W^($-f)cBsH zl*$8A?2M3S7qym)$?BZtysj)8)xtvGteDk9VaHw$44k?>qV8{L;I6$akC2_5#<}Qpv~9N13z0&h6%nsg6Y7xm+DN^Xa!-BntD#eaZV&5 zQ6bKcv2W`KeXekKLLlaSl-RQcAD`TY2ES*U_Fy*LL}^?Fb_DU;K2QeV^Q z3k|=yv9ijTk3tr$beGJg+i{^2uspi&oI+ed+vIax9qe$%<3}n^$kw^gpcb6RNfeFA!W(E<4QwV3qiFTNFv0Tl%2MVzFh#|WM~W^oBz z>b@XMk62vo%pz!TIAtT~UW=M@L}1=^7_^e00^K(Drn^8gwvp~+Ydb5nKx!^#9XaQE zhjnk;00?v?sr_cTxw)~Y+AcXBRo2IlTSkgp0d7yk1V8TG!X&xe7w2-+4swM5MREvqTEzk%bCoS{K3I*ho2j zY;MLp4?0tAooUS=@!H}7?+=0l_p(cC<{9cAT6+LYh|>YZq@D6i!h1a(Eq0xXicJC; zluKDDs`oSO3ZkDp8SepQkNV2$IEE}*y*L2tc>SA7ch_eaC<9w^s{K%6l;Jex+3#E1 z7l6eg`a|j}uCkhpB8K>kh23Nh(eBQ#Z}eht!qsgKOHuT?lw~}JbW?#qQ8y7aJ|Rr7 zOLDE5�{C8dodC_2qa!^C!7;CTM6B{&h(S9i(i2?dFI0At+jq$UST!ESQh$m2@a{ z8-%scF(4sxB4q?S-zUe{2&^}G?!DlRKzLfqud<*leST;9rY#&gyK0pnD~3@>;RIWhk$2+!a?tFLSge%w&K)~8_@dp?_^aG2SVAkM;GsOU4orY_@!=_kO{uBZsB+8LE7WgC2Ox7l3G9zoKR$`_1flo&Si+8N8R<_hjtL}Zg|7hDd$aP|q z$nxS+Yk{#w#qs3RIzz|xmX>&f>5Y0iq1|un>5p}#{jSg>&DNsC7ER#=M6hS!w{3`d z!;=?mzdfktu7zPDR)qkdyA@DML&)ZZ zw-@x@2LQgz^xp;i?t<#{7QcaA_rCrP<@7GERbyJ3@F#^`m$Q;XR%Wcy7JGR-rTT4$ zHt0eyVQo!~q6)0G@><(u{q?{~IK*;>G~Xy3dkFDUV0pmU zf5#B~-#OWTF&F&*`L-C4=9Rk2$^s# zvs4pyP>J)`b-Dqbb~nSddh6ASK37PXr}Ije^k-){J@B!cqT6%M$|I-AO2KP@b0fwW zX+3$6rR5VcT?b@3;ZV}rhH?c(V|Lb}%kV^jB{tH^HfvrO4sjtEZdY3j4(SH}fCv)8 z9!uI)jl;tbB&zfI%#Zf1;xAUa?A{ zY^q7cFCU^zTGRpX3FV`F8yH$gbv{63NG8rdC7&3a=&OGQtp|N}A~u9vSNA(r-YIAk(>bF2?OLst(*Ab$H70<{FpcK=O?FA2_2 zprR3xgL&R1fHSvgwx!&(>S6!!?g$bP_TG7_n-JM)DP(D+OB_59M72_R{6p(y9CF*9P_yBT5X7^rdSyX$v<-d;n3oKp3zIJ?y%{WL?|GvpS$c$+8q&=IwRV7nXdqcH9;uwizoOAfUPOzMDLMV-gn>Pj*An z;xe?Ho1nv_e1`R;nan$QQH8SioPyw6&R!HS<{`>pZ zrn;oHIt!M&qVV4Eh%QN2G5*0OL}^4Ol6syMM`RG+Qaxd!UD^P%+|X0U3!PGY*hkNC zfliDt>cj!9Z9}KlA4S)QXXm{8IH;`cVmk-FAC#xyi4hvgUoKt(2Jw6jId!!E7=%w7 zpoKo%vAJezRCS=#D-(AjV1N-#4VEgz`JGJyZB_5qD>zf>01QLJc6Edpag;LeJ~k9y z(HEb#+}h_jE0;JQSkdQRZNfYGz?Q*8s*@&h6|B#rqJnc)!KqG@57iqZlThlv;0K;d zM7P@xw!KZ4w+1^ijP}QEw~3p)4IN>%OH=hwJgTW#M9%qe!Uvjh^rk$h7iWh*Ml%UuWcd}xCvU$;KIGMHcH5o+@YI9 zs%ro}vDPaijZK)ls%_3%U??&MVbIWq!&Ct8>IOX9j_wl}>gj?&R zmOG2hpoL`3*M}&I^2rg3Auq^Ie`)LRdX%p&SizRu|pNk~(9R>|89zTY*0BF<(Is!IC(eX7$- zynSz!51+HrHf05P_ZpCysH9qjrZkN9w;Y>@f;`L9CQv=`Dk6A(a)x#TdM10$)uai@Ur#T1lVo>fTYQ@(%V)(k zOz%FSg93lb@ovl>< zP<&B^M&RS$T;|ebI_LIl%T$y3^2Bk_-%rwFq1X4v6~I%8M@gHyoJ$oKv|hX0eQR>@yKXQ}?1rhyhEv25q%EY1)*mqy|U@iL50I?)og zD)W{BC6?NHG^}S$k#~QCVMX6@C^AfR8>-HuSD^nylmjx!)Fr}(1t%xECWR?Hmb0$T z8XqdW0eK*|5haq|!na9-OiBuT#wDddes$^J{?B5H2I_3sS7v-He!28;+rUFi9X2gB zGiLSd_>oYH0REmL9G{toYvd!QjL%~oq1$1b>l+s8z&ptR?(OYkr^&}sHcUt#6!O^q z_>CB7S>*3mb+r9i(j*=d`5Jf8RQsDmu5C+z4~OxqeUMpP*JHdvNEyF8|9>sp#9Ao1{1lso*sH|FyM3hF4s1 z>Dkz6XsUT5+8u;ev*}g`kMd7JT#IV#MA^CX#B{3_Czc+O;jeMRg4Ic6kmiked$AVF z_BHGD&Q+nqc>R8x7O7o98Z!^bf1yvfvqY9f`yx%#GJAf)*IzO3Oh|8Xrp~r*+k*JA zcjci(b`j?Syk^Q`6BR6rJZP1Pb%a+YX~jbVuCIF(Sv4W7*6|sc`c}E`YUWzFBa24d z<=3E02T%cht&e%2Mn5uI5v>dV?jSBtX9*NdKRK}@~} z$;H69DFm;pdcUx}a4gh?b*JKr5B8v_g1j`a{~Z@3<6=W%d00dEwv*(@bSrnW!_L@>y1~Q zHFAJSCI&v<0o^2O9FJaA{xpJ+ZIJw5+1;swJ~O`|Op|}|4*nel5}%61u!XVs6pgKj oyO^H|wmLXQQ_x{kuNAm<&mRG;q3?P?wu6Ao&Yvy*``W$#07xAa!~g&Q delta 188874 zcmXVXbyQT{_xI4HbazO1NJ}Z8bSOPY2~tCMTtMmW21$eN?rx-p?v(C^_deg>`wz@o zvj*m#v(Mh2IwvzP#+zT1Ysvr^A-~YqTUX=Qt+clJ%zdl2l2=+2zk~`^5Hl>-TYo#$Xl;t<9x6-ow>KEm4@&y+CrO;BOkvqTdg;unPEn&cj|-B^xm3bwj1BDT$T)IkKpCl>A zKeo3(d__d${o96cuf72OA(4-G^(7qe*6QE=I|pK!WoMh}KNLmoKeAw|1=L}lJ)H9) zZQv|0LmIgrAet`LY&rIkg##9is2?NEXj)zl!`Q7=p5Gs{$`2lf8n;4AYRHnWazTOI;5e+2LyCPgwTbd@y zdE#uxW%DIqnd{gF-$SC{Wyjx6eg6EJDms8D(B@YnseQCdSS>;Qb@xY`Ps56wh})I> ztuqw;$J=kH+9cMRZN3)RlsYpN>$!~=1|LjFj4T#v(eer&=AmBkZIbBz4D48Co|oQd zadE%!$U@!rXUsT&&ry$4zwygx=(mhlc?bovehmg(Lwe&opri#mi{^96WfJiA=ix3$ zAOAPWhEsU*GRq;kkO$7j&P?73_nBub#;6e( z0(14$ciPsToF9`dM+a(qmK5)9~cw{XX6f#?ylv5o12(cGmM;g=Fcv78_{rN z9S$?Q6_t~6Ob1TVM3ut8V2j99!4h#;Ugbcnx;hFY)ESD5z>V;R_v~Dg zN7Tvpx20pOF%eYl>8EW;cS0w;Nd_)U6A?xW_3LdHG@{~xPMSHkW`;y6b7$*ouqeo3 z7zWCy3!VYHQ5aQ4IcTQT7bpU5iw9kG4w^QG@2RENj|U46OKbc5Dq!{c6_%65N#Gtj zceKw{1<%#lvHDGC){mNs#?@g(EvGMrEM>w9HiCL0(i{odT7N5In7C2PNtij9T&t|o z{rNGA@+&@#+@C*~#Ps}AXu!4iu*?2TxrJFwgCoG$@%QhM!31Rp;>plx>4Zpg>9~tA z!Zt)1GZVY_tE<3!cn`cCeWYY%JAjSV4#MD|Md;|*a{l@qya!!5eg*1%ff;!JzfBnY zPRSK6aEjY0iu#l1hBSB&pk!^OGN8lWn3N#m#`??N>~H6vT~JN?r+J*ak2ZxE7`H(?5oGmA32lG{U0+ZG$vx6VIl^+v16_^nKDWLK7>^oXOjO?yLUx<*|o z2I=lzMlyRN7dg@jQq4bjbhpXhud^rQ03W|TP zx#tC`?ETfXT9Fn3K0)2dPWGgTb3ZwvcgcQkQ{ljkqO&z-56b^NI|?i3q@N|Ef?iM< zXH5%s`Z7a5E^r_ymibn&7yC|o5dT}@fEkoT=;3zfVlG_>n0O=J%&x2Z6ZoJI<~Dm+ zE5zD55g`BGQiSun3x*m2X+jWdv-m^fHwu$$=nG!`!)~tj+9;~)cOS?$N?UBNVTjMi z&**n!Kz~%Uf6nD{W<+|GHQdK%6BqTjFgtR?Z zwksq$`V!T|1?rrmJ6zBx6qt*yaKc6yrWin$x)Ze&Jr!mp1;a8D9e=AZB#XhV91rX_ z?#8Bi{QKu~?|T>(8(ptAsQ{y1!;sNo@c&K9KH)PTOQmVps+gP6103Oye&YQTF^jU> zU^VT+`}Z$g1V>v=@%GDyE0HEQhJx-Q!#9Lx_x{L?`}yz9DhfmT zGCF~{Gg7RaBsHB2f;lzRDo7&$qP18hi+R|g$fqaTFhTfE#tzZ@yq^E|Hrt|7R+s8Wc0{6(7Bb|g|$ zMerM0UZ*D-u3yDmL0`d(YRY;X9k%b6EGNp;okA$w$;$kL zNQ|z}#sCmbY@HuYxvYdvQsCV_z%R(bg*Q5tzHUz{(nN!ZK1-V^(){y}HnttEUzwd+ zsGP5F2V`?=Z6jz*@92g@z;NhgHl}RD59BhL92V~4)fQsMZ0{(!HGW~XrG|c-)b($9 zsJNkjyo6{P(_uDIt6!gT@|UEPcmsEf2rNFpegzv4K$b0=A4 zbTy&Sva(VKGr+$nAhrL^vxcB!GhyU;zWrc>>`5)z>JJt$=gjWSX=LExy%%APjJljs zB9)&^&M)fsmL4*L`y0~D#E|rnRV{2gV8Yi%c2tX%liSpW&1*dlRgbG*)lb;InY-YN zCj(&9bRW~&+HB$Q_Mo+o=oL67ighR7$c5BpmPsdjwu;C&4`ka7-kgpZ{<~$vRF0ST zM-maSEH>B^<(?x=N0j=8T7S-ej@CY3V;7&aJ@0+Ktt#wd#Y}3OQ|C$D0Jiu)oeE*O zVLAJ`NWC<3@7p6z3k6jtH+%89If6XSzXKk9*ttAb!nFw4#>Fp&*{sFBKuI`x6u!zL z`j3*f!37#7-bzX$^)R;+b()#cJ1Q8z=BToS5mN+ zQD;3Tm2G-&L^z1SDX`yo!zy+^Y+F(BSicc9CjeU{fUq7GL+>S%xM`B@o)QWN4w6mZ zu;mr2C6IwdyET~qVV%(kpKt)UZp3g`f%jOq3oFNCyFDtW(x)vPdSe)9?>ciwkmso? zWpNy3^K?`-{%Pc*?qvap)OMXEjS@=3qyJ5y%bx`Jw!F6O9n*mWt*fCQ$ARg-|RE8_&xpDybPmNROsYX>u zatQfTrJOv1=2}<+%3PB!yoL(*oAveDN=4djD)0M0nVDy;FE#|Iw8{ISwX~3}7cM*_ zw*4$Tvb~$EGzeVT2zv5)%f~5g-uraci8OY1dli4J4;Zvn_blQJ^j=6VsiaOq1_|6o2#J>&J zk`t!nm~r`QIGL~i`m+mk5!w4ifOV-yfa~E=Zy$3E653O{Rpu+3TBqp3LPu=(zmxZ6 zpNcSi?pu}I-GwG5qRJgMf)D1-^M1kzx7xv_cV#6*a7NMae{BdDHjBeD#I*(s5>;4D zt{qB^DzI>A$Qr8Xo!o;J8qj8uP(+%ifJ96P-1;;uf0tQ91-t7Y3Y5g3G~J^9dO5Hf z_6VSuMv_D2fhuz$TnaBF4beiSOtw}Bd3W@Vc#VnI;B@Q?E@N|_A|{`Yohh-i=m@yK zxj(ksH$AAQUXzP3Y`1_Qq4_E$bKww01O~e?(65_IJk^VNc+8FMHKycQR$kC&s#w8c z10i_nCzr1Use%Jtaz7O<-0c1Ao2x%Xa$8~x%+EKF7>hUJ5;>ZKg)yvd-$gk&|%WxuiaoqU}Ob!lXhe z_g>e+GL;Pt1<`zdvzxs0QA!b!%Dtei0XF!$aK4JW989^LHJ=$IQ5R+EE%>9Qn=n?& z?Jt%5+)O<;DD=4q+pnP!N=ji;#=pM14;H`L%{!H~3a_=MS}2ocCPI#n}vby$M00fyB$ zOhdG4f)jixBTrA4p*7Bc!U2BLf`!4MY~(A^&zQ0W;1OES#XbMtaD+D#300_b5|Zf( zS_-eRoh=tzsP#eUm8;M|DVr?S$$omeM8}2=rt+hu|7%2dZPg_SPjF@#Sn)88g>~=z zYovGgLi-Q@nD)(_dQthlGx`V&*^ScisyfGf+mwB+^XmmN{hWVST}K6~P~z8ZU*9jb z{-nZJi4}}K_IOgiX>jw+@_TX{IPzCo?g?z>_?iYn3DQv!Ki8A#W0#kV?upjHMW8>w zuYQlIPuAMN6zV?mYByRWXp%%!{uKlkkNY=N{Q}K|)QFKHNDAHL(eNR_iH)$pco17K zn;I#9eEYl%L!(-?QH!D4^-vf3p~k|70=CO9a>E4M5!)GM zB!^?*FJ;iJ#p# zU~P3K%+MvV{cNd0gDj-k1}8dQT~?CW1)IHx$Ll)C`_QIOM1lYWT*ig1)vLqL@#*OZ z<#Qv0IQj?;!1Hm2h|@`cbTC8m&5elcwrlc8x}<}L1fB7R4%kkUDzB#8&|f}qTWx%7ET{EaH7c#H-fZZI#gs5lFexq|V0n$1)^cuqRFGaO(+6b`XBr zt0@Pcg+q_bo6uZ5~YemF1uJ3gecMbaH|y# zl45mbro!;(N$HT=No0_F(D90~j2&GbQgL5Hsm2J7YI?L-2tT1EPW(7Q*EVshhx#5- z`r_Ab_s4$1J8mYB*3n`9&J&+Ns0totk1~Tm z^&8-)>M?h-%zaYfz~`k%NtTf0iHY6RgbQsrj>PW!7SF@e%aRW!>q!sO>yu0b>e1r# zPD@XP!WDWhGi5+@dAUk{0Ttcr*E#RJ$T)w7lOhCImQGN7FYh(=W?4c=eVfx;WYmZt zO#dYt%MF!{C!MU$_tC3P0OsBD86;N38Fj$igl;uUn$u1c_vPkJ0pbUUxzUuk4`m+Z z%1f4teY{pV%``n;H8Jwh%?@e=W91wX`*Cal`VV>(*AOkl^yJBHEC-n(7XN>NWHt;r{`n%M&{bY`42>ow_?Ci zm7krD57}$aYVpH?j5H?6DVGYWl+@VRskL_h{D;iD;P0z}p#%l;&?LFYINe4rPYAad zrAIU~W6usV+73k0!;9bXKxa?=31(bbsUhrsf>U=mM$+;|{_^1(Sb4Hzl7ftEZ+ATV z^mqKi5U|Wd+)h1cb44DR*?L|P)^h+b=ulvUn`DWGN<>Yx_8ColM zk9oYfvNkB)y4&J;K0Wz#e*|cLuezJLr*zZ{DQ4aL84?m(C5#-x;its6Wx#uVMCbUv z!Ir-0pHc=(8?Z%Gadom*4@d{&^tqsD1R6yao@O?wlCY&dkX}RhGyB|-82tAh7+e9V zP^hd3uXWOiib8IDm$VR_Fe@X4fU@D#SYm0o8r?3o0A4@|Hk!VGvU##*KW3mjONYK} zY(KKmaQR%S{b*6ZCOhS^-Aqt%x0qVzwcJqp+n;Kv*dDkaftrXRSE1 z@wd276b&~{0_>A`8WXqs0ol3Z@Cc&x4fBS!{Lt4rz0tw1B9AkSb+yY&uGi&zc|VWW z?TctaJ+9;oeGgcJUI~?LtG?E}iQGiJKEKL5rk#}(^Ss({JxC>S!kLb(F#Uor zhi&tT89_L#;@`dK3BaRWIZI@MhTWG=&$O+s-6cWeLb}IqJwryfrJG*oR(vk3`2JS=sp7g=cfyRajh}<5cUn$|UnXJC=fY7AE-N6{ zbg6eT)+Ylc(W*)f?u|~q7r!9E^oA^^9uM8VR)qJsuiC2W0mJNsTmJaXpQzM-WyEJP zlHU5>iu_3Y+SVo%FJrXzN>P_?(o0RHkSN zI?l0z`8I154X`4mqLS7FWA>eR<#68}3cI%sjtwGMKRi?gHAMo#sgV49+gHqXMF`CZ zi+pxj^|vNj53Gb)xyZ*v0V8lhY^h;9v^Nc0!in>F%k=LEF@c}YXCQwnt0)TJ^c^yz+djDqZ5_10LkC?^-N_I5nO6&=6lLi45WJH&n+##cH^~ z`D}j6gRh1B-IHJ4$o<>Z*JO-#_I=O@T;MWL9dqEt3lA3U#)Ys?Ich9~$s&y^ia$!* z0CZ^66TBdDU{iT4i~DTJ4JRf;sh$E>hE~6w#te1sLkuzYX zh|6Lu{|Wy%r#cr|vr=PmXvwblG`1ZN3Kt!dLKS?c}FCS)zSf!$0$7*N$e zwrZJ#_62ss*lF1m*edkZ(Z3(p?3X^iVxZk{^E>H2q2lc_N!yCXAr&`L^xnB?J2bpX z;=HQ4F`&(g`54%Vgc-Q*D1$DlfRU3!rC4n}-aejhF{Uy0vMv^4Y|QAkfsM8Q&Sbq1 z(JL^Q9+cF>OgcYm)7w#q*%rU)0+?~^(%p1kdRcuo{rFI zCJP%DoiG<;hL~IPyQV6O$heT#Rx#MfiR)J^_O_N1Sdm5I&a#2(jL&LpM!zWU+QW$0 z>Dwf(FNd-ZZueHMbh(+ze@<%k-=lg*ecO1J8b&!vs2rm>x{1Kc4fJD_!b+Geu@4%| zkwio&n7d4e+aYlfA=y;~@Wo z$y9f!V{I@@S;_(uKM>yEZ@ej#HCtgSAs}vHZjiWwvLYyf zkhnH=8a4mn{qu_r8TCwCkNhej;m!8u=Hd6CMlRpjl|M~@3RRpRD&s9-z)KBB z_-H0pNJNCIWqejve=I{0!pWHl(uq;3olMRNgwef-rS0J?+%5fp9w8w9Q!^VZp1e6Xs5D*H7xP#b`>MNu&0L`Fw)!tXU$!rp>7 zDMAWRgBv94ZR^n&Ts@g@xd#mUfqxhNG`Cw6EJ6xn?P%7@`4!2#QGJ%V~Dm^{yssYpp zP&#?D+uQAr>KT%HBg=OBXlSSk_t&+R<3D@x$(I?LhJ`>ObV^{GWWvBu{Gfa(e7`yg zDyK8R%~}mI%4;^h)eVCFOL&_U=zH;bxgJN6k)_%}xYFst4oBhZE1sFD+}7O2acA)=V}j!yeHvhjenehjoyK`@l2s4`I}uJY&L#=`ciEt8KPH{ z7L!sRc)7o_b+PvdvGm)|bj0jolu2YP%3X9E;uLZD0v#%RlfKPl#=KfCmX`Ew!G`ww zAs+lo6n^eMvfF@=hA%@1Q)R#k3f-^^PxtBsC+24bt)& ztJip>6r4{}E^DX%@|e*p=yCf|Cuw#5k}E}yX6{cqb_k`;6%l9wJMJDH%B>0KpD}F& z4Z*s?XxfNM`A;)wbfJ)La&<#$F5B;1i5JnUX`J5jh#yEdAGz~fXM09cefCF^Y?V47 zW&*XE=R5cdKfHM6aOxg%Ho{~)?v__$h>w2LWMl&z`hr0sPB1eN*4~;3X`>sW_BQQ1 zt-rg)J3)@A04&CEI1GdnQc_wuprUM_4`4#6yFV?@>~B|MWz@y30_(!~Z;oCE>IE35XMHx1b1TNN@M$fu#kP~i}(1?i>vShqN&FmHX_TwWr5hQcWZ5rGzCvh zwNMk`!Cg*S>@7ypUXlvz>XQo{S#~oYcK5V&(g1+c1&YL9m%q}WJr_F4GFvQw_KS*4 zBtjqNfd=9Nh=%4hAMj*^Pax!`tY}L9?o%xr1VRh>@J{;UNklsDGJ?2Po*eY3aUV;s zmQszU*0@W__jZf5D&fklPzg^iDm3RVY_#smQa zjs(iA4Ii&vCvw9`IbqgKhRBz&n;zH>fDj=N@;`?Xm)|&GM|A;YP~Zq>3wxIp-``*- zd0DHi@P1I!e+Tio{=7--vu#t+VS{(Nh`N)f+3lBU)UQ=d%AGISVK=QWG+&~S*IDw% zq{BR0`lTN4Vi$d`;oc9YuisnJ6z!V?rT>1pf$(&m-GE|3B8ATHbEic6`ZeGW()&w4 zc|TUz4F$Th&D1Y6P}b9UcQW;RGR*5Jb!<45s()m}TLbjkmE$dsdfgXnk4f;FjL_4o zEO08UrXwItndaq|lAVc5r;33_YbhTe`AUrdnET`W`B+A4JnO%DmtA$BVq4 zB)7QRd<@@N{;?G0NAWZsE_&k#%@(C%{j_ zrCz=aILDT!M#Cw!hbv1gkqhh|75=6bZ>B)Q=FqH>CHjQ9bAM&9Q)FO&bZWozsGsu- z7qrQ9-{(^aH?(YASwg-$!Hc+CL}lfMptpiuCP6*4^~6T{eYV_-KzV0L7QxOW)el7y zxax6NR}((*Oe_I&?TkRWB~pUU@pYp1JK=F2;d-)>^lK-}$$}yK^^G0E;X_zNY^*w$ z_I1Fw^#3G7kSV5o|3M))S7Y+uT*GocyTbkfZIbTkt+$$fp=#oc6*_$P*t%QI1kCH!4e|8$+r!e1j-&L*`r@g~ zLPGJXlE9&K9gG1pkuhC6X&UOs85)|N)?|IaQFyH04D#xn`U{XvLT?VabbKaTOlF&H z$J=Snvhqis=k3qy#=j6vyo$UF&Ak~FWbVY^n>%H*aq5mHk91s}t#A>ra$Z7#3sW{^ z2v?v;iBfha6Bl-MsI(_#G%tbwoWSlF>zV!Au|T+;rQXpxUmX04(@L zdK5L(&BD`@-rt|mO-orJY-}ZA1NOhi|3wQHGM97sw}NYdpmH?2F|_Q~3uL z5uK46#uyzJ*7SN?aJE3E4Kpj?V9@gKbmrg1et zoUar1bmnYw1GvqXo4v~QTzK?~lXU2EdCaTSE6uxtQoV1tY!BvZVnC_7%3%Y|`$SqE zG;$!`KP??A(s_>{=9}B*XQzE|T#{={`KV%gx-}AFZr_k>Ur$P@G$rv(X@W2k|INdN zHhQK)Zs7TmvoG4tXeepy=A>&Bnr9fdd)Sa*qil<(DgjL?10b zzQH11RMpZNL=gmIIA%wS2(Lhi4DoH2w?<=TZ!SnI!@{IXK$QwPH&99(kDsHL3(p(_ zNO|8lh;3+|-xGC0EI*IC1kB&jQGsU}plWiK!k|yAuT@yU}KJ?(XaFt|??A zK#fLt|6p{v>3WCB>)KFF-P*sbtWS2pg?8Lr?0OR%grk6#FRHs^C$gmrJhgB@vylsi zv`sBqU3r-EmVHdZ`|D>dX3(A@7pNz1TI#JZrFj4T1|^;I@dxgUY(QAubFo(E zf4rOeKZ=7U;x4b5hKkVqp`|wX_i44%<_&!vYCJ*q_v>==+2uFiRj1M^$+#n{#}6up zw`{H{UR%c97%0F{=#g9}CcT4NEZ>xa0-I`L$BrYEgvx)7G!dH|;Z){ip*!>}nD3?v zK~*)2qt!+DMrfQ!m>oc-7%|_DpkRJuXge*?pbnQMp(EY)Dc)0y-S^6TvwI=ql^MUH zl+j;zThw#jE$)O$_8GmqMYyMw>2Iy zs;pNFVfQB^VAg6C)@C8Z47C1lk_w|tllgtsVebz57V`<`&PI|hR;Jt}JjYQe0iHp? zJh2|6}kFKIVTG%3_h7d6Ie`@ck)!~wwG;=Ku$wYa95YvC1`{m;7 z;grZEP#cvD$o^bBJM!~SC_LO4#6}1qh$*iX-6hZ7r)EoiL^tgle3ucaxIIF!E&a{j zKqc28hlPD~`%N@ri$ARWLqr?ZXR9>lCRA5rCRO4?D(oO6xKXwF3LA3K5`bhldX=K)>Y>3K(lL6H2PMf2GekS6tWhvST(06NcjT_vG8{)-+R^i3y)DXUO zPA2evo?Q%8b}yvC$;-G--k=g=cpF*7tUo(>7(n^4pGzRu6{-@bBGiZbCwi~Z9gQ^4 z5_ZpzkcaT{gjHbbodT-48IQ@C8SijBA%9@5xLSaA4oi@X$u+_%7OetF<8M~ww=Jhv zm8Jk1aD$_803voOdchfz6bx_FafgN4C>KqQG-}(a-Q({eAxMX=2VW`DGALtbe{_s4 zmFOQ2Nviz$42+G|+Fl#fSZnW>6EN#B2L~}7e1*fb-^s|F%gIOAN)q8a8hHg{14yg( z!}-6|iZyl{(Gh%6IzdlX`gnQc|0{sN)zM?B%lGhuD&s)+pVP!;&*gFyGdoc^8*D9(TMGGftDifyW7=p)d_Y;A+xg`iJrkE zV@kBeAo&+RY3IJVK_-4t{q=7)XjGemjSmF8WHe*RnPMcz=tiKFj%gvbCUz83;Ixy2 zVhV~>mhdCk_E=jow22tFMXKV}0DB{*G?)ln7Y9WPQqIsvM#oqwN;GdA`&B$fSH&-q z8nuu+t!^{_z3Smh`l+K&onZ;xo7M#Wv>RN;ENK1=$>VJ@>gI+36dB@%VZ0qBW)dE< zJK5gCU*gC%F}OC4t{Vin+-npFVwg1PMq+*#wPF#c?mMTj zozv~XrtmX>f#KVzAM2}p&Km1FmYGuJbbl~5Lj3zT;Y!mZO)0|H>3_8*FRiA2z0H$* zGuw3i6VXe%ShuQ>E@nDUK6>grXxMtD_;l}Yo_rF;DGTBM(s;sPmPbx@0Mu2vogNzR zYhoHIpU6B4Wr=lN3|x3dFUAaf*K}oFm=p$8{Q*@hDged%)8eTb>kiU67S(e=`VvhM zdJY5u;Zi{W^M!yjOa&VOcJsoi&*G^bmn3X=x=6dOdd_CwuTchFt60aOdtsqTdo1e> z4w6pE3@7O)nt-Jc`5YE0a6b_lU5khW9VbmDYDerO+m>zp>!xq_7F83eV%Pa-wL&B& zfPUsS7UX=n4<6FYX>9#kejrkfo$$vkr6{8oGyhoo0?a+3v-RvStu}Udb5`5vdb?eK zFCgy+m{x;2O+7q3+A!(dJ%^9k|{fJ2*HbEw^|vW716&eB6Q%W##E%v6q6b zZHWZ<{ftwK_^&-)U;gos9}z?3UjmkON`D=_PoXn1eq#S&F&2nOeCKl1JWh#QuGZcu z8ZBNqzPaC|)-IDB5)zm*CfUYQu9_VfSQgKdS9x3@J}%&aE1Z?-1EwOD9W3LMlSi@v z6(m}5O1HG@Vq~4VBf!kOk?U`(X`gpo+&TiEeJnM7feqrTtHXu5h8`13X5hQ~dj+yJ zdtt3&y_!D=F{r<;mN28$1GF7v^SWV|ohB8-qXvno2{pXzU)1Mw@1Nh_Z%Dr9a<5sM zzKR}<`lQ}~j6;JhHQx3d*#LuDVN<@B%9cn$j9|X*xL#JA&y~HoJ`De*p2zpL#SFb; z12U8pX$+#@objiH>gqi2OJG_`C37C7+@c*Kn7FMvnS!P>IjMTmLsAzLSJ~8mQ)~OV z(%nHCeo69L+3+OvBf2qx4Bq2Cg# zeg^OClPPv5R1!k6p&z^Eiuxm&5r#OC%t80#TJlq+lC<>Zt5>VSUI6iNIgbq*j+UW) za!4q_)^#J-^61cz?{@O%ER(Il-&18YA3&odF22sVZULf_uJq#aa!aznD*?aTzTNZT zrdN*N!u5w?iz|wY`{V>>SP}>jy;tYI2_V6_^=ml}dMWIb5Z_*u8Z_XuZZT^N2FajL z|5je(1rK=X`-IsR0KZ}kDGB1t(2_+}Q5c8R=r`B3iwjpQwQA9}FHgY^uhCxSKYm7?EC02g|x|UH;W`VNr@0 zOaz5`HRaiF^vUS7(9@XY_ZrI)k3kyqdbZBa@+k(H*vso2NcpR!Ur*;QJ-PX${h5fW zvdUv}t)C(-;UZaEW8(8|*Y9>L(3E9A@?_mwkO@g{ZfUtkT-nS99aik%4bAw0{Oa&d z(1UwJl^!Gp0e>n_q ziXX}@zHtZB#c#JaW71RpS8Mz5pI0Gx8EJx%!-?z|WN*?IP5a8TC7^R_h8kdy43QX& zwCT+sIuiuMYgB%iG}F_4Xt{_J#}dDo(Kj&zJVl`e`Jn^dT1}ZtX-tX-@4;D_SzMDUg+nUnrQe99d${0I05D} z9L+LsE3(Bgu+ir9>*B;=lze0LmlxRp)Bc!bMYfpsK&%$!M&FQ6d+XVmkX6DkqL#>K zm~o9BjZpeXgI3WcL3j`S7zIDVNP7vsC8jLiRN?C$qHp+3+JZ90AqWc^tN+}s9_lJL z)IaiR#3d(ucP7P(x~dj%#Gzq2z5z}V3B2^uVw3*-dVhpqj=)~5Wj*u|uT@m@8W$~M zwOPX)Gf?aokzo&!KWKE9OQO+}D$#5kS((YZYrDP!ogYLPN+IYJw-syc>$Fp(ytskb zK?vBm#brOqVi?NS$cI8vNJW}uYz70W;s4?mCf?0C8gXkXv1=8d{08R;(4t=lYdGH? z;fEJ#t6qR@Y5pe@%O4Wra7F_6L93ckv~5H?v0!Wnjt)oC5UlEVt`!(|&DG26J}QuX zxXBY@WmSxEwA-6Wj(~g@X3oo{=JwX;4ui*jC#=Y@_5NK^GKsT3Vo@|w^)HcKyVaU6 zwe*9k>Ssz4l569yUw4ZDnUEj#_4O%W$9~qCI|ZH1)#2$D)V#+EWMsL8b!+gkM8kVD z)_a8lJBh#o_`|7ipao%NMQC?v6%h&dwh>G|W_GQbi`_f^ygm9}MgcD+>qvXi-P6cO zk+u7h#IS!!N>dx2KdrQ&KI6_MpPx|T7tBGd===C{tw^iH3dl}RzsLRWkp_)w^cEnz z?Jn&wBhnzP+ZU!a*RZ1LyNDhW)*8GZ8Ff-Hi4=&JNG0Ww(+vxZyZ@UPJ%dth(p#dg zam875u0!_^DYPfDO~`SRfTFCm@vZKoHh~oeoy1~=sr-uT;rtx9wX`Sx17>L&bN;M^ z)MrHFWbOb}icy75B?9=K#VXM%s}YJ?RVCubkID(FpCGvG?>K*mP5)R7$8QQ_kD1gM z+yy}_Gi{-2wnST!>sn%f-|;IOPBh8;A%?6WJSfQsPq#T{`KL*2eDo+ zpiAZ_RU;QMQnXc?(%JZD|~<$s?*TsLd{t&zIL-+WCQp$80{u@;cc>d%Jmk=w*1% znQach4I%z$BAm=vA#(wlhwSI&FXFMk6N;GbQ&!i2u{$@vRv0X)ka>p~+g{7UD%6Ad z7u%F!I*NrAu07+EAOuDcQ4En!&anU{j$;1Q)SsYXm;6EDo=S=vN>cZ=hmixiTSnIF z1>?t^Dp?5o@k0*O444@h8n|%t_D%c>KP0zhgGZnDMGjVPun>iuNTqj>UdhbY@E*Rt zjr30je_1-bP@J-6eSWi*`LR?K^)oBTwY+FH7%*nxlFa2vBaT3Hg?!@?9R(sg+!wQe zV$*zyMgQ33C$@5odJK208yYoEO zJVMOD+1@^im&`m_f&y4r`@@-Tql^O8rX4p)oY@s-1Cz5hd)J`c^xN|6EeWj9^rN{u zkvs9*18S5bG*2W=9>Fr!4xs1+ecJL6b4$G9KKFl78i$@!1gSP(&HAT163lKcDSLY- zYWG!(e^a55uT9RZON%E$==B{dZPagr9`xQFAUf~yWh~fbCjvKVrC0!ogtxytxC*E` z2jW5#CKcVf97)=KnS{{OPb`Zv7|ZN2=iNtG>Kd(D19XXGjIIC};OBKmFr*i(O$~{( zO~S>C%_JnQ1@!x(nD8hTY~0cXJw6y4-#^1vvO4>k=4)L*{$c*#?^l6=hbv2k%30vY zYD-MzH8pf*pIS=v=FWUH0<+^pHF3!AZc!$|h2yeqZh72W@}h(=s}K$xb4D#g;Lz(A z2neOuiGa(l{pVI(Y~;pz9$uu9Vyz;w-GAb6ns%$2JEP{ZBCb%(=--uX#bK2W;BHDN z3<|ORUs=lJ*awD0wjkVK>ja*LWUy}ZA=$L|ceR+$r|{nP#Y&mwY`C^x?B&Vt(8xn3 zSKN;p9yXY6CH%LD93+!NMnPvjGrag93;55+g4l0I=qKVeB7pZcIRCPMei9fo$2=G_ zd56Fb&F^`t`uRTyxUws^>0UNHxXnFt=pj9dK9ga5mm^ zr^!Od^*fR-#Wz`9-7eYOJ1`D028?#R%Nrh!hk$p)KoMI06$a}tqxT!@sohCJoP~M5 zSTMLi4-m6JwWWLV7I22%7}?yAg7*WnM#o)vSK!I&dGbS(U)qutxn04icXWkFZbMhh zu16!67Lw}Mw8f*}ZI+Yopy`orJc6A!Vw6Aj=}~TI#WOzqm(Am50w;_$Kk6T_ou zGL)00Dml>MGOb07(){U~3g#RCE9YdnCN~PpYL|a8$Ws7!&km~5>T-+ZlR$9(A1#hevzl4pgw}#DCgq1XMYSN7)HsIgajSl!ZniOTW3gk2Xk6>aC58 z>VJEj`%e9tekXhg{B=34WF{C%p|dh+j*Wbs+Pptgwh{<>xP1!?l8}(W0pt4w*3Qc& zYu1l3v%urwouL$s(Igl-h+%#L{WDt|!6($C>#M74yTy8Duw-~s zoBtth5D@5U4sSJv6jiiXG-`&?5^Sa=T zg@%Syl37a8>Nt?6AjD9Kt*eL~c}QJd9Ri;#eD2{SFGMLl9!|O#%)S&L7K1rKwce9+ z`xen+HNtScwHC+OjR(=TlYxPFS+7;)_<;rIAHmZPd?Ta<#(!6c`v8N#XIR z)Dbieh;XvVE#_E876o(ZTSrZ811npKT3T%3B$C~w&~WnE!*0J_tOD01)*tlUz!4b7 zM=(kO(>89)w#iH?4DUv80HWpes z)|G~1v|EGHZl6EWQ2oC@Vi=fK?=&U^!J7%+y1Pdpx}?gig9_9!4tQ|(QmHA-J<9+6YS6XatL?W?&Kn*L@Z)6w(2FBOwZb`jNl> zXAg&d#>00clA?j`pt^l4`;`G@O-1LiU;X)iej)MDJ9>s-1mvBq(`vCN*8?Mny)Bq? z1$}@a#0o66Iv52ij$ufh8>F4!zc@NX7AIU5>S(8ZoNP9_pb0Ft^YK+nT6lNLbw%y-yC)XS!#T*~Y zD49J!=H+uFWtt33bhetdQh3)5fyAcoFJIS_pZYjDo+U#b@2|O&U&dkf5OvcUagFy` z)d)hUQ7GH3+rO@I;DR7SK4J6Sq?vf{{e5`*b-Q$g90d*1qkyu+)<6&xylA5wugUYr zxEZGyK#el85uEgkN@LQl2huTRZ+~cz6Rt7ElYobj3%uxpbaMHUUhH0}92{=S@gz*1 zL^2AU2v##MxM!FEj+NS1Y?T)&b4qWTsMb^tMoATVGLPk-(2(3(X{JN?+x?}#q0S-v z7o(BH{aT~P41(x^L<+sFSUkVzk@S7vM&OWO-E%-_;RyeYGIq0&OS~fNPC4Rn163q% zX(jikDwlGusfk>&W!zlVn2|FHdz3Lco(|JWSXh4SxiwaV>pbGkxJgAbI(YUscm^vk zktNs$Vl~HGx4jcV-dKmoFf^1c<52M*gU||Qhz5<-MoJ}RWm>WO7wuUS-7uh4($BO{ zkkDG~>+og13R~mo(ak9(@`P&E;s;pi{soVlDfheIE71({T3-LE22Cq;X7o6c0y5zs z@7H48T9OIDa%(h@u3nO@oTH2Wa`(1WNLu&l#{71}REQv9568oB)ams}FRn=PdJRgj zsT0a_ZLW=tv+pC#C_U2$EeP=c!vqS#G9jY3-!ujv2!H>ZO;plQO3RW_r?d(L-5vBK zh0rg16BeOidQk%(Z{%gp7VNk;X*gUax3p+)!OV9K=qfelK;@ zGvWs=+2|NUwp_hN+dl(7{tGa64Ggq&&P?#aEn@HWi1N=Nf1vp#g}JB8;T;c{XbOJ; zTX`Y^jUouc_2`D9#dM(W;~f=}!V`&7UYOVK(!e`9O=eC1v7#YeEm;c z`*}?F?-%{GPam;3h=(dcqEi~DafNJ-prPQg@?TOd5EsCpd-~?!sIn#J`61=SLD2y% z;U_Fc_cFjffAi()Q&;eY=y00L>lUx*98k>2FJvk=A4#W_cwlZ&5q;cl4ve8wvpdojPs4*p`;6YnQ|j;rMu$x4OJ4Ur=5*WqM(XUp#Lm!(~7*tFvKe zS>@wLf?B)h$f+sjxfU-@sedWF^=t&(BD&gQnS9F|0~Bw*C}?|L`(|v9+-rPH=*k90 z&He(p13geXWfiTlP+?{)tZ;zo^z^i4doL3*LFc}Bp|@Y?FpQf8h=D^+<8QFi>74?hxF=J@5D3yVlLmtgy(L%rno- z-g{;w&2ji+!6f~*w0Qa0b?`Z$^lT<>4AH-O!BHCgUw$pXSWj2iMvlhYw~<-Mb52C_ zsPUkz0+b_p_R~xr$oTp`*7a0^${~^$q@?cc;Sy-_l%Z!ybB8nwMH)Hn>g&W@5ccFX zNk2>6+%~@0FwWR>X?1|e^Mm1};!oH9YTEJBnp@9r_a8~BRr&45<*(xVjR%{s{c6Eq zi89_@;tTMR@CaWmGnKZ+VyyoU<%QfEte=JzaG8{icwdGL(#ZZZC)25^pBRC z271~c3p^{(iV3zXN~ zo~mE9a6)*J*UHl|2pG9_72Jk6)Sjsvd<&n;0R0G|%9{zNk@W2tC$Uwwc8~Jh$k?aP z@1luOQA4QXM0<}qul0<)*b4$mvESTorMATr9 zO>j^+aP5JQKUe3e+c`C<51T=-80t4kOZ}g0>m5u|-WQDqM2oq_8qCYIxQhb~_I{1r zfFObBzZZq1q%#Te@$q%2sHhGId4=f=I&~?K`s&5w_TL2s({JCslh`P7Es7L%*)cS& zSzsx#Rx-^AZ`ru=ZYL#VWM(wnea}FYM)S{)^R>7oymQM`Id7lFS!92kamx0k!Q#szUURdu*XfwT7z z?-Vm~57g`TGd;JHBb=PCVn804fmQ?CwtjN;UmW)F^L=TrX2T+lwzlg@#izP#|D6e? zBI1T*u2hBeNRwxb2#pAg z7~po3cbK76PO&?67&t1I+;9Z2f|P#3V%_GQZ15|1}xulQbE6Hz>uV-nr}ThI8HmKFyouhKhWlV*s&FzKL`nucxzbj)(0g*6JEizk{ z)^omn8dA(>wmmqw3-mwqoM;CK*>V3ef|f=^S`Ww44C%MrlDMB5d&F8L?6|%S+xoiv z$=mnMo31b=r)E-8lF#6y42PZPamBS9N1D@iRw>I$QT*;cVXy4Qn0a!DFtiPGAkOxP z()@Iptql2#BpAH11GgMt~s(qX^l@tlH~^n`BB_3eiZL-ecHY8L>FZyR)*R$j*?ARNo$iy2`6==_Kd zF`lK8U7iSu(;Cw7+ATtzup4p1mOqZ$ObD`AVJ*U6eJ$t4zoTN43LLDoKA5T2kwzJ3 zRNBJiQ^J`_)c^MWVl#lr_D9jwWC=8C+-6&b3b$9)2mTR>KmHp9>Mn7;#UtN*9h$Myb-4 zA2cenFndo6hEqI@C%hK>40?MHne||sfFuOA`n55%x6YdHrRXY*TSI2H=XoL}Al;#o z*v$D2?YM0uo}S0_ZBX0Y;LNy3{Fi>q7@%pK>mG{hodR7FfmbU!6&=&`SgMsyw?mQA4YIdc*MmU z;3f(-r&tvH7fPo(gbOKG&EEb{{!PnPBp9*rHO({L>Br3k8>$|u+Aa9Qvu(XSko8L> z+^XjIaSnh_QT^yhh>6x*ey-^6p>5xDiwcKG=`wg1MeXrsxz+xxWcVx`h*VMYw<07Y z1hx1NHP|IX5_a5Rm%$UvEtZZ$4+*MjM>O&{F_axjCQDjmUrTLAj3`{(9nD%a)?qEN z223l%CG?=~$0>^q4kl*J*&zym7kQC466y9uWy-S-=SM%J8RKwC@%-MAoxe2)TgT)s z?(1EDlP!){2aY(*G`J9Ko6;RV3^%*`m_bQ6tV4EpVmaUu|Jt9`^z`)DyD7sw2wBO&C(~G~^xfm7CKvCw zZwI3j6B8*)N=iJmcc{5Ik*ZM~NSK(J3#Z1@PB6hvdKNQVwuvYf2&ESdlIklm>+90Etw)#bhMgracD#68Z6)fI^>ZThrcXj)nGT z)46KUo40>z*dq~l+`+n=+oQ>i6yy~Ol;tUrQ^NZ@fDSqxHIa>}I+5dQElLPEJ3ISw z^wlBR(!B+;*swz)Hs#J+@6D&js$BYWCYD6QHE9G4#j$$b@7Yhqd3olJ*l(~lAISb; zcT+7-_3LrijN)34o90_A{vde+eebpkWgsKz^yoloQ1;VlHCg#N#I}JAsGrV}^i=Szs)Az%z&u zJl0aBVQwT5FIIC+l$;aC;A)C#vtMgNWdtN2#jeaqolZUritjemtXj`hZ_^lzF269W zZBRZ62~oP@x>cO7!G%ZU@z`&Z>J&T<>gJ58#G9B_!yIt7XIf^jI6A?n_aUb;yi-}0+!cyMj5#2 z-cDx9{{3W5R9|JVp%vBD?KP$^6hDl1d=YrLI8W5(H+J1_Ak2Zq-CSsy%<8FoTTw_8 z>gwq$_i@%e(1b(kAaCh+^Blkx^d_P%jb%Uk^TdOV#ZlH!xZyWY{eMLU1vASh?JG6H z1xLv4XQ$8M8AKMukH#{N8EgC5I2v*iDCmON_kT=7fK_#kFT{++?=>@ml2B0^aI@DJ z)~%w$*1%LWkw`-F{S2wBtgMmu<=%VyG~K8J&`fP7u7j@KxiwakfWmhi9a2`-!}MPf zH@BPVc!u|vQI4vm?+R+?ciZ`4C?zFB3H6Eq+&GsqL{Or%uSxzX_!XxlG$Uvn6KT3vt`(&+~$yc(x#Kwu_o!vrkTbZVP4{`{^pQ$FBp|5DPByJEQd=xu&mfR z&oJMb)odo(=KoNy9Q;ev{D(Df2fA8%i?Qq+Fa3(Z$iD!9+4FfFEC#rF$DUmbJs+Kx zacrd$UV{&Oy1^mZj(v-$$H@>1aVPxY64(j8D~gGU*+5(A^(m7UjQo-L!L_iug1PL` zvaJ0#B2>$7Wievhr@w@CxC0G>Y*z&BFB|{VyDJ_ii2q^PS}@aBd3Ss02~Hj8UdYi$ z&blSY0{(KPK;+v0{5?5S(zys&0?P%9p+m;gmMMfOU+bJXab%H%7t^FV0rdFSF8&F9 zQ$BmTS9ASMogwmN;wJ4!k3S_6R^-~P8$UHuuv~LxU52Sk5A?^J-FpS=Oma2GhfBY> z{Pjz$xIaziaUEU(_EUWL67aQh&W3iziIX!n7LbK}A|NE3C{Rt|ZVJSeeuT-nYHDhR zLhw*IJ8hig!H2{mN~YSeYH2#7yFItG`6C_bAa==fyVIjO6>&}a!=|*aV$A!);g{#| zo$AAksk<<23jU?-d+Fb5$nyjQd?-Kcse@rpntzkAPbiz_mD)N&p{y5{;|8+z?C+Xl z0U{=M1p;L+I-KXvXcv6Eqv(nOp=V2LCdI14*1XC;A(iuPQSW9E7_W%50#egw&Wce9KTq4iJ2%s>G9!6hOPgiqdzcb zFsj2(<|)xiW2F&LZ*)?9-mg82e9v7KR=)Qo8RKkRjfD*6#mE${VKufS{%!> zyxO(lNiTIcOj6jx-4Q}&+$bz4c*WDryP*yr=7jtg<~G73kyHcxA16?gU9I{D-<#TnE#=QE_@J=!sKt=U8 z-00)e?0$n-mj9vao0B6*K7%54zvS97N`z>M3~`ahoak-9@$vmiS;=?nURwgqRW0gdK6l<8F(diS zoPMyIiP?nwJP4rN`q!D))w4NrYl{#N(RxtvO0rh%G8eIf_=+c%3mKdRo> zR~==ow3WQxEu3??Q9oQ(iytR)Jz>o>gYY9aeE9I;BCs?;+h{w6;>6t`JBhUKujY(4 zech!$fOpE_))xz8rNzfph-@3+ymJaeQvL_GtcE|@&rKp1l zW>1aRv~j!l&1q>CXbZ=-G2zNcCfig9$X^P{PEFk7S^*V|`l?T<>ol{1`puoeeyZ{x zE5C6GOU--t=u6KI3o{;)si4d=qUhV1mPy5auqA{wE1Rk0&iCZhh)a3-B9jQ3-(=A| zJuI|i^WyB~6sS0m;*+LKD|wc9ivP0jl~fZJl053!P3z0Hin%pnvre~B@|P-;5q$Zr zv4F|xI1%{TQeWcwsrMXiV0@G{>l2he^AXmppvVqK4VM`n&$G)%$B%W5Xwmx!1oAjG z!9~3IYj0UMNGX0hs_#G5|ErTr_rD}uJb^kS9yYAHlbw?3ooHrxJ6q^W31uA9P6@PQ z;NcmAHm*YU^ahcNrVdmisQYqGLINKX0|SF7AS`?n=zVn{h&r3I!ET@ps^|Q&5wk^S zE~;)VK;Qfs?R#s++tdUg8z6S!{8B`R8U<^AyZfz1W&vH6Jfbb=#)`3#ipSLTAN+t| z=BY2|c#ki?{MiltM5Q=F|DzOfVg1Z7 z|8VYEi3ZPR!iYj7ORJk#WJqA(t-3ka-s>V;FqsoL^Rz@NQ&yk#Be}{EwE3v4r#BB3 z%CLKPy3(qPRzH#@-VkV&9t`HN#wU}7s&z)WwocYnD5nD~tVL*{@7s?7aI~zR!^5>0 zLe}%`W^8;{*T>E*@phzfJJ~Ro42LqmiaC~>dj$f7-~~CBYuG=}Kl7y2iCN3m7ZvkH zYPercY2JrgKvT8;B@c2dbNKqFD}{6&Ase7|AsYpnCm#34r>(I0(ekDa-^03f2on7$Axb9 zx70@R#TXdkX37mbT;1J;Ty{r~CqcBgu<(H#_5(AlfK%DilMNPLZojOHC2WWFXj?+L zkL&J39%?2g&i0RjXOk)@X&SyS~L=`htY&HU5_0+WH{IjMiCD;MX-FJm23XQ|zVk zo|ePpPmq@C_4V}}u)@??Mv%~h+-a?a9335-lb%Rd<2fSjXXK$%lrliMm6} z8d+p_jkNRqV2@?NRo>{R7GsC|SY6{g!2-KQcP^jH)B>A1^WAKx-mui)+Z@y#KQ#u~ z*4R4;s^0@e>ORgc{QaXVw5UsXhJ5y!IOLMe&S%+Pij)Ye>pZG-q#vX9_E^4tEhDaM zsLFa}B9?V-?fhp&XVz2a81*PFE)FPmH2~dx^{uMv1HR)#9wQegUL1(x@TE`S<;e}y z4;;qxB5D44T1O z+6?Zg9Q(u-OUcNeN8#KBMze&&C#C>Jw2rN%o8UvfFhM#L#WI2BhaVhLUz(mMmN}fp zZ_)8capADv`W3HTrn$i+W)D#MFRooWoS*kpV{SJ9hx`0B?*{KNur~3O0)c5edVYuf$)WQU z?jBN-5OWI)l6P63%FD6igw>0fkTjwI^2zc=KPDD2OuSeG)5F;w-|K~uqot;n5-Yyt z&2=RoW@5SbgwLp>(Gd}wksQCrTbfR@L*@)R9`AVK(+~b0auHm!!aj;?opyUTK^POxIhC=sPrO5?c6hSScJxKP}&FSjrO zW4}7&hZ_gUUFc~)C2#H#eGf=^Q8;=zIx^w)QGfot@%Eo>JpstXMURgI|Kv9P;LyPjgU~;R4SJ0?T5wH z7C-$T<(4;JBtAO-&HB=Z2O*(Sb$qy<_N~|{h0-ov{rR|ZAZ022fS<$gzOLHWlPi{P za6A0jVfVu9c<(_Ux}!!VX}ajAVB;K50tK;YhpkQKtTl^Oq+Dkwu zoes)2gUb!TagJ4fh|O-$Q~GMEey`o-fv%9}mmD^3BSugW=w<6CLa!H|MO9U>3=kFj z7HU=|pf<(AV5c^9#K!PDJi6pD?UUXuc*&{kOf@!I&Ds7Vp627SvjSs;c+yNCb4B27 zn-?8B)jBLl7ywozb}2xtW3d4MpwU_44!x=?m_h0A*fk1*MaeOt`;-jSI;W0aZCxZ?*M6?BB(aZUW{-?YyG zR_jJMsVZ1w&>2S&DH@~HnnaeBRtg0N(_-p+&>1891H3Qkaxc|TLS{N@;PuP5ZN&x% zwD?(w2Xm+2M@S9r+kdP1AXVAuE(n{wb)uEo>@~t)EP4OyVqox7On4Mn57H0D zq(AU}TV>;d^yUvU@{AVa(=5OHOLmWmj1UDcy`UQ=Mo9TPSo-ffW$*||nreZ(A}j0W zyh9Y=ssnxMY7w#ve{6sL(l=in*Dm?_Z)P3@-G~Wq>&P7)Zis#iG9YnivaSTYwS3z_ zIzHu6u;z`$S|nivR0XI<&a%Vac=TxM;M@Niv$+s4W8wO;T(*&p5Jt}2q9 zklpX6L;;lM5ta6JSL?XAS6X^{Lty-CrD+r&IQFmm+Py928Es9@P?;6IuY>#t5evHp zeAe8K8-j8BBEGw^2M(f|Mx!LY99|H89!4<-dkXkG7wheej@_ZGe0=9*Ly^N9df@NQ zE~7K@{rh(tEiJ7L?W?1oPQz9V6G%M z9YdQPE{wi$=j#q#2DrIwsF5fu_cM7`r)@7^5aV#6Mp8p;q=~DyWo*Pf?X`?HiC?G7 zQXzv3V<{nFinO$#iW`Z{CKt-0#P^pB#gHy_UQ?qHv& zHC$8G(O8hf3zo{$MHU2?fEhb`B-1Hm%*vVRFkOd6vzm7~#&k2ekv{0rE+X|g#iBZ_ zZ{+R-rRm&H9)pjLj^*VOpQO(X-| zNmlvA7Ix+@)3HE6?AAFGKuJe^pp{F0mU?oj0>g(ofwM5L7fD_nmP9tWGd?kKalKSa z03KB`YJ5V1bDkM_Oh7FN6kWmnUV{(egRnE4<`NiQ`#aijdn!qZcA{0i=+QD=feh?n zJ~jlNSi`ApW7=GHop;7^Fk}|%%g{!}4BvIHeVE!h0}54({(<98vq`z|>fS%^WIXf_ zEFe~O9bWNR)>nyO{&#k!_(X0E*E@pN!8&>oB(|QZ=9S{-e`kdIZC<1E4B@SQ(|zA{ z-t{SDHx!Wc`+Mp91^R?%)War*fN|EU*mL7xyzna+hwV*xF?LI7i-3wL!gLf8gV~d% zdN?-lUEy^yeq|3PlRVSa@%3NVeFh5JuHnp$Q0wDv5G9H1$A;XSbn|9{nwA!3e*O!@ zZeB$sH;{x+5AR2TbQtZw>c?2SX@}oScppsDf*|x%Zl@^NoBhXpgi}i^(^KLO;ne-G zH#ssWhPJ$2EQ=5E^2}qEMh3jJ#zD>ukXH~i?~C0Y&bZ+O0bz8_ujDvXlAlJZ?L8FC zxHx06|MM96h2vI%4iilsarotwMs=(A6)19YS##`>JfVEg5!E0pO!J%&87hAq;|*Lk zPK?p+pS{5>=RF^b^VC%`! z9%)ifj;el*Cv=C#!!@?~e2|j+$HU-(LvW5`)2kK?{mkrsZL822G=y63Z!EY%ZHTN#@?2E?SyYs}Jsv z!-}}bNPS~XNB5}QTz3=uc}KwHaBZ!3H!Q>|Xvl4q;^oU2pO7%&;S29mV*`-x?%JPn z!Ni7|pyQrrAKc|wC;W7qYIJfgwBnPL^m{*bvjVLxv*!;Gf7zkF%PU|R;Ib%`|E^~5 zq_(z*iR-vz(ugl`Q7LZLB+lxblvF7kECl$Pj8C02!X*U--FOKs$bnFlNFxN|3AU?MIYMe>6z>JJ!P} zVR}HCyHB_$A&6WHo$}!WS#09uY$`1hoaMBI`kN}xB`Rv9lvB8e6h*-&$c() zeuDa-d+(2<1Gn{ywHS?k`-yQd9cytq%b+pYFH*cxqWT`REZ;+VFgstgu%>0y+orLE zp4oPeX1z{GN@_Zs(Y0t!=85B&;mWbns0%#qAr%vcIX=IpZT6hdMGFXG4;bge+e7jJ z5V*hRnwsLxKLI4|&Tm&`IV;AA8)2KCUd?0#^v={dvo31A@Sn!%?VrU)Uar>F{7HG zwCUn)!BYrcyuiT`{`^^LTrC4>5fDEkst{NVHiNhG@d2SH-WMZXwQj|`0PhB zZ3!t{0o$_w)|q%H;*vWC@1I$2^^jF&)6f`&t*uS%|0;X~`XL>&hogc_+})Fk`m6x` zv1e~>l?W+krw^8b5T={r9Lk;Jy-MaQr1OlwCpoQ7Qr6)BW zo@k-ZRW<`e6zx6pFYn61zh1{R_O`Os8C@R%bh+lw`Wc(R{-eXMzl(xTRbBja{50g# zb197ylSsZ*>c*9U)qBYMU;i>U*ma%Mzw_4pt>`J~162j_lCOJ6?A{0=krm4!OIqIyH+R>bQX8SnuBp|Klf9+@ zcvqR=gnJL1ylPFa<-!-x-xGH5@=Qqyo0jZr`0<*RBc_o1pDG3}2j-ZrS8?>s86yy& zFHo($cno0^dSk}F>W=wANs~MoyLFYFuzrpWCFH?w0LRfYFBDO@QNEYf{%lq{aGwD` z6|r9WHJ%c5@31n?@s4zfItbT%-I=Bq7!(B~L43I3>6Nz$RR2n;eeY?KIUfvLIeRWu zB3Brnk*(jUrY9r6Lzw?$^C382B%!%B)?Q2;8ef%rB+31Q%J*qMZ@kQv+Q7C{X5Y4N#9N60>IL)QTB%Zd&66swg)VW6$@G!W3{233Dk zIAEQoe{{D;@cBuu?ZGDzD!JQjbT! zQX%beyC6_pciUoRzNEMz_QXRG@Y32wZjv;ssNC#d|He3@VMSD`Q2CZ- zw_ydgfz+9NY0bwn1bQwhIM8NPUCkUPLBp;4#`JleTX#%raT$R@+{9)cd%X{vn}&aO zn;}<27^z;tO|H`GqQdv80{Vh1$HN%Ql>WS4j2fU@&-gUcq=w|@DeS;~z3x{~Hfl`% zBDGumY1W{IQtDFk&8~ri;K#xu4`j-R(@SIXFrK0)OGE2}bTEYw1T^owM@VO)D5vMs zl~(YBz7wC?Wa`-HI=Tms&``&GvVV4wBve3sjdV)ZkdpROd&?($Yh<{k5L_1ViqVq` z6x6&52BSLR2J}NSGB2#;eL(9&e)uRRx_~?5bm^_VygiDqCkHr2)Idr3NnyIt>x3CP zMs-WkK5|%BVx_VJ$SESQL#pSoid!u9j94Z7h<0M=9P6UEEjWqEyB!VEt*mlgwDJJCJ&%9n31= zqy0lRX$>zc5lj@uH!eemy3z#=>`dC*K0>TNy#X~yDx!>0KS$|l5|t>-;UEy_=d(PE z%k%V!Z?jg-od(WwN+KO zMPER0SIrE_d-3A4M!Hb>YhFeP;ReMquM2xry(!4dqZ`-kE!~6CTyIrRB1so7F3}m4 z`xp%Y@{4z_v$IGA`uvfkBmDbIr#?b0KAT+_-wm&OD19Au)VNcd4$m9@`928e*|n>d zOu^iR9#I@ewUSBuq%7E_M*vCo!u%;me7bDFba)atrG`+&ZrLfTsrfZ`rVDB{0@8kB z9(bzYjFj3gSw_WSUtK2a=|-pB(_#Y;4490UktD&lFbaFZ47n>PW7=ZLhR7|<+RV%> z#m=Ci&!N@sP_iP!5II3$-zFeK=cd5#Ld|j4ep#>HS|=_9n@rK|_}`3oix1@lh+igk zgaBmC%fbjm3EELnyovhJojKj&wQnC_k<)12ENU4-{H6}4Oev)v1GBYO6di}~a$b6r zZjr{Wpb$_YM+?erzh2faUPmrIv4mFR()VvhM6Vq3OJR%+EPVJJL~o$0IWACFMlR@V zL%hdphfN>b^wimcag>H;aAKgcR|gSA1K1A6jS(26>kuz!jUN9lpI9st9lWoljlSHjct)R5UDgC8aM0@?h@_tqJU6nvv5@rZbIueh=53QUJ># zY3dTVP5l;EpU(u(7K8~*KT(Ujos`aOKncg1Q-Esw{XrNLS+HML zTY6r@o_D}PjfL>RV#Ddj!C~mplVrgRnu8|?7U^+M>P^PpGWyN_m2km3c5o)Fh4`L8 z?!?5fwA=MjtgMB{ZI$H$T@L__)qA`Kw~OH4Uq)=8>?Hhmoi+D=!!o(g!h*PZcmKGD zrB@xV;!9K=!c~5f3!4uQ@2jYwd%eVdx&C$o$1xKf)Q!S^s~QTkT1~pJUdnSq1oB^* zd3jAtDI~8ONUgqGi!V}{=?v5Tnb3ybCJhoBRaM3JU|li&lL~eZ#P_IxLWj-#{QPXt z2aQS~77y3nI4R0(G7@o$@E(0YW36{}J!Yt$z%RLoO`3Q(fgDmCK4HjooEe6BoEc>n z22-IfTw8A3%XsdU7GkmWd5cxL>hF_bZc!-d2AgqVEI`{eBE# z`$pCCg_h6`8G-!|Bky`lD6p$$$`=iTg2z7Gn&@YtO0@u!1 zK&Zjj7CEPzn-X*=G zBu7Zx#`n@$?2WneIh?)bX-&D*FYWbP{JsTvcxAmaMj)5X{W6o4K2UVnN{fjWZ>5Fa z*=v9=$XGU3m--^%gJ~&AXcOx7DmL{D&Db{Axqr#cmF}|hx$~{ddCXj_M~nYksTM=W zdYQCsfIUN&?$NkAScktTD*9t1#bZ(tgueT2gce8;Qm8;wwqsOY^@Wjj;ms5 zlK1Lheux`0`MJ57nJ^e~#Vbf9VrA0F>DW5Wk}0@5Pe&+hZX@*!d+Hf!F44M~g8bBV z@Cn36X9;^-s6B%N-iUQrO|{cH)b?4trC|&_$i)wYm`iRs!7U z4{Gxa31SF)<>|a{naa`kTIuz3@k>~?h~or(lja9GtvCZ48WBUDw4FG(Q~D8d3$|>I z(dmu|ohB6Wdi+ZYt2_A&E3ll&P}jKENE-S*ksFerK9n0|&`Lz86paWk$)5I-kWm3t zKNR0Vv&LKy97FXrno77MDOC@cTtzbog}`04SnQqNfa)b;WmGW~bfxi%`lJ# zz`&dFF*kNmi{hXC$89IH_Q|=j)jRxwmf}$JiQpE1z2V*+?TlN`KJo{3e^jWLt@?JA03K?{humBB$|cm6{6_JPDk6VwQk^iF zYHSJrfinFQFG-(Srzm3aUzr@=3WU@2rMR?iZ&rCwc3|FqqAkg5PZqqid*JFgDscG< z)#uOYDFT;!XY^5I?SpeBtEjN)X1A89{2pLESTswE?0G84xK(kY;q*f|IpS-jbNGX9 ze0E#06zrAb)0xyu?5J~4(oVv#vy%~KpK-B#&BdsQVl!(m&O66-4#;%8eN?H#;V*^d z2GWqdNY}_a+adX3R%T~=<6hN$;vE_>!r7)(cSqA=zv6FI=L0&;bIm z8KD_t@}rD5mi~JtCrln*9_q&(d|_hV$wUq(+FQOIUvgI%n5MZ-etduxex08{UCa1| z8A^uf6y+npT_KSl0ZwV(u|C5@R&;emu3lVxHCz}S5{PtK#KQE5WB54ILl)P1F{3+2 zjE|b_9wmTAM!@EMQBglhrB3(w`37*KC-Ow{WEPN?W)TO+nZsFSv7V88&_4Cg4%{lP;lWW|03_#_1=&oosL3A0v}Lx`VF6Q*-lkv&j5>CPZ@S zs&?Whk{AL1xVzYAqnq}ZA)O&|h|N5E{ww1RVJ9eh^KNE)thxYSW8=l1(=TksKaAXB z?^^7n(HDz=arZBNzu>?Qjk$Lei?Cvui|-%UK_|jkf|T9#RGi6fofjikdsE(rn!;Vu zmG-fzO3x~T0;26L7?K|~gk8xiy9g!hpgeF9Ea%96YV&Y9ymP`k&A%8MGrS$-Sd6-X zD%hj_?ux#L-y`*iwo;h}CQbQJ641Nz2TXKubhM@E8eP$jPXKwJ9&gS)^*5hHTcA|% zoA!oJps|%lQGz~yF&J-jYH${JI}%AG;V_R+QfN9vMH0bZE2|0BRDY5JKQLLK;xSv9 zV>Ag$mA;ySviROy+29r&%F7l5CahHj2=RV6W!MRtFFQm(fDD6~p{Ufbq1tKq-pyFZ z#ysYCMussEUYVd<-F`~{+^!ZdSoU|uWb~aOrOVl{?1aE$9qK} z3$~wUq5iwIQlBORQF&x~b=h&5;!*40|EvaiIR|%c)8vSy39-m*Len@%nw>m@JXsgVSm5ySqc&j0;sR6U(hpt*)|hD zo?m}>jEQC<3NNGIab9bQj!vqzd=GDp2>8N{zQG}AfF1SaFNhwHhaLQ>tp#ea198EY zx1{Sg@0_77l_qVE6VGbS?(nlD70Kh)Q6J^XoR6Q5V*7R@=2!D#TkW0b?ogf|8V7>> z{hVOc$_LEf0VmwKRy<4-8n3cnV49%4V#h#ZxkZZUR!mzb*Mn)!f+*GE-j2_+eGA)w z>S+fc@*;T-aq(S`XRd5=0N%?R#!;vFdK(F6r1R&z`AZusxV#j zD;aLA(5+Pv;z?|4_SN)#F)qUPuYN$Q$_nl|Ve7yxOBM3H{nddIX3hGXD$dp&ri+4$#J`=$YhF0@c#JUEI)Jcwt457}rZet)e-A_Z zE4a+S7+?i6-VAo}j)U%9RXDWyZ}sT{hWMtyLDKI0dDqSMlaujH;E~F~+tHIV0mmGL z6@KBWLmsNZ4eqEfQe$@K1zheHALVaO;TYE_r|rAaH^3z_Cw3hpz08q4a>7}}GBwiQ z3OTno`-x~nVK(#ypU1e(BE{mjcX0cy`*1CYV-;VY&i8&FTz1L zulyv)|Mg;5B9HqG{b@VO^43UBT?|0 zE?g0@h(z7AbG~??uXti@CW>SRjkVt=xlT*y4dNhh?jqpT$AhNVM2wQRS0Ve%q zZ%kX<@)i??}^}1i*5R6!&yE~1*SHt0a;S}Er+3(SmZbU3R z+r6v^A>_hi^i~qnHWBeHV9Z^NbDZs}JAfa(L-;Wrb>>IWMCJL0$&JZvRWgI$KWvsG zcF|0O73r_N7;iH2=NrOT1W*KHz*BP&CU@<-T}p3v#hwr#UYume@@l{vfzi*k&J21P*;l3lvVdDX*4$Z#2m7FB{f#VZ2-hPkL+%D`iIk$R) zt=EvYJ)?rQB~^szexSekb3=o+o~HVTGCigvRH1kF>HhF6#n4&h$1@(x==P+A zTDo)5O=wS61NY&~# zXBVfYEOn&b8<#aJOem#db6L?7ZOuH7UH|Y4mW-*!Te&#(yONo zH)@yupO|0;dKUAHfaB+5`Bhm~xpLF%B(PCI3_%)gwDLCO{zR-21tVyCJKVSsD`LeA zf}SLU4O>^^bQp$#Mb>|<;PdSOUM;RF546j}d&IX0sG8D5#PLfjm zwd-{=>?M4!MD9lIL4caTz#S~Dttq2m$sU>87>E&G_HDJ?8uN$5pv^Z2sNqJ{l>`{T3|E1|9= zv%~XJLIRft!P10$8MAV#no#-GKBOE~b#TP{f~O7&{SXy5zZ@bic~c6r1VlD{^x7%9 z!0iv}8S}PnyD=0p4R>?OR(tOf4v0F4wjX$|*0WxJv8CySks>e5J)HsokEVUQ%uiLG zWfBsa<&k~Y9alB29<6|u%?V{wj!MdylTdz9bz8oBydtT~Y zk__ZWA#u?=M*4{c2Ir1|RF>z*T}smotMpTfP(l`ll(gTV1fB@~x5=<#;_hO%Qv7m| zLvG0AbgDDh#P{a@kggKA`{3mL+A84$QR?z>Mgibn%9uo=nnKDXML}_p{QF%w@D>X~ z6s-D|;mo%Yibsd{wBNLgl|EMQXDL5`Q`|OBa^q_GQ@@vUCoXl>T;oFWL~ng$nI|Zo zYoOK!-<%h(Z&l=?h%~KLw++ehZa3w*ZX{NSRZWH+Mdw^1GwK0=J9f4T{n-7flaff( zT0dp=+ap<7xSLg*+5cdgzni;-x1VKWj%4L~*1RoChaWE0^}y3{1K9|@>I$gY@-nM5 zZhe*Yq^2b}mCUm`Sufi+6crTt?aQ@#u?$-J1G*|+7l-NB8^P*ggQpDV*0j~CgsxSP>Vu{HwMP?bSuy|-&cgC*w5~DM4(6*`5Ii-;`OR{!;>U=w ze;VUdkw*Lu*?dmXa*o44iXZyT*bdgCB->S z=J5zz$!}%^_YXgJ+K6grQ-&|sH(I>yj_sxSW>Vufmi%$$zLEic4?NL}Pz8kqPBrk^ zp2P{Z*BlTcQk`?u4=kh{5mxalQ0lFJ$-qboU%@|OU<5QKY(j3PSwn@NYsN74r!hBg3ebXT6~Uc$FqfZC?*;VQ<}Q~brplTi<#;j#G}hg1a#oS-}PVx)VuK;D?H zNxDpKUdhc@ya>rXYFONGNMhni+!dL>3&zK7UTMkMiZ*=yDJZqlTk3eAB=m;;m7 zH}DvE){dosi?6c-uUlg677^GU4@y1$4^7t?Tu1-57Ey z-Jr2^VjGQZ+kVgUpLx%RGqbZFc6NWdalwyvb6ltIQX`m=6)hqh!Jx(Y$11o%>LL|G zxR=iBkp1IdsWKUOg&O`XbAm@DZU*ZuDF3ClSy;CT4%sTp-V{dt8oao;$nkLjhKE%Z z6&3x)1}ij};!DBzvL%kKuEO`Sbfmu4*X!sj0V=A716&>{tQQ!h{ss}&R}Uc}of0}s zpWk|V;iJMa?C_aimTXx`783erQ0JswPZxU|2*G0sa6kn$k#c8z8u5VqojBO zKP+dle?8$iYK@CQ?i}5(uP9xM(1oFq7ww;!Rcmpy3UjxGS38BhvM`6L+2Rq4H%=q) zEaE3+Y55CZ3M+8qwShNc2+f@kFUXm$f}HZLN~ysqG&FSu3GVGMF+SA7QZ~O|82T^; z4DM3Df4_&-j;f=fwsA(;4tz6p(pRFULh zfiRJnVO3YqqE2)d7N)tQEkxLUqbUW-t8O8Rkyat*6SMxK+iswgm)1ne@!$Mf+itvM z{D~h5nP~+BYnN0Tp{F>Kl+t9>om_3dR?KTPL*;O`+<-;IYcE6%27~05`C}3iwsM2H zAJfs%(U241@zUpS@Tg#X-6!I-Sgd~G;NX}i2)&A!JraWUkdd(HW$VCA03?g8Xmqx> z2?gqojV3dWv$~@8qM{V)(t1IxJC@m_{XMIzRc&BPm{=ayFssp8SDH5pZke+8zwhB+X_Uc3V!9?Rk7LegHO1sbu>Gd8rb#B@ zka&!~Vd{W=Z3EG`bg(+3TQN2xs6mz9k=BZv1dy_4E7H}RthNsG{XY7Fc$X-x@g5L zjBti9kW+^5W-o)^-t*YDh=0cWcK&|R>0TBIaHM0ZYw|%$$S|9G33wUF*^b$=<8j%K zSKaE(c<9G_snT!#w?O&$?yNuqon!~L9%poPx2sCY$+qWF(cg7$(FvEy3paxlmNZk4 zkm!?cX=#xUobEpX<||p>uLgI7#%+R_sefSE+sHt`6gL=jyh4*Rva?U`Gb<@6eaNXx z+g~hHRdv~joPDqk+iyf!y?^G>heL2=_=K?T9o`DESa~eR!pBz8Bc^8?N=qZaBqfOG z-4mBcZz*p9!I?aeD$L-W4&R?;@w&bn*|b%jAN@vIW2UeIDAlk;v9)>PH~Td?UMm}r zGge4a$$sOhi{LnBQJ`1g2%(8NLbZe{vq%OWNb#i~w5P1bohJxvD-i_bD5(xBF1wlU^zHO$*`rz~{&Z&nd) zK97a!tp|ds@!R3c1L|hClTENtI$%k*-q+VhEfOY8p8;Y+O0_>(aB$UU)}s#&kMn5$ zWK2O(wtP6s+G)F1u341@7QQ|FQznRog~e%i9@7kToKYTI(m_JK9wGeqC6UavUQY|T zyNJtMmxy}Xz|5e-*Pb39Nvh7Rz2|H09DNsYNX>-BJE7RN^S2X`4)7l~Ieb)mz>Y!N zBFRTL#RTS0>WjEWgXeDa2`>4+*uNRC_^30Howrix_(l!&Kb>ag>KI{JS$EOaNs^Z4 z1BVSC2ArVZkCziyzyRrL>&(GM^BU`SSEdgcBx1>u@24svIMp)gIJb!Cc=#D=FZM1e zrllsWC;Iy2kGa-4h`p>(YFS%Ip;c)g)2}nUfkU;7-7|`v-pABwOj0YMfXf)jxU^<( zFU20&dS+MZ0P-V2<}c-W#C7ZK7K)<~0H!7*Whx$&E0)~&8bw>B3o6XM4qgcVg@Amw z{4WIB#hs&c3~H9im5w9jZwB#~_kHSP>Eg-#-Xoc15!MtQ9v^?TLH3DxB$*k-5f>5} zab=cf{l-_;|AX6wK7iq=UQj{5BI^&LM?=4904*xoy!K(<1( z&CjBye%b9jRiF96U)oHADGyc~IvE84{=7A^BE7$N9zA1G3V}m!8X98K#O_uYqkwR8 z6+g~2O2M{m9?RVr^GlXNO8Y~JkyX5`BOW~Fd&SsWD|_ruh@fCd_$02QKdSuiqBH{x zY={u9iRq?^>*K^%TZ!{Zp)ePK3o)M&-r=4{Q6HP0*owgY7RvY7Y+;{wIOJgu|&Vv&o*{BP>$Q%SBSOZ@mwr>JtK zoFr0C?hZ+Kke&AOZ)+5->2S5+VFNh|Bb$9CZSF9NaxzO{t0RAk0yt@Jl%_ezx{@Eo zb@I`S_P4k-E}zvYIu+tJPL`o%Y(G%NKELsd^cpj_ZWC9Kya;noz$g*F)!6Pm;kE6h zY1E2;3;3Z~PSi15NKHgd{RZ#Hyd|U?Sy_ivA&TWLmm&sBP--bHB+G8X{-DGDKoGx{ zm}m(3jjq;&lu3N77~pHb+ zx4foHDJ0at)PT*(u+kNu#*CaYYm2@>hA4d)C$2Y#SzUb%O`ypE%~#$axya~#=7k6< zys*S*_)PYjxl*X_(7~vfb=_lgwKNj)Huw3YDvpsLxk&q z#g6{$$7_j#Ws|)|Mz~=V|Ic7wK7aXinP*87%lpX)V*7-cA2~UyN`%>IYrp9me?^Ef z85$UGS(+X`?f^fYt8&0h6Zs5;;4+%zj=|mGSfVFuu`sZ^u|CY@)W5Lvv-%phE9T%aXL%RJ;>$zBX&Io+%vh{)69(KtYku-qPZ8Y&``2vf-kaVXtI(NMp|3 ziPw8@sdH!Y`!W_r^iw^myPBuoU_#Wd1r8sx4u7wIdlmJ6g}iMk?*i4lE8Zj6S!1j0 zA{War0mzK&H7mxqIXi-)ba=L}R5UOm>;Z(42K~(5(lmdv>({2?d=F2+XWTF?Op`-g z*TefVqapv6Iir@>cFmwjYD(rR%FM%0k=5jFuNWtH+u)stYaCQq@oK(q;}9kLb1EeZ ziLbrP&6UYPlDJ`FW?+joi>~+q*XM31tuC7^K%GCxHheU)jfaP^aU>b3M!TlZ{P)~+ zQ1h>2){S}A!rwCKD7&YQoU}sqS_Nkm{Q){_{^L?loN_#E1qq1BtRJ}(CZ3W#-|hJQ zw)^1wxklRu_}SU{>3LRiBNgwQ@1fCrakH}C8gj~9=xuV`PirsBdybudsHc;&ZN_V# z19|~H)zw%!U$f7Jo(f&ziy`Oj*9M%IeyD~=TE|)mEH&B@AmJ&J3;GPU1fX0#WNLz` zew3l8SyCm6y){}v^h`qHQUje@4ac3$oZsLP9IgL5kcY<0-~*uDX3}Kg25Xtr8QdY^ zvbn2AlP{UEZP+c~b4Y5P_ePq*AvGDGPO^u&;?|~AgXZx0vNvK`;rn%gUsKP*2Qn?c*!^isX!cX6rHnbZPBeebk9mx0>Ep;WUp3uTf$ z0RmHz&v<_W>W!;gp!yr4G>A>-dw@w3uxWiYc?|+n)}sjqHrT;!B@4{{q$qb&AyynX=mO zKWFd_Lg=?+b(!Qs6-?D!_9Kynvm_lPMcQS{1~VpAX+n8DbJ`@i>IQPgW2#iJccVvo zW^Ms41o()cjbYBE;{Zsqt{-GVxx>dORn293wC3-jQF%&^YsgY#jwCFX)iFCJvK@6ax_HZrjEB?%{MLH=#!W1UEG}cBf_T1F&**Vi8jgB{W=N{ZMb| z4!P$1kHFDe+Gs!dsJOyv|dHw@Qf>giAM-ubFSOkjobWe4}BTi7yINYxn4kpwPN`*y` z(JDUDab))%F!bp)nn8c*>5LQ#l<(8xrD7PlN>h(c@7@gejq@k1LNHag;pi;C7@ zT+tJ%gMxwv;m}C+3P1?&Q-T++5Dx#;frc+hiLp^hz`L-MKnn!9NC(lEFIzZ`HnYX7 z5Ylm&hI1XdjqUYd7uuh=%--NYC_q(lGozZ+M7(Tk-Ap5N#MDy(euyB~BVZH@&M_DA zVhy){XG)ao*42!{a_^fcYL`3 zxC7&iMNbk+v);c5W({nYYxr#P~?9I6~F);XXlCXv{9REzLQlNQO4FGOrFPe zb_hIY-z+O|=@pOS|4#F%5x9eryqPEgHgl~Xx|&9F2%=`+JSLiQ;V|I;u0%ImJU}4R zO-E{miKEkZTcji?cfZsKf&B)zh(&D4unD}*Exf$Q((Qdf(vbg|)YgkBOMU6ak}TGq z>9USF2g72`jqT4#Y}W|?@^0u^@eDyUNY8-?+&|vkuyKB15FT$}|5)L9kjDUsMj>;Z z)SuUDOkpju++sEaQp$tJ#=0ERAxg3XHigH*ZW&|*^*=^^13rB2m!4XpaL;;#krwA> zB?8yP62xQ^kHsMH{?b7gyaf)x8w|$|$G#e0Xn!Rn@VXJ$*U|KTStn5@?i6U%lDG>*tW(W# z;M&keZo#6rt-+mgn{#;;J*|TtG8Ac*vjpBi;AK_?wb<|9qu}Qjk*@(=Fg&Fc`o8v~ zSx(dt4iISm&D^iC<)`O%r~bi$%D|$p;m}m6|BJkv)~6j{AUqH23ju;zn)j<6Y6d^N zY@hbm@z-(PeVPdSFZU04q2WTpPuV3R73OAeN`H8HAz@NdV6MQ!^Dcc?tKWN_ni6Rv ziJcR(_*O^eI092uSd7}s^^?9y+}-9U94{y(iL=Va(J_NY!{*h!#KmW_x;l@QOypD) zRkQGOnW3fg^}Q#7_50l2>D(Q19M>&Tb2z59tBZ~=KTEpSyR&}XmjmK z&pcU5`CudG$;T%cUV8yLIo8(V<7!5nO(TFndUgJD-$mV&DK{W?Ve@{G&g&!y^$#f% zoqp3JDZA$lb92?$Uj$EYlAn3Kq$Qm8UuB28WqR>N zSZPbx228x@R`#y(dRJi+>iG8OJeN&t*e3S2U%h-{xA3#+l2cZ7I;sf}3U~>?>q$Col=D`B5aQ^mnCw zO*|=C+JG@j$Ac`5HW|r`57K^fAEeEao}PAafe-k1yX+>JNF$6O^ym|}GZ|^}iR@}R zyzwUH_~ez~{aOC%qM z-Lg{NTI*e}b^q_A9Wa_%R~^*D7L9i!`cjbu0r)s{k`Tvk3}()8J>2Vd_&zWG`?u0A z33akkR3eJ}`c-{0GCI1QiwhAQ-2YM(Bo zZ4YDqcE3{M)cJN#A8HH^g+2eU!Vf?{Xp}gF6$JE0U6@>Lx3NX%imloQY-Ihnxg5;` zC6ix_h#`K$eX(X}W-j)xP=W8M>8jx52`;;TnQ^%-SbT1}aq_5a7$5&*o@veEkLmcB zSRrsHk?)adea@6%+<*;Q-^J#}1wnmA{9FX9^ZZ7(T?NV>_~_)R8SlzM*eoO;igoNs zl0GWM5?SD>5srNco0i2;3&KWpp4B1&+Fs0V4M8<#m6~>#Bzl;udHFmnKPgJ~w21$H z>Q?FYL57&)$fKrFWBdp9zs?8Kb4n~XI{bj{q+`$W1NdxQoGdw{iyZ`3I=Y?5d@=B? zP9=GHK_v+dkBjxS8sDdDX~{w)bdx}~je96TzHW=@qme?{=dIo0S~w5Fi~sZwPa&{3 z6+Lg}3uW8S-`UEZ7_rgYAQj;iS6Ig&a7^)96hRb=@Jh%RmmDFHkG_iPrv&ua|F+PT zKGjJsBen0Vsu4mnut^%5a-3d9u9L9wD)c#cekBQCZ?YDgJqeCNRw`x>XkKyXT*f34 zlw=%I$T`Vg60NL=ZAX|(m<`+i2x~~c&mT)|n-Yz1CH$IXobpBk*)vQQE ztAYlp*;w#tk{$5;-tO4D?n58eeXiEqF5c&K?E^()yxEa=Iw@)~a=~6+HwRz`Z)Gs^ zyNXtziqr@r<80AP2FO@~^)z?Hy1|olh&;~jT!{976tbs;vppr8Bd6jRdSm@{fPiAf zJ?Xy6(v-mNCrvo9om+;wZS+0LcEmpvoN&$julOSF6Ug|g_OxPk_OjL9@+~F=)ciQ) zqQ-p^GK&y+h?oukkD0hm^$Qb4!AaENTS0Cqjg52K_h@WDHxlRbfUz44xQ?$J$=qMM z$+zgjguot4F6|7y^!T*)`~ZBcwRR4_FPbGjI6?grRyWuc%*NJ@r0C=OhjHAJOwpGc z>=Uan=@fjh7=aFbD^pYSxZI~L)hQ@Yy0af!;_8wcc1$JwxQ5QRodyX@cd?v!Q8_!y zM%>l*Gz*M^&H41Ye01}APAyCL*WXAF$hRLjMVXyTeInadgYC;7avp@+iMGzK5B$+R zR)xN~mDWx=fCKo?{}tbHJty}>i|nnC9S}b2h|4~nU>TAnEzP_MV|KE3#DP!WV|dOC z4xfy4#2G?4*h?!VbrVlj$-izrv@VjN&ynk100nQXikmu>H;R^){PatL{%+ZExB;W@ zj$nq*ZNoylNV|V$YW}A2D*yS%BHid!T7pD)ZEA80Qk4#$lDS;adcL&cl2-6pYV-p? z>L+^Cw5O};xEwEvy9h3l@)FBr54ZM!0u(cQ_)bpiKNM%*L^Gn{^ntghqlk!Uo`g0? zAjr6)>uGOgul<3vhIeCZ>mbK)r`%6Z{ca2FY|^Z!JX~kdbdc)cSzwkSsL2XZXYo~A z=}H2b@*vm`zLcI;kl?%Bqn}AL^sCi>^8lQ9uLRirZs70BtFX-HF z(#CkgfM*bpR)*}TobAq*D8uX()VKrQ)G$V()_t1}NmL^aL5ZqN-sKm)QL~0mR?#Tb zD0j`QFjpd|{$0muOPtyP-BQsiaYxj2ZvlSk6+dii)`>s%dBrFzn0}{mTJy4}%2+$Z~P%-!Vs|5$?Oyyg!tibZjeH@2Z!Gv*u7>wUm*-PgmkBqk+~ zDMdT6bf;b=?sPe68WGnGc48UQ4|W1EG{>**c1hBE)1Ax{Wh9glCp63=BXkSu9ui@9 zOZ3~9z|HjMOH9n#nAcal0Dbii?u5$JeRy?yvRv=f{W<&rDm9Pqa|_eG8?C+~wJu}p zfe=J>8GiTMp`$0qZiv8_LTbf>UjoOhVi2AGYkAW+bCYg-gS@ggz-$Wijbyh;rcTaT zVMuWo_;)xp+UfdKL>AEhLz~Ql%O2E3Rx)QrkIIY+hmI377DsGmlKmvOsuGh*IWqzK zaYxUPbyVA>8gjV!QyvB8yCv!8}t^WjJzog2-0G9o}6O0)Ak(G;POXqB8Oj^xxiAvZ%6} z&m8>Q`zL9Sh55A0dCiX5ID?Cj+>LKsKZ~EThtAmnWlkLN&3&qDIjgoG9`20d-$TD* zTH@nRXy#pjpMIc4U>^0gB>d5u$Ccb)4MV@+qeMG?eJ=oio70q+<3P%bm3_Bt;us9a3!XZ7ioEpzPW9a{mx3y z-|&jv_ujUoM__y`ei&Kj=X6N(;_tDb#RNTJJk@~?RKAIlE37A)^TnOji++Y%63|HT z8368(w?#ZWqSg#E>)taldk7REk*cfp))OT_vL}3%_pDu2XkDGS5F}UO`m0M-r-%#u zs}Ya}uu@b{7;TWRbtPUmAjBll;J~Nth_9R9Ym|K40Q>oc=5=uY0Q-OQ35u-6*L=j+ zk-B_BzGejouyBA_@~mcOma{2((Fs}A+~e6 zJoz!~iAvH1mCUrhoPlfK;CBIcN;K+kA3LQdgk1J!KOW(m6mvxSk~4h`p5VmgPKjkZ z9?j>t=R6H;V)8;Gk|W?B22f+AQq^Mqo{Dim^w6eCKxk#nOKoOH{3dYb{|xws{@%Mz z*308P5(xn7EBvQQ5eC6j{3GHk$@w?mbv8I!;gRrbtQ$(lYzwBNQlEQ%3elcVxdrv# zZJnumpuQ!K>dsW1OI%=q)4iHx zb`udCKwW2DPV_a=Lo$^}WWf3?X+rXbp=0+ecFvSZ09D&t4B{mH!hA`U#fiNUBos`k z{C^p>bDi~{xF3?I0)VF0Yv^Nm<(Y7mlOnX4g1D;e`!Vudc4tHdU)1Qwd{#moxzK|e za@&Ng#&~|vo)#-lLit;S0g|tt;_XBlt#h5`ZE@r)qq^CM)?Ao0@CR(}-BJse|M2!8 z0hXZxsRnjxaLvp4dcN7qsaRs`sQp6(<8V4*{oj<)U^5&u^vz@vk9U3xYq~}BTRBT1Ublog#UrM4 zPAjpVt0feCI<@8jib)MJwj9Kd2uXV;NfJtyQp{R&$nGLwRbsC;PMC+#D9!JIi|TxX z!Gi&?@7_^kqc*lqEfr$A5N=h!)NHBM<;m7(v*Y8>fBot+PXEE9pkTl1xx2#8pW}{< z62re@eKx~ERcP2O;~{pj)b=o9JIj=DDBUNnJbkaqcMSmvK&k!wuRpOU8Ojh1)dR}{ zo8RsR^`ay4p!X6xUEYLCq>06{g>4D>y1_@7@sdHD?^!#92SD36+}B(8uXu=$a2j2u z6rSCh$I95?32mvGU3tCg!Bi+|FqcIfk|4z`Z_OP?u9K&tGRdmwn`04ZA{;=7QvI1| zh*(u$dV>MJIBdj7*U-~bGO@EOS;BrIW{Q7VOj449j1vMj{2VM*X25>N?lp0tAv*!Q zXB2Tc466SHqq#Fd2+2oumGd-d*WvmsUn5|8N_t$z@}A!wBPX4^S!4G<4DQY<&)G) zpvKVCa8GxP&!|nh0xXSGPn(_mP=($fadXOMy-I*PdX{&@@GiEI)dd&nxB&t6i_x)B zpw1UkCpVqQ6dwg=j94pJc>+g~J9KI)#PePg1_6EZ7Mu;9d`8rVO=KvIVh^g+OXUcy zAU)%wz0K6OL7YF`3(G#>2JIbJ>Hcs%ZAo*{JvhAN?aOYC&_+Wxn{AQidwC&we`ZwD z(MjhP%)pC^j7A;L7!?MK6D^U~mO(ZHY7Gy{2{;x(w-EZ3%S}>nXB6cVVLfzg9YJ(~ z+c#Y;N(~NaYwIkQ--mCeKoC4*7+yTuZf=tK3yxvDdPg{*kaz(lvr{^C&BP&+cL|5j zV;ozmy_DV?7z92)k0-O$1}gnRm6`EEh}1?se-)RMSU{TBY6yMho41cEE1i%B63dn) z2cR8#R+t$*M~<<_G$!)Fws?ez6XpW zb`kAm=dq#51a2VwS=R#vZUj zh0A;sQd{z=UN2}Z?*%)&zwZpw`n>!)x5>$VPbd>Xb1>>3~A9;uq`F4x*98mmllEMn=3fiLNFd|`_kR;A{ z%@H^9Bp{WIVU0Cr*)pV>Dds111WpPRjkB_k=gJop73L{w_EKJpD|D9$l zDw)KR#^5&3`7l-g`LDoUQc{uwOMJXkcK|8&e0TET4lbEde;Lp4xU`FVf&bdoKrR+A z`@illRrz1&c`sCp-&IOAE38&d7s1)uA1_`(0&4×xs+jk4iv8v$80|%hH9?*8H)x8)|h5}ZV1K~=S+kS^p>_n_CCJE$}vRSV`t*V ze_*GTg8M@XI8RLsGYe%|)!jw^Bnpg$l;@H6^P+Q3K`cPjE^Mul!)yT364NZ=+0_we zYec}`c+So<%7fK>?_jKo=}8;{Wt?fhK70JGz&`oyQ#_Hnp0Mf;wQ`jl+YJ3pW#W~o}DXR(DYe(N$Wtem6ZBoSE z&IteI3zZhh)CZ*_TnkwnlM$WF61#Qc`njL2e2oH%6$U2RG#kaz^71p@;QZ=-SM_yD zsX)?850z1$-KIA_M_X@zfBX|x#S@xPGeWKIru1nm`2Y<^Ztc1sZzaLGW9ii~ZKx(7 z%)Wc<%JYEJi>P4j?{J5tp2bS>0tr@P$i_+Npr{q_cz@?j9I5`S!%l%U0)x(D&sjZBRH)9rZl*s(EagAnk9uT3ObIfF@hG+yTR6&^nT_3p zOKQ#PHx)TxOzUZ33jgx(H0|mdH?i{rO#TIL=I(QB|9nRtH3mwu(9Kw=ADW2PveagP zw?q!)WSsh%kQ8?vVZH|`+ajfR$$r}`E~|5^#e|YKF8OR0sO*+Mnpof#krH4yhJ@{e z8i4X4P2=c?c;~pw3oBMb@6AZ9IPz#C8^uobB6TFV3>7T);2}e1r8`)RLN{kVtd`ZT5{V#2t`ZUEK@_c{${3_jjFT`b>k2? zAu6^|OM}Ou%hE-TOZ~beHOa$(z-;O1@~D8lhquMRBElk+dt4D4_BDHH^^PR389ws? zwtXEz13JP(Y~GHoO3?AI^r<3h=x6FF)wK)?(Z8pN#;~yLp;kHp#fShNUHhjox($|Q z(?L2TNzYDy%DU70vvudFoXap#2JmSU_blX3&dkhA_4#w&(EZ(l!iQxtFBmU1{l7LV z(gbT$=6(_WWgEL3cMU@7iEzh#$QMyw``IDBTzGM}5_O7PQEPE9>FA2E_if0bMUvEIue@j$wWG!odn^0eIZ6g3 z0~W{~LqR1=SmVwwV+cP(=D=(&rrB;o#|809uj$wA?d?PWz^OfFNN5Eykj-}`k*$B4 zOr_vV{YS($Wi&BBGoTZ~p)23W`0%THO$n7%;-}pe$!My%GKDscfZ1bYaV-O#P1ew+ z?$4HYL`JU8 z?==3+)BoYK0-Wti?*eMi`%}*B?O&)J!6s2dAxIh;XK*140i*~OM>U}_>_plgMr%q+ zC$p^mjkeEUC8=Rq(ZQ0q}YJzl!~7=MR>Ogt<<-NKx+ z1~Lk>x8Q6q)hBP(Y_<_C9$X0T%L;wblN%WT^Eeu?0Hs&RX)t_FEZRN*+&lO$6xK5O zGip&%-|V)|JzuO)d31F2fsFB~a@UpBfn-9+cTd>of?r;Zqx0Z}L4U;+7Jk+V6cB`o zEQNn)k_*EqUViq4Pkd`Rt*_nL2KC%bjkb`gkP4xQ$V>Usj;vZhq{|oumJ>g^?(kZl z7>^Y|lip&yNoj9L5(#fEx0-50ENVN0y)UJWu}1%;?aV~$O-obmZ-dpc9Ps2>%zveD z@*?Qy?CTk38+OQ*vC5&~=m*#6v9;M!eU7uq+SAUPJ51m>odFJ*;c<7|UGWWxrhR(w z*MnppzS$4v7#aQsG%F;IkR|%f2C)^reG`GToB{_aDXATj+MW9kgLgwxo#%Lh-&k&4 zU=TMJ7!(aH67bKbjMB67YXoFIg$t!T#SH~oh4WuRzWR?T!hw?x*H32N9HpR{etn)- zjm3N3)HNa&QaCTe?pE5(nhq*rrDG!jSRHuwWLQmHuaa}0j#K)Vy-k&qBqUoQL-;Qq?}A!3%$w}x`7#-7G$;a3augdUYH)KkRajbx=RvxX$|y-sMH(6JqnzdCU42(V)2n z&1v6!BcLH3uv;{w1{=UGi8PuH9_+y1CfE@*fuN{7Pm);;MXG_N%dNN&Y`mlc$5)b# ze}$n5t^;4u?jWCug}q(T>?i-p@e_!&lNK-czw=RLq9s}MlF;u-Tm-k`g0k_~O_$o_ zw92t=7ZpF?;B$u!JkJT5UFn0dTTFlC_~1?$AYlyJ@TO7XZ99vKI_Rv|>*yu#EPEd1 zOnu0?{2`bH#6AF9qQ)vR-}7!H6rr_}SGcVv zDRsguDDA{g&Jtz@X1}vjc3K#xLGVmRJdUgIK9eExEU-M?O{!asMe#VWor-}L-`CHm zxY}V@47M=Z9_GbQIW*iBkQ3GcYcd0JQI1+M`Xqu&i4I^`vg7Q;^TrxX&rpPd?0$jI z^LaRr1yg>ImvQP(mVbs&H{t1NUNen=)cKnP@_B~{)WSFKPv=Yx5u@-}Q3+TJG^@2-o-L%w$rS)(tu! z!L@Qsz{GjR*Ao&fm*muRnAP_O{lCwuUIg0>j=)~f{U!c^WXDn<$yz^rG2D|yc(fzY zq2tLCJ`}*v)*@4UHX|`BrjzN^f~fX`?aRJPLbDx3yi=MHY0d#s_crdweA{a;q1lt( zHqhBU>x4EiLHiqZ0L?w&om{DFwkwRJdk#QinFyF9YSF!IA*!Y6@ktTu9L?+Ys6Mfm zc|y?0KEr6!oL4*bewqbqGSx3Gw%mxSp1N<4&DbTWsxn4r4W1u7lpp`-I&c%|fB+)` zKY>HENsOob&sqcJH1C3+Kbv6f;&E`(9gvC} zT)ZoUd_8roF-48i#vK~mBw?yybtW1t!5>R?7;W20&C$SPZdbVWr9a9^KPJ#H~HRS5r7KNV#0N6;d4El z8nlUAXxFpEbtgd=-b@2m+mdSlu=%ilqwNwwsPWkA#{I_~vcCJ=?7vj6;%f$JbrnjHxhMXdggUXuT zv~ZT(G$TXgW4LTLWbUv)R{G2Dzh77D%5Y~@Dho+XMkWz3An~q{UA(X7D&{F-&6FHtvFYAxMe(}tXBHBK_kpLOk(lWd;UeRVM=iiYLV zh&e*JFWt|r1Zv$=Jiq6v_P`C@h8^h1WqZEGRE8hQ zE*EPY%+(LfHK1BNiqXebo>w&3;whp|TzwXkn`^y+Nb2OEyc$VKO&z$1vaNcRM3Xu{ z{UvoG(XTd9R++|f64Zu#7)5d4pyemkE6SVg&Sd1}iKc9Of`@_Z!E#J2tQ7N=x;Ru) zp=Lf|;kWGOQPvAGe*4we_X4Rq+%_htSRs+!s{-(WFvRC@y~~r9md4oI)1xEWv2#@f zF}lJ8|9IR!oJ0in*KmP!%j9 zbdmXFvkaXqm$(T1zrk;7Yel`ZJ@YH81pfq3Am)3X2RF}^x@WNO*DmZIjwHW(iU=jL zOI=hnEZ$A^Jop_*Dm=*B2@s!+JYBx$5fgDy|LDCC4h6ugCes&OVa+zidr2a7SfPZN zW$&r0?&Ix#GWvw+jViX z34?aS!x;gZXB4VRL5F^kI`(EsY6Nk^p2#Y06&*BxoP}u~ATF$HJIMcVU?#z1?As)Y zP=dQB*GijM3iGr!&f|!KCMg|sljMcqJBJYfuRr9Lpu)_`k4C+KOTr#+g_$dpB+fv7 zeA|1Rwz;B;#UjStw^9ANn$Nx$!@2j3gUh>-f?r4j#%_W?`x&3X4Yk8&>q022*NOgt z((wHEiS-vNn)Lz;=A%%B#pE=#phxn%C68~WG8DL)m)n63Zjm5MRtEWIOw&?=aY9VM z${44hgVjId&D@{VA7>zy`-zfaGkG%&|2)p-w^pF0V!vd>?SPKy zn+j-@h2y(XsJM5cbt3KCX&a4iWm&<;v@&V9sMs@bk#P4wJdI=#A_va>omsi@KlyGs!3sr`nvp2y~N8WoZh8@0#HyeP+$3*p^ z@q&iynp2tRGby;H(gaI9T!u3dzp=g3n^9Qio*-D7eKRx%!OfQax{Sciov*@DNj}vK~%XN8smPH+NN8C2s`Dr?P$5F{I*rI|PH+?Wl z{~d)yT5MlYiyi0vd^or9KpS{K2ZU9t^$-qNLugq7>3*#YewqgU9O1t@B^~3AEIoF! zldZ#H(Z2ItXL-DTuWJQ&Bpz@6<59+$NP0}P|Jd*R%oAEL*(JAgImSLe%qb|b7RGGL z85eWXHDe?2=2>v2{%g1qI|Evd0(-f1Fi-3=@q<%)$;Njjos}~P3*cR@Mr`QaQy@w| zbTTvx(XOxV>k6cX6B#u5IlgL?=QbgUQFvRYNdO)CMtuwEGF`9)$td2m&gX$yJSb+I zWxlTTX5^=wR9aI{n{fURGW%e9ad*aY9WBBMZ|-hFS8f zTFYctAFrHv66z5Z(*nmE$C|pNZ#zuzo;(tdf8yv@S#yG_#vzX7ZoMt4Kv(qV&1U^J zPMdE+45CP+s^ceWVb}MFdvTN_BxDEX-*H~SZ`-O6z5xLTtf6S{fVZ%T3zSzT+csz^ z*3jtrk-$!b4+(@y7hdpOG(~(HP0=+mt*jJ%jTgqEDO~1pV2M%1)k-UTwtTt=j|YOI z02X*a(p|c*skM;ED1p=@e}259Qw#uOWu1EUQ7$ccWTpuQGla9OLAK=TOC#h%r*P;8 z5$8kjIPj*Nft;xUA#b*;Pu=FB5Y6BeTGG7>&Iku>rY$5_{pWGMX5gGN*)}e$`Xt59 zeMIUDJlm9tz_qxI^=}jPW@JPOWQE7!=qx#H^2Txx+o3px>F>@FMy`jAMyxLc#)4aR zoMuwr=<*5_#z=xn6-EXc6|H&yjEAxi9oGL*1ypq-?T%;1bL`*Wo;GHU<-_0_u~+`l zE0l1({eAJMUs+}uNjAxNwk@zA@^iW3H6I;I?r!{5>-P!M*hJ$HibH81_8z#aS}g8BzY)J(Nb%SIcj?&c``^=|rdg%@{Eil2!DItq z>A%NmQW~HbF4_Dra8BzM=3vrdvy<{6zH6pCnp$EE4y5{mX zIGMPuTm9f#_@*{P+Z)LeKSLxAR2~vGe94R2cu1WEv3`$=WJ#<&8>KoQ8XB^+ZP3vN zdp2r@%QRc18+!V7K>yd$EL;%fs`3&nq2vO0NwY}WZ^wRLtY3J` zjr`dxB7%TfCX)Q98P@A4XVei?_xD5~Qao}UI$2_0vZDcfbxm=z4=GQ9NU?6P;6|eD zq^xyXJ2e+g=7INxD z0EapdQXn<^68ncPF!6jkmYfz9NIlIzeKVg^_kKAs|1r7l6)LUl2DY|rv!cR2%9B-% z#4alM1p|Wwl2J7huc9EQzGWasP>~QggJ{_10inNDVWBgha8spK6 zBrU{28?k4O7GxAKdqM6A5QXo>EAQscavUWSt0_l@!NGdvMY;%~ejpV=GJmA0nM~oA zPu_>H4*`%o{EP&nV}bT@^HDM)q6}RL74^S*UfJPlUIs31+kFv9CH(|jenl;xzQOAJ zfM{h9?Ocl!5O*@k2`HKBL&NRuO-#E?uGF?-Ftapxf?6azyPsm-2;QsBHrw;;Ijo}Z zMsr}4A#&OO)=>S8Ki`Frq|) zvj$j3_|;EMi(1&`)GRc2XxvkV#GUFl8yg$dvKl~Pm(_-9K!{0;o|n9e_&qk*;JB0y z<-J8Mu%NmM#R+KLZf^Y^)f^Cx}7Fq(qJY>lHx3(?xij|B_xPXj=#o;btHF!rz|ua<}q2 z{Cp={jQL|IeoG8&X2e+Z@6PRHzDO~H=geW!sZ}wP&*@r?FXUlvPuld$IQ3@vm7MB> z%h!+?y7s^mv7YTC#6zh42C&zwkVW)zk@6pzlcA%voJIf1BZH4W$1&-a^um^oj+a0@ zlzcKaA{rW)1o?9R+R@QraS6L-ScUYuO>~%%jV)?3L!}zVtGra*>&cXCmj4XLD(*dA zZ-s=LB+2x1EL9$gG5hveHI*5cX#*0Wc28Nbgv*J)jom)@Kva@+Q=T+YpF@0z3P0Lp zE+fdoi;l?F#EIGxqW%=dYB@m$Wgqypt9^2b%W5Db#Kty-Som;#T{buhTx9V%ZSQ{0 z?0Jd&EaU&h`pDn=bwZ}&MtAK(r>FqyoZvk@YK}U?C;COA%XZ)-_4f)MERVIEDz4S6 zCf#**{5Z@e6kbKO{w7Sor@t#(0YSN*lMmh!weW}Bu5`0usC!C*lo0L+yxjm*5@pW` z>@4B0Ahnpu^S;8^-&Q9!E{5pN>5TC-I zb=ivtwHZOERJ-lv!N>}f(?y`^nZC1YFl*(8oVTSdbL$()U}sLD{yira5STtZag+~l zg`&3odFcnku00HaB4UZ)*I7gIX1Y~7c=BBz~&3KqQ(jL(;YoW*~a4Y=eYUKhKn;l=yX=g_0Jo_zIj09+>FhpH%nnr zsCo?s>_bwI_XUr0R}hC?`Wz_+24t%5?^+!R{{iK_?rxJ!Zo{Gqh>_+d-63||bd_G! zO=|gAjF-5J|D)-uqoV5GE+K*-AkwWMAl;oRjdVBCjdXKCLAtv^7`nTLl(Vztnl$9cv$5 zUODhas>d}h$MgNZsyqeM<^DUu!u=DXCCdYyK7GZbSSI%m&eyXV0&3GgB$I{T$Lb!? zp2XpZj2Glon7li3n+&+8Dn0ESIE9FkuC%weN5E0X()QTa+rdY*@CL9>iMhNxo<(PDsY@08Oa# zc<*@<()Q-UJ7l%>we2I4OPO3P5m6O?AOrNol<*HQ!9YbV+TYx~7%O#URrA~p@w5%J zqCWLb;}u}!YB)p~XBQJ#qz7uT+_Z%Yn&E^G{ytbqH`gNw4^R$ZOdO@BouoK+rQBwOXS3lD9TN z!*s}OXvh%)EqHX9I*9}Knuw_x&AZX&|6Q7j%yHPEjh>>cSw^8pS7EQ7Y_^cN~uV8?IEp6I$XDsIOLUHOpXgu>)(Z zJPvP<#g+GwrkEg31XdL6wYvwK_GCFBj2>hc2xDTV-GKK5=xWL6eK@nvND-Vsj-3?R z{r)j`*Ip8~mG}W_zur2V;WYB%1g>{h!e=??4L{U3(oNEZ&^pK5o1LGzqfxwbP8GGh zS58@qmVx5=(|OWld-$lL?$w&U+By`V%D2olKAY{>ed~8LCv93GEIl8LW zILKD%%W?f*=6m27jCmSVOwkIqZ+56%ejMAthaXCrAend=r|KJ*!oM3jyTC@UqvCYA zUlhF|8&t?j+*6`?9<725a2Ys8Xm6I`53STl$I}tR;aI;SI-nqjHsY8TlHbfNnNB%9lmbPOixKkdz0Fx?p3^(>4K6*gQgF)Z?1J$6% zith$eqkh$qMoWyzZ{NXBpEX~%YnCCHz1s<90towl<0_!M(VxU;@*e$4QN>Txi@;e= zpkz}x@cDhTF8vgnV+n%w7xM=Qh3t_`lfUcx2e0mUIF;yVTx_osX=<*Zy(SuQvd0Ox z)KCOjrPKA)s>BnpYIs2%L4g5qkV4$Qp=krXC8r$5J&HVfYZ7Z#y19jUajN)G#J5TC zfPVOtSQrXL$+VcQxpXO8hnPftjc5IwV>%7VW~fi?-dCO6n`PJ4aIZvH@&c4BT4!zi zLZp&$F;Qj9^gNXyCM9Bx($Fm0+9HS~GTQe^F>2c0zYR1U#&PW06mW5Nl7-MPV zM-EP83Dhr6<|--U^J*k@FXjEnoyMOwf!*UCdAowTLaiOyX)eM28nWOV3>cKJuW%rt z)cpM1GAB#*eISWP!i9yjGx+pvxYfQA+6Z83mMd{b66EV~YZ68B`U@)a~os zPCcT8o=q4M@OO|DQW*Sq(0kIj zgqoCP!7%0E7xHeN)Wd*gS|(H@Wt}*cvi`;IShLCv9&H&F|Aff#WU1TjL0_(+e6I<= z;&tCEf1%O4|3&)AwXoA?3566bA8KZHX<%wwLE&e6ZgL&M|A+4UlTjQomZ zm^A9a`}7D7k?U(jvXUstBC`ZV8N+g+3LmZm>H-Fo)sgf*J0z3rfj zX8NR?fV^84fapwbT^?oR)Hd#%>0m4Mm$r6ZzA)ZCa*EvhGQXiwtQS~KSUVd^ujhcY z!s$3gpY_9T6mnhPUbc`iPb{9zj+8BB}>*l??Oe=~LQf+QT2#MM*t}Y!|w5z zF>V3(#Vzot45Vv<)4oDmdMyIX(9No_VWKXyn8=3A&8FDp@ZCm@y`He$8Gs-L`Tgq$Aqh}}#rK|zJ z%t{TidFw4jb7}80r|6Z4QUi}R2~m&0SeA+6LYDDuqYVnE0{S%GOq zd|A!Ny!n~^gairASeTr=h%D#~U`}grQw(?{h*87`mb?4*!$U7kBSSA|UOoC%5$G?h zBJi@d&N=Jm&MAv~AoFc;`{Z&HiwA>R-%G?DIAUKcf{9d}TzpxJXM$Rv{DZ%tMyXdG zJBPczuJ3m)#q&aHhWA*$AuhP4tyDTV7zuy8LaJ#91igv|=%}exA8pRa2i}$9bYSgs z9#UWfF{{kr<1NXYNYX`fZ_vXeVFqC*pZg^|!ik25eeFd&!$v!(-tI4leuU<{yop}v zjav?Dk0aowaMb&N9NcH?K+Dbi9!V(JyEQ1n_O(HqXT9F9QQc{`K+N{r^f6hBg>Quz z|9dO)9fa#ocG+HDUIWNQ?Ie|EMuUh}5kP{l7Qd^=NsFSQVzS+6)AFm^{&DM$=t=&c zufs?z8hW9Uu-T=^&a3v@U;2MT&E>K7OW!;m1YMT7NVe~pHA?Cle`X;z53OWjo|i0V z#UIwkf3n&T^I#?F^?v<0wzn%jznMt&P<@$+C6Zo`(N+t64!NgM`fHrbX6tGegL|{iIdd`F1hmspMun?w~C6`;-{9x%3qn>W~jEM`Wlcv_A(>K>Y~DyAsLv zODhw8`I7e!o>=iXxX8Lh;0a37hxK3w_uD##jn2!+AhP(`MkXeb2j)t}q7rP!Q1!gM2l+{u$U#h&C$h^d=QY`0Q`_VI!c)W{~%SEw>ko_@7K;Xz8v11$~>oDtA z_7BHfC~;aQ0wKFdY#9sS$u(EkB{94vDZwN7?eZ&O*6I>6O*|#8TOPjd=!F}8YWG|B zs+CpYP&DH~uNQYU^}aOP6ZdsWO?~>tz(4GZv!&`s?S2RDBWe59O)ke^-Ndhco(}cw zg}L1-562gI47yAH0sCG?-T;k zz>sn6`FQ9RH1w~zc~+^=nE-GS@CB1-K}n9p=*`)gR1HS?1P4{q3dXku?jNFio7MQ6 zn0|2^aATj5dI3kUQ2|~l1_L*FkHMeJse0&cK0v&@^!ucgan5#qw(MUQL@+yY(FQQx z)3oo3Fv?nFN7yX;p^BtkqWa7Kw!d13(koo?r7*M4K=x`> zp*VDU`+EjE75mDN8}-xiWn^D>?Hdxe;agaB1r`2Q6M!Zw-{o3Xu`4jAZ8Y`e*iOf6 zV&bsjxl-gn?LrG`c2nJkXpmvH!dZW{)aK{ygD0%tE^l!VvUjeSGR6^~=OqLYVCfp& zm{qnY67bzq!by5NWiQGeXi$@Q920lh)BCX{)^9`Vxcc#Ad6s&$$vNqP(>A>YL8UJy zz-}-u8+cr(ozowKt=*#dBX9Lzh(Frk*M@#mDH+JP=uIOf0o@>beLJPzz@TSNy1x4a zuA+baNJMfcYH(h3LdsyT9^>+Q^?6}hy>$)8{h-ZYmE4ZVCW z3e}TQUeJ}bz!dZFi)gYYek+65vCw_$Lp)aj5a^}8xpVD`6I&B})l%M3>AqI`uiFws z7T-|+PPV+l*5vu;qM99~cV*X;Q*SqGTA#(3$Xf8N^0$r&qF=jwx%emf+@J9Gk8?tW z>Xu7fc(2r&%%zoGVs(Ek`RFAbJJhu#Rxit_*97FfafUyDE2c+QZ=H>nwK&MyVA30eQzOYMkrNv0;;KwByV@4M~KF~5qU2s{3P@H)1}U3dn$ zMXx!=e;dWR8TG%riHuW|$gQXRv)7vW-qGnlxGL@+2v=D@r(><~kVLQ85=5^wgBymm ziMqRa+Gmhd{PK6FcGkMB2J5>O4Y2<1U2le0@Mel@c#SOmdl>yg=Okzn*%6Oj^0xE%@V7t=!BtbaRCmNtbdnEEZU$Qu*Oj-LF1iCg`+fRU&kI$6@$mb$ z27A#&QxW8>1j-41BFSDOQIFrhexbs#%AdZ6p!_(T@s`riNSz8NLQ4LgHv+a@^X_^l z4vozrJo=KF`CH#r%M4)!g0=kgsZ==)nY`PA_8U?3`d+L`V%%QemO?VmGLDXcTJ>2n zF#i?bZ1q1=%a3~A6+isFc8>tsc=gl^RBZIE79ZXSeNv)gmMz&$e zHeEZ*JT{xAfY_7jt@-6Rp{7n%So)Trh3#M{qq;^sr+PYv^8uIa?4_Z@1roten|2AH z_fe4bH*Z%>;e4K)rF-QzYHF4H?04nr@%()u=-AQMYHz}?y7JfL;k1D6#g0u=i-o4X zPvV@G@t9>#nm{t|`OPYg`~AI3WuSj<@ItezTZ+fkf&@8l4D-(I*~&iX0f}AdY}LO~ zD)${2OnA9ywezXPShFnG3pZlcBiYx>5`hhM{UJq=(<62&)f(zgDr8z=qGb2V_|bp0 zK5JG;pekTg9~N&r+5!lw(QYTy{m^=e3i$XUqf8?UIn6qq`4_|cuym9ud_jz^v+oXR zysB~z($=fD)f6|;M1JSVHFJEOwisXacC-pC<93%~!)Tf^$bz<5DOx~3vw1&5At9kQ z!H!$71(E^NzVo>ynBjVNRvBA<%6qiIS@y)P+_2&;{i7TLa9b@=A=h7rH!F^n`!4w1 z^!$0rMf}=6e$#lQG}N^zQ*Zg^*xR5fm}wFr9mmTd>oil3;fdTJ(fp5o|5EE!T+>^A zD_>rsfk%wkl&(q(7D^7kOP?^s;g6d8xVqO`j|{}{uX&gsL`-7H%X}R{lEn8? z__)Xk3AdjDLUj=@_qm%^GgP7rBgj9y7}!uuERq#G(_4$%USMYh|Hn|&_`*a(Lk3_SWnEl@Wiy4P*^RkEWb!q{>+C zB%9WTwkL6wFI1yQ5$Py5d*nfA?fIPbT4fJH9gg-J>=d|ku1UI zE>0IKr?Lyr$X#LQpRj6y6&`nU*M#DS8{o*zwb9PFNY?gdw30*clD6>kJZXZip9@0f zO~9{o|7*f_J3JL8#JolVHcq0P9lIup7HX*9>By?<^$0&hIlb?DPMfXvXiYoQ7EN*d z<<)Vl5^5F~u;HSjR2IYg?2@GsE?!GK97+tfpfm=rd%m_#Nh?43z6-nj1L!=L0y5V} z7m+$G=FRR~rdxL&yHK)S0*U^rCzh?S9OHR{J$Kv?{LassGjCdj5t=uo6VN+8Up{hB z4Kg{j8EYbj-Be@$aU(-Q5KCN&OsSrjvWqZ!WR?=wEwW|kE^uLe=e9*o{l8MW1nku!We3FFOga#l%!y$?t8+y4nI%SU9U?(B3+KfU2B+({ns8Bvcx^mhXGKuIgy1GeICVGmlbyb{x#$%iz#bWp-sn77NXcmgQ!C*LmJk9 z2SX0bxk?&t&fW;({+kEvaz~n{uUl19xE?K#$HW9!TT7e`!r3T(-YJ{=^jARC_ua^uIk*+v3zZ4QS4U?k-fS@p?zIOYZG+yt-u^JO}ZioHzx%?z&#e-8!EHMQf73L?t4}Y}`F7$ZD(}mn`m0>dNQns0ueSVwY-gBWn86{Zdga*l!2s z+^V!OZqYRgX@}+Uy(vaNw2W%ItdDmR6A);~i$2CHnfWn$dwVkrbj*S8n3gACw!b)B z*^N9E2Tv~)!mrRbQKVYJrnVvM|Hial#d2~o!>F~d*Coa}_-Ed9i~EX5*7-%Xz%lO{ z{J!qrFzqqsFuQw&gE8$(Le?M%?x73Rj(}?6Px8js!7a z80-z{n-nI<;UA1$wEsMoGdR-QQvThi7zXn@<0jP_9s!Uh6nn7^~5VmIHTskNc48DLMFCBqn6HW642juFf zwP)_>KpL-!z5N>mvIfsh!|r9qKPuxV{)@vJ@zE0CJzRR!{5$5Zokw}ODF$;o9S0aE zSf6nVA0Jz=SD7u=mus!m7YYhK%hJ|j8=dWyTTJBMRjL#!VM&F@1ATMM-`z}BTD*d` z*5(g?3kym^)JnCWW2?o%oByA3m?1^z;ijp2a_g+2C~i7V56 z#V-sz3wZg;Z*WB>^DmftUfBFqCQq(w@HY?SH>3xs)eH1HBmSdcpMXUjsp^zOF_eT< zBco7def2dO_@jn`l!UDQIx=g&`^YQaC*=Em9Wj98cMurj(^z$7XgZK#iQ{`Lxl1i#{Vy?~%2ZCapaN z1juh0?agb)4oDjAp=GFs?^_#X-HQcg_M4p&Gz9yBYXl5pfl|t4-wuRR42H`|CIu;ksl)3^f zHM}5X$J|caBB%69wh(2lP?<6#AyaI~E<=R;RCQyb*j#-yYK2M}HEMPP&W)|%U4A-9%k(5bc4v}xTV>zyOynIU}8 zKf}BDnot`e*~D*G=Dfk4ORrWte3JweW)#-w>EFT$& zwR$*Qg94$Ty!4ubU&;T1RD-;Jz9$|o-AI;{GWVf)w!7elQw%OAoBm+dac z23Ha@bvnWy^ z=9G9ayqtRY)9`W{eWZZHi$2-0Xh`oL9%`^rEmD~;Q!gbdHNa!kre2hr3*oH&z=X=V zHEyRs5u_1H!ZQZC!$Hm907?BS$K^0GvT1oWfksZ@$F+pfdZWmk@q48ba!g&J2- z={f)(m|`;e{H5;?I%WK_+zPwGin)-En|46D<_$jcvr7GIdX<8AA<=TI7B?r$887DZ zND2pWX2x3+h3Wk2QkMAGmllOnXZeBwOy>}fg7*=A?Rdhvu(1A1;C#m8zxD3`*I&qR(C78xd@G=c}+7I%hYCev}fCK9UbQch99ZO{2b7kyqik}IY zpA=4{?9iqS7qO-7cER8Gd6XKeDk(JKi9wd2n}w#}`Bnb>gsD3K))aGLpy=_Ie8}oa zqVmjCTn&S`bDJ`t@Xl2zVbn^j^z|Su$$Gz=LFk^6DqwLCC6?RVJ_jPYY8-2`4YSrD z%HFQp;ix~CjI(hfx7FB(-Hs^&8OmWRXK_!~@wHfAU)Sw6$Mm7s%@Nn_nknhCQ&tQE9Lrmj!?4e{~Ijvq> zTWeu+39EnRlEuK!5&@`9KgqBOv-hjAC*9b^7>z6^BuA^JJ&YS3DZT@MnToqGB;zJ6p zL>R*!VFLue)V??oe#WC^#-oiyXRmnHBts5myH9U3#5ZYRKUYf@mVq1!H?{2!G||)O z4ER{g6g=k$4R~|8o*IR3ccX0Q|Dkq!+YunI;Kh6aIYKNC%}fb0+43 zJ$Y#tR?FoP=GxRqRFeGTZR?ujGe+&l-m&I2)}_~8VtJ2%WA*MU47+lXlniWww>8}b zW0l*XN^If=CBO2x*wy~+sc`8V*8soDs0$XP3y;tAdPIdC%LsLK;RGDrgt}n+X?CBM z44Xls;t8*=lQXtBlJ|FGiW$>rrF7w>Z?n<>IwgW<7qtr}Yij26RImYCa#d&_l>f7> zt!)OydFDgM zy;bu5-$*v1di4fZB|rSrN(@>+|FNi9>8KD#~jaxaWpxBU!zNpjA%_!_J2wJH8-(>Q}ep;YDZ5^2ip;Me1a ztBNY69hu!v6wuI53MoQAa_j9VqE{$rarV*MgD$z+3s&I%Trx4Y0-3s%WjZe5+g(dP z9~d~gHGbmdg}!}7WFeidLQy+2Wjavx$7xzyDfbZPk$))nz7nCNs5WFZehk-0kx*pO z3#jhekXZf6+?qsMl2AWk@-YMhulg3niM6AbF)l=Z|1aUv=vlaYLU}bqC3DL!tDEnk zeh2+6cEK^%OoRbP^8orwoBUq+p=`OuFq-@5^@_2ySydZ2F`w@n4MI5&0s;cparEjZ zWqXE}KU0MPCjQGi0Vce7BVuLF1K& zY}Mieye(}h@(LM|HcZ%M|o#Ulz5+Z`?L>#Ps;nMyR9Wu-Hg*GyJ`WIB{e<3U%Q!jGCvv4meG4wDtn(g)>H z?G}aVK5RQ3Ucb^^j`;P^xCwTM!wKtA+VUTw(p^Q_P$+XVUga&?ghn=*wAnmZVrxaJ z{IJ7IRr-a9&y?5`gwVVFsMc=o5&dbw%c5f2JN}#fwJYm&TcDu8S6gqOSmn5|mb?$( zzdAe9ME}qfhrpFFUr&1~$WKn?nnBY&TX46`%EQy3VQpQK7#cdbLZ@0JDtO+m?%xLb zd4S4`zTUF3vR*LnRyYqPF!C-fEw#|8mAH|70E0AXRzY*~LzU~Q_l+=N+Ru$GlmDbx zzF~yyMd0n{$R~_>a_LQ?g-Q?#009BPG(_?TR&bF{qr-4kR#rDLm-XrW+HXvnsgtut5Aav~_O7j4qew}-{_wN!rw|Dj zC{xn3ur!J#V)L}1T!4Gl&0hl!&=b}*KF!{FstsF-g=T-#^rq#%@||x_SXr&$JJu!O zi#t8WJ+)&0URU^W;3R7KBdY4E{9S3JCykC{gDEn_U_8C6>vCQ>AYN*D*1u-$xa$-A z&86OUIc0dTx443_bEnE?vCa#eBzxpv*Kr=_-}d-@QqR_>*^naXt*u>>S0jqA*^fYr zZvD!~vMDG$s;ZM%VtXJSQy|h_pPEKK!>J9NBjiz6pG5}&dwSn_Yg@beMS1vMaG*6q zT-0OX_&R5Nd|VJ<)zah#rH)Ad+aUkd4X==qGnbp3tpKby&7ghc)KD_}EGQ)#Fl0;C znaGp%q~_qbR1gsnF~i4C{K?H-zn3Vunr;XC?=bt36!%>V3Z^F}|<*ly8?Ug0KIBxwH(V`?Ltc*G=i!K4%DII#c zhi%6)_C*-k+*NbY?BvQ-MYC4+Wu{d4{T50#6DP3zKE_?di2@( z#`1djv=+V}A#%kA&N~h{$~CO9@%SCR$H4)n1Qr8bi|DIqz-V7vjzMx8+rAd+<1;uO z<^Yxj@-o`eo{ddS4hK_(YVBFKjxHSWNp3YC5WAqa9B1;f8{M-eesMjveN05U|Csj! zv?2#FDR_;-iTY`~Nf{DwNSL;HJg=>#z;z16rBfN(v??q@SL!e~5vQf`Vw3YBeCn{;^z^i|SMYnPGP}jP zV|pxFdI}>iZ|~R^(ii=YewSnfEHSSsUsqAgBKZuU%Z_#`WPd~ap+?-D#(OG8cWd0n zQd4fY<%BYSSKw&e0?)V;``laxw7C?u{pxOoPXn*;R&F|5pDt+;awBkDxkGz^9O0xa zm}mwNa0@7(6B+3SH^oK}@1Ml5K0x}P9Q1}3s#f=kd)ZetY`g(ScBhA)Em?r;;ZLaE|91_1U^843y83bPdt*+ z#m9Xc$cV7;{!<;xk&LuQ;Az-|_6Bq7l1g|m0opuKkm2@R>+SUgPSG7IQGWd1^l9B*aLKB9}8piM&0Xj;I7s~l4c_{nTusZzfpMa?^Y5iv*j=y6>D}15 zrv!eNHf8N}0ZC6O2M^JZ`Wid|PcKe`1uROSoeWa7Q=-84l>SSgEvr>jAB6%w42}45i#1>pAeX!1A6XO5szeqfUQw>7 z!y)B_d8BZ0KRm|df&_W)_?q2SM|UuWMxICQ5Xxo>T0?9!?!>4LB=-r7dtZz$7d$$$_vuMp%4L7EY$cw%6^}S ze-tLbJFa)~F8BkT+*u1KyXA4c60}!|K~8VpzP-ecP6cVaYH+>ijiv&gdB9#AxwEsA zFZFxgx?1rS5J@lsE+K80vRnFNaU%`17k!_UC&oh`eGQ-KT-ny#Wu#zoacrbSk6IeD z%CL0%*C29dt{SQd`;UR=0!JJ^jNcM^n7~8bLw%-M^Tfv2b;bsN&sKJ0h%3a9*8mJW=cd3<2?lLoA4HOnG z6d@-Z3x9y3<^HzJKB2PC&AN1vlG;x%XZ5@_a9Qu$*HuMMgf;yvcw+eKCsJHIF7TBW zLVDob5TtHVE-z5z7L_L+j`=+!;|JKRhVsc8&BAc!1d2%!;qD`TT+9i1y-Vro+kbKp z_>pt3j3?poZSaoqC2?Oo?<5fPA3QPO)OOvFw2S&Np++u^jx?y^cAFLX8iR!R=AKk$ z!@GXD)#iNzKE?OX&2YA?NfQC*d~QuNH-ysCE;e%rACBIM(+bi=`hgmFXAt_(2W@^- zRHf4$tkJp(x}dKOz?tx_AyY>`P=ssal?)EFY_$a`yXmu`3g}&vJRg4o;#T1G6RZfHu_S#Lip~JJJ-qNjLjV=ah-OE5?cXT^eDaDqLLUySN8; zh**1kEt|IGCtBzydZ>(X9>+6{WVsasywT&`JIbf5A7R9UGgCVVr+5{QN-jmgl+X^P zZU;&yo4xoJ)r&gjwcVRaTolga8AQ*O#gT2z;{wDIt+`)_-aYT90Nc9g$yBCSsz!|7 zGgF36(ci=dRF;)$`<7-LxnHYekoi$U;eS-u^S8|h419ilPs@4YH;bEsHV0kPlY1tK zUMf2c{)Kqzd&C8@tDq3C(c6OX`kQ0C>A&_FBUsDKk>3uI^egbxQKt2Ux4a-3KOze8 z`HJyvH5HhZJ;DnDUzHsVjjm7!TRKBuq!GSOKrX}!Y3$zIl4pSk`Nt(Ym-BZKVt+6h zIY4no|3xndQ$1sY9FR{A*fQ}9lkh@AbF!p7A>!~F|IVX793%Ayj^}2*Yu~b^b6t2x zHV}>>`&;HWt^;K6ODFn1)W^eqvwNk<faV@! zX%nUWp^zwIZnnjdypR^6l;@dtGC}Hy8QVi0IqW7n-BQl=#=%Fs3fDi{U2WKW)5_l; zH1N~Qr)RP6@%9Ieq{^9o(H|Pud8HLxGDY3bX`add_jB(IO+6C=bJ_n^>aBA;)Kbg% zjffy7Y5-+lbv%AYuVuwG$z*>`I&!fFYfvll5{_Car^4z|io@?NI=&9=@;MLVS?fx+ zuBj6?gC%v<2be^x=G%8VyTls>E4~VlZiGLjCB1Q#-g7=~L%*x|^>f?acr0XlP(mm= zqIB_5R8D(!3ligw5YQYlA?E)1N6@D~>RhJ-*T5)9I%t9Le zR3LJQ~CBv_iUnymrl~d{m?VIKqs0pQ}1NOuQ5OC34d$$?f8{GVxJp2 zAJBW}{{Z>aN!*y#Zy{fo@Mib){kjXw5N+Wt$hku1300g=$Fg7Se2U~(r4VyBVYme9 zKC^3OgtpX-(4y=jr`yslDJj_NaU>l;lLoIa`*8{}9$f{^`6T1=U_#Hr@&=kIn0k2) zMnPTW(BS8s`H5}MDtJH5^3xx+vLqSv9ew-5F3Fqi&L3E27_U>T!svasFJ1HL>0(g} z`Abhw(Qw*aZBiDd%3@0DZ_ilAkj)crIIt4bzNgJ+`=@4hkFu3c*4*<<5c>l2WtES5 zwvD7C1_sYwgN$oEAazi#HxB3b!_9GMD-_)AQbh3 z5!l5ptSE!;D)4WdOqk?t<}NS_E85%Flx^=Gv>v%^?PgnMjiWaJZP#=37P>)TGVLd7 zc`Qvf!8_1O!dkzR{3x_fY3I){bnNio5uMo9N_cLmBieyjnu0fI~4aCVUr~o?YpNyXm(fRn#ya;_YHDbB0_Va#yD$wi6iu|^qFBQi!+!W3 z4zdb;?Nep$YzyS#q zLR2|6j;Nv)5JefK!s@;y&?P|IN%PJWymwF+8$P>mjarV1h&VrI1nF(^cu-D;-?Wsw z!Qk^Y3s^uLXV6Jl3xoKpXbr!2eBMd;?%w%~ewLgJgzNXmX21mnhvz*4IvG{72(GL} zWXv)-cJ%Ux{G-;t|9ZIEjf;M5V&)!|mGPF%9na`uVcEaDm}n6bH7!mWpMQq!YhKkY z`}}m)n2UH{s1*M$m63#1D?3MpOLV2%yz%&7e;aE4DWAJF&Vfsq_E7(5zSpw>O+7#1 zkNYfa5=Lz@LsNi$oBdm?Z0HA7)(W|dVj_-%Pm92B0=p2Hu1I2bFxEg$L}LOcn@vZ~R86A+yLMyZpcSV+bJX=o{7~aa>{TJPQD+3H^3r7S z%F?oWn$?@@nCiy;$`;m4e!gbiWSaB3;P{6OagTa+g-u|9h7uZ{$hlJ2+4^^)_3uMW z%*v{9Ynn*6_Ly;Aszz?ixw4UxhKJuedq68EuD-m7|0Tu_Ywk_B@~!^Jh(?y6f;LW@ z&#^qO0rS{TXB#zeaDl@q)VaCl<``NmhMx(dW4UfHSEf-*JBBbY?w(JJh>JEim&|FH zZ5m{~1x~Z)dv>T~Z(F7X9_v+&JrU+f-(D&!NGMxkw+lq;P@_nGXkJAK=YW*5MNAI2 zd%c~%nvQc}>zG2pz?h*p!g?akvq-XPi$g@1M(I?SblFVU0>ROOi=Buvz;ChMPTr_`UQN4nW|W)Tx&c0FSoqm( zSE)Bb@p^3R7o0Gs4c}gUq%hMZ8#9O~?*@SNrT{-y;kxC>_jjtVKYcaU_V}5O!n$t2 zhnA%hVARg){(#BO#h#>Z`;J!5Q zu^hsOMlwF=YYEHI0c4@0O(CFn>zCfu`B7Jz8Z<?96Fwt zpQ{{q<Gy~SO;-DxD7$u*NM)Wbx2Xx|LW@zow4*30cg@j^j$mB@ji8H6E9 zt|(w(`fH)ppUbj2e7UDgXqMuqKtQQx{<-2(&sDM}h5cOo0?I*iq z`Oi>g<5rwkGY%=w;5-w(zDc~uT^Kuqmx#x%B%+Q6(?xE&CFFXknJn-!Wl4505s86# zxk9>&)K^-^BmW*%%QY;{H$g!_QgTvJnI9Mzi^SS%* z6Q!1U#w_?MMMQvBW&d?aI}J@>J0N0`zm>agbdDFhHEj&Cm}?u^GF{A3+vv&~Oz=X* zn82+=wg3(^bh#!jjM+U9t3}>AsX?Zuy;_#d)=2L;FPlZn_#)i1 z7RqMnNt{z3>4^QUvtX!0z^N}B+x3AeKNpvax3%>VX>9)%g4f3Sx;?(e#>U3^4Qc51 z`>1WKE?)cdauJmcU25fL(f2j)3(#KCZf`z(l}q^>B+RmKW(=y?M>!T==J{c#1x2Np zZNTA>@q{G&dlW$wN$f9*B3kc7uvDylQDVM}RAdE(eC854(x~(eGNzuZ{P-&??vqm# zHB{2h!aquHrvw%$^_6JY0rqq<4DuAlBMY9H><>eq4v(NScDy7;zIYLPdy84;$3Fv* zkBTPgt99REM6+(_VHLUg%)Q6Ot|kmpZU&a^?KgSzb{EfYmbo`uX>r3cg&Sh@U!TBx z6Qag;TvIo+_^K+by(-5P5hQ%{p|`!=8E}Axej}1wn0~elsC+@<`By0ug($6g}c`Qz>CbsGiEb^^5c~YFF z_kF1YF*&PF3gVsErVi+=bs2M9t_fdi5aIXtomEnj37*eLoQI^FA>Ojivd8}@!v!y%yj2l~pAz?X*0#1h zI{M4<_TfMFM$8!59sm3H2QP83YoqA!2mL$Pe;>J*h~TKl#c_kR9aRl$f%?0o!GqZ9 zW~JeLhvVx?`zKAfxyK_bxMrDE@nz2V9+y1qRqLC3w3s0 zm02VCOovCb6{q2l#E3s9eMBy4=R@rxcjhi%FC1gq_&B#^;V&vw#^a)029M2mnv}LC zSa3U+Fk#DQ#`>_SzCM@uv~AU;05v#0MDK%Nc4Z-S&%X<_)}L;w;eym|aR*GT=pUce zU)Qx$0Fb{W0}UTZ9ieb_0Uaj^8$qpShCRgI(|cBOf6|Ur3eQe3>(2(W zq}HUBkK^e(pWfl4_9LqxH;AeUy|vuFw`BYoHnMs<4+NDz|+ zk#Z)VlIqER-7n!axI8VF^3}4)sTAKl_Q#Ueo0#p21a+IXJn1FLCz^gkpo|E<8o1JlM;Kn2+Kgk07!|HADJc*8w3|Vt4U&Vi_<;ab4L=u5a;_9y@c5N=Y?X zYv2Px7ERZN>~VxLa*oqtqLK0wk7nAb$LnlNvc-c6c_?G8sq_UCJ+a`b`*gn#Hnl7f z+Pi(H)=xzl-Q|H^*P5VKDFwQ9zW1EKehyu0qWM0*bTWTkQShdQPPw(DcLH+d$)N25 zWEiHweXXXU1ChDPMp8c?t_1>S(PYM|9K6VTjpDmqWz7Wv7yyxjDYQ8)c z^ZI<{lf`~oe`KtpD2A*0SX}7f_TtSUvX$X!HZpWEJV4RA*!-<^cqUILwfPS(Jqn@@ zAb=s!A1TDk>-Rdq*uzR+0p9Xg{Rd!5f}STU-l69uOu|Fto>%?1N^?5T1AD-`R@l)Y z6CvEj{{b99-@w6qlD?@mqd?~Y~XHi1epC->U&l9D2=R-5WRqo6Y9)R9 zlp}gjP2s6$n(c{7UDY*nN{2Or?k);%=%vTTjdVoszDb$0J29l!?;~b({`$NAo4fwr z>g0bAj2~jK{&1(>qx+UGZ*sD(SKXjQYoh6B(&SM4_U*F)mc+OGbzk7Vx2_4S)2i_y z+lH@i|7yH^A~$0_`5oqx;_!xp@$vDGZT)fK)4s=#zoAb&QFadP9Goq)Pnrz;;<5vi zevHznF-~z#YG%8RHPgYttW)PEopVj8kOd^ambz z7AOgCa70H37Ft>8jG_^IFsu14Zn}CS_c@|d#>3=O<6may1JlWXPiJ4f;kA?{J$ODP zc(-#^XUESkJon(+qdpwfZ|~)aFWvehtBdcuBRV<*C@6mIjE**gl9Nvw+;^tH+IoM{ zS9dM5BVz_=lvdcma70HVz$5Rzd)Tge+7TVS4cK>L+_=4NyCXyZwc8KQ7O7>zPlli0 z@5fU9O^v zDysNTr^?~|cX8;@lQeDm_Cs&K-JyT+!GqtX)T}vS@^jC9^-r8?NI*cXj(JBntttA@nmCwoZRYYG>l< zga`M+k%4gLgwV7W)U_(fUkEqufQ-XXaJy2_?vc~lj6h*!SDn&n&BRe5sWWaZU|Nte z@pYl)u{tMT_#`nn_tQJRxoxH1pzxpbW?%jXU;d*HLqUkHX$oOJuLPElW-Me@HJS;41szam|u$U(* zF0PxHPb)TWm^{%Tj{feWS2q<})>E`OP$=~11>HJ2#L-TW06}sURp9d|)rn4#H-Vdg zdBx*e3n>Kp06%ZNlAnjoB8{S$-W+d^0pUK`K5?%+{Yo2~%eDsSzqWtl+V2ZI3UYw{ z!O6t0xsK}}bV_}V(xV1krKOS&OVeXX+x>NqFXOc*i2(j|$j(fW+8(e}Avq@_qi@7b zH&rU7SLE!@QS?c>+TVc^XZNCFc2})hRVzO~|1rZbj&$$deHv7hVf$Yq-)1XRcCc@-G1^y{r(Jd zhYh>izaZDRDe@7nbnRR|_QtUb|B3VbJJ3j7Cl0wD`Ok2A(S#5 zF7jdGTvX=t_Uzd+b=9g>p{_1nT4q20{9vcZ$SBnrfbqyXW8Z(Om6ZN*wokph+DWym zKQeX8T_&!6zI^@o&W4A+bw+*IxzHo=vcnD75feRrqj2PQr%bx<5!LB#rL%=E@lxgsw3yrjg~d$H(gOxz1$-*7nQtOG3FED5)G(RED=n`GHF% zvMqY~8Cn5LfxFYH^L3}yonEjz?Y2kWePqjN&(n*6@LPZ9-s+fp>s))C6CAjII^Hin z%VuDiIHhY07(>(v*Y7xOS{noc{KiOJX=u>=nQSaWCXon;8T-_e%)agCX zoSe^!UvF&RJ{#2vCDf~^;=h3^hxfmnSdr@#CZ>CN<>hwhu&ZOcj{kLu25Q&!GFz_4 zEOL6Ca+5~szoqo4VHl6LY0@Nk%haj2{d(j`*Xw_yqL%;FF)MR=J9qA!1SI{TdIy=w957q!K9}g#ggD)S02_HRj zy0p`K(>hY=;EV6TEV8~fa8SYNZMStaCO@VGAwek>uRAS#?l^9*i#_k9QD}d-3t*9EK;NONS(UY_%-MB_qnUy6i=yj& z@QJ*Tv-^oi4O?)~jXu4uM>}=Gw0I|F`DD$WIB7{YOJP=`Pxjsl;S;5~anX$jJFe?E z<4?ZP|2(|Cdy`T2!9a%3gnurl~!7`MFt@WVaI9e?_Kou=JiA@|w>RE2-9 zRPkTQUvhXw;=I6k47dW!;zW#wAZI`)s)kp6asG|Oh;%k!AyDYb&F$Rh;V~O8*y%qU zk+@#Ky&&6F!zPO?y#=hdLc(HZ+}NAAn^uw$kRbNBCaP|S>tgZA@ySLhzdGE8v|0u~ zM5d8a*9%qXx+vKv+ow{eLsh@}ZPtIR>yCf?vB=DslV;fMH=k=Y?oudrdb7Y8E7B!W z&*9K#`Fmiv7aCJ)q#XfQ7F=;1K(1%5duzJT23Gfil@gLD9J{Kxd$H5IqN`5nw6^bh zQnTib1Y;&pu%B~r_irH63e_>-;d42=u@ZY9@V=r?6g#`I5_`EI%Yb-a ze1~3)vulOLW^zhM;<00&2ep4`GsBg7=z+9)X=ZZpcQ;?mm9Ff(a&(7v9sV`aKmP)B zz<_*;%Ju#yqft>W0x$l%ucL}8{*yVc!z&Ws1~{l1Rv`meBt918ZqTAJ%KyTbhehI7 zLbaZ%?a#XnR>j|sQ>2UG7TPZ~p;xr(Uv_p448vMz^$k4``lO0_oUVU<`bBGjb**29 z-*#jYhYqd$XqB=XoyJ~LfwzEZiO?V1u=>a1KtEQE~HUB2!#UUNlu4SBqSt4yM&{LrRSQ8!|Ug+4hE2r4* z5NQGQ5cd-1@QQau4UhbNHQ9a*R8NL_ha`#W-f>*pELv9F-aXReSVEg%INTI2+fkvn zLnN?B@~PHrk&l1NJB)KgybXBTH=E{fj2#)}vNoA>yw01t@#>^6fh5D^%Ryh-M)z7A z68ynK2V76SqTYp8e!}hCe=ANQ#*RziHig|4uOEcC&PDe-Y^Z8{B~5N9x|h9xZz}em z(;jj$Ap)rIJ)SMn5t=_Iv^f%`bKY^!`>f^`EK3z#ZsmW$SF_3a)FEuNt5%4=j}f`d zfETUM1E{U==z#cm_X;tN2P%6{Ra8+$760Ejr^71}e;4p2kbt|2Pbpi%G+=0{L(18| z{vLo)^sgIaE-v4{ihq{bBE50{;Vk&OoLvA#LoB9c$izt#3jlJwb1>An#xvLRqDxVZ zn=+Jxa>kK=Ztd;4-ZelG{?t^T%&F$ z=5E{)b7c~i6mABd1;~e^z?jnZKnOQsGC%=jgIj-=s+d_K%`GrN028JPK%Ijp>H6zs zbXttXg(gyOTs^iMF8VwKd`Z7pcjqr3@dM(n)~@(qRAK%L^TM`h?sW`JaR=6Spg zW~h=I4l(tVs8}JQ=4;#C#VK0u^q67G$GGb&e79VMQyfy_%wlkOnm6sdb7(5?kVE7X zyJ~+KEm469Kr^73Lu8I!wOo98p3l+bX1;8z4;j^=U#!)@R9~_S!1X}gU)v|)>*KEW zH|IXGOsjXbGPjSr6mA4?xgQ=TmL5F^ZqTB85R|ejc3-nv*Lme6t)wWtAuJ(q@eRM6 z?hX5S-~)cW_Y;}``w$wT^ba|~w?)o+(Jg;1Plg3H_bxIzfzZ;{I1#HrvBv9+Nh04h zqCD%zxt|w!xo8f{?3|hK4(zT6d(f*&+T$-J%2iRt{~~|q zTj6b4^nb_F2SpY)0FV2MUKW6PA4E7+&t~$9B=iBk2CW8k7m2&&Joy||TmrY=;aGO0 zA?GdsSZBa9odHsV>Tk)nc};z9jH5yu&s+kwwUK3+zL&7w9>WewYX!@Sk&s^i3r0Sj!LuG>%K(2*4rg?hk<|T)%esV08tMyvt(ahqGt!MI{E9xJ1;NS)ATSc zg3(5Oo0;l;q8_879FEctTn2{my+%f^uIxPJ(V@CgR!8)t;UC`vKZrwagH_`ilXEfh zY4789crhwj{d2()!>xmA0V;^YE1ckL>EX)6<1=t?xz{YR_YQ0PTN7K%06c$>`!Bg} z^_7da<8y^K8J;ciH}1VJrst}D-z298rUzHw+I+1Kw1t2yz^}5^$x~-|uc1*830z(1 z;h8x+KmK%#3?D8;><4&l`)hB_@S0`Ez2cl6oW`INwFoHn2I_6Pin}|n0wC8`Dv_)d z2a5hL1pX*(kU3(TVSC^6%&C9NY25HDjwW?GT(GIjvAr~?zK!M~fS$~2_D73=*=PV9 zPpY1Gq@Y!U+ND}zZ7{LY6&H(9;t;}XrZOV48jfo2vJZWVjKq+Hlopxa1D1krDTpTbPr76%}VV5 z2;y*DiF@JXKcn>Ge*%L89-wB@4qE1}V^y_2w8@!=RYR!Zc$veVp%jV-$<_tpaJV1h zMGgTUX;jqzw30>@Ra}1z=W}?2DsN+HPl@+xKByWIQ@*2W_n$?UbpWHtIg*a$+f?!A z*mSjo-|!1xBIlM03Qj)NvC!h3l2*gJ;vR1i)l<2l<|#QgzwcjAG|+37A+ukBt(}l9 z`_br+|MXZFpxItpwb>@u{o3@XCx=se;E@kq)_u#IaleydE#!Z9qYaOI@C7Sd^dT<* zJhscNJDcqbJj2Fa%_$v15rXP-K;Mf#`Ltzg*)VY|z8N#{%>9X&&NmYsbHVuZNY%Mc z_iB4;r0Rz9_Gr~rPLVJL!>(E(xh+!lcZWzff$H|6lCz~5rh0s~NH-HMdw3e_pM3eq zh^C1_wfzevuik%;VYU1TXd8kp)k;BdUD%Zsy7&Hu`3XpK_1pG0w!XgQl?7=)>9CB0 z^^Z!g-7T1_N@V=3vLPD)jo|siu*HP6cGZK$$7PP#$Yy_zX;`g&&a^5F`e?$wbi7># z1Y}}fGnS+bIC#c#sz>9@_nRL$StqTr_u-a>H40o^1WB>fws5 zTthbVP?mpfZ%O!^fsD%Z<=ri9`Q2XOQ{ZF5eJ?8Sp74da<*fr$^FI~o0S#gENb)Os`Zg+XqHwaa#@OlABME3gTtM_H4L@7Z%x{%7L{e#Fr170f>Srv9RBS z-%8(uGW^jBjx_?mGjPRv@Hn4n!9^l62KPOwv?PD>{|Z-b(Rw}NjA!#~dLk(^*Qn`} zZ(7!Bn^W1j1wPx&tGzs2r`#>`07%QR1qH7*Zr{^NXDsSXH1GvgiDp$)aY4@K@D}e3 zT14U8Eg!zR>!H<|)iPfwb$FIW>0`w&oMOL8 z-41`m5CCz=9SE~ZS_|`IqGJANykqeHuxC^yj%qpkdDSHBs_V~Z zAF8^u`<(8Xnc2%1KR5X~Z{Wdt_SmiWFzp?GHhupp%if(VN2fm*Gk6W~K){#x9d5O# zb)D7JnXKA9)*+5|&}WQYzbc6@UcGv?_jT7@mjiIOYRYp>pWB;n+ghp2)DYD(W3GQ1 zlbY+DoC~mg`SLn0m+MKxFpeZ9Ccbm@8Qmfdxe6V}7H=Z-J-Ud7}&tS>xK=!x$$$BQ4!n{QM_g+uN;-Bm3;FFEg z8rMGImESv0W(I|MW!8P6?n@RA%L9M$@$olCsV=#|9IuI$*ixl=4Wq%~29MqG?HwK7 zdi<@AUVWN(fL;ijfiK7ce7S{ee#Amtd>6v8a0K{9oMLKJ*^mIGU6NgO&biKikLn5F z>vP$KmS;d1qSMX*BqSu8r(t1XKMzpNbckaYP!dC*M_$RQ=>XOI(sO@Y0acBx zBzCx%Jtv#BWx-S12u|F?9^W*+dLW2b?x{5F;o1$?R>=1_5BZ4vrcqJnESdYiz$}^7 z)dD`LHBWuFC#^~ItLq;4&Ns_9Cpj&o#wPskyo)qvMzsvD^uY9L00&RD47$GXjCs`- z^VFi=#$Qf|t)hzm70&1IK8}Ao*~1Hn5{bVS7!B%i)_FB1;ZAg@1esXs=ZlsJs^Y>Z zYJ)Oc$3Ew74M5rU=A~z{pYvKqYPQUfTkvEP&;_B(-!*n=Qi4;+td_D>{&mhuSdCxw~MR z=5rw@PCRhxzyU%!ciw*o%vHPY5{C>z_)45II0gFHX39G&o5{!pF_ z;o-*Yk+4rg5$?D>Wz&v;jaPhI z>*=6gfd}?k0=jm!{BiVX1aAG>OTe%w>iOpHZ|-=@`Bop?$)A6VQF+d87pt@&C-3@?Ztr)sQeUI2%_OArgNE*aAAgsB0(?4pLmA zH5c@ia~74Y0)Rse@e_xH0*shJ%b-+hmA0D(uGgMqMH7DEFKY@w%^}>_fQl(yFqt^|sbY znbk6P-PY&k(LD|a#w4b1|Io%ObMqP8w&q(B(`M`0Iqp|uXq&YiSIn3+F?!B{iTX_C zgNC(5mxq6F{|f=e-pf}c)lO;#iA|x&zh#B0epdai^@H)a{Ed+m9@%>M#{u)F725`= zW=@fE_JdWMq>1S@LZPtx)=+IJm5P0r-q%EANR>#N@Z zNNhx*JK#AZz_Kyaa_H7u!_o!}*j1x(;}b5I>yt~FQ-??k!F#VlAe1RiQMuC#{Sbb{ zWEp=JBHC_b?Dp17{r+|i{O~>B$HL3SV<#je3@$jG`WpWJb9;vOeCQnKZ*IU4+!eMe zu_`iRX|&2RK*T;02$3=a>_i;V_pp`nimGOm0 zP##=UiD~l%3oiVx(Qw}xBHt-dPytZwelvf7I83F-Jjn2ehI@~&WLBB3vqi#O;9dHd z0jDC>y|YzC6;)h7mP|G5dy)8BxW%hS;r>GTm22h)bOq^J*$|+^Rl`q<{0%ux|Ao|g z=9$i!O=_~);0`aPI`6Lef#Fn(FYSzG&!^matc4*<0$O=^FC z<*@s$85yTSs&D^g2M82+f-RSS5=E7foJdGWxWzQhJ1@{&48xe+y?gh?7dyTq+L3Bt z6e27y`I|136~VV~09R3*q_EqTZ)@Hyq1)QJ;)y4`NBEnAe(rRdL0@3M zd~fN_v+4UYHICO~yu`{*jnq#|E>?fm)`r}tamz>L5WV!Luufk;WSB-QY#9W{|A43; zF800rT{I4C9G4Q9p15V_j@mW6s=ZR$CWsKq`#{tcTdz2E=aOxg+j99qShrv4zQiD= zkrO^JFh4di&UWFUWmYXLoc`&|nGrO3w%nP64g+Sa$WxI;<&J+#EI~o9 zBwc&$zU-+}hq+Qx`o+RWks|k%c6z@az*}8bFfQOU^U6EDR;zXLQJYN@*R8wLb^7#U z4w2pEo$?A;`GEqSTia=E>n8g*IePt?_sMOsvA106e7c=oz7SfERw#MsIj_^JfYJ|` z>ygW<=okWiYtO<@htflCew_PG_Yot5e_y_Q)KYWl+dX>pD3hc&TclP| znc18?s8E&7Dbf#^Lt)|3Q#ES5>Q}w`7cmkOWMC{&bXOjEH+$eQR1g&X8-i@zbRlc? z%!U%eX5V13FR7{8^P&q&A?#?Hp~Y01TV$ znTXb1sI|8y8!Q_>I9YfyB{+%xxaYS7QMhs|dGl|=vvwkdl)88Oznju<8*_r&u&(gK zPrQAr?>&sCXO1TKOn!flH(&2ap+|kLNvKD!KeeNO(Zt8cKOiD~MC1i^$7WWFhhK7M-7%v0MNC=8C`6E^z;X|t>UXbSF#nD8 z9Xr~DdV1#iw=!&(?UJ$3XUgdaHkijTDKGv8?H}t&V5v zPT1BIHa#Z}Nl<&)SkXBm)w1D1fuM%)ba=TrnImG$h7mx^JQ!ELKt-I0^)T3h4#481BTHT(@el!$iY||x3q8f{iP_1T=1lrOX4Q-u*u=wyhzHB{A6v zJVp-R*{gp?k78v6W{Y$+;Xa^?!r^?ly7Xs3`1W$#%gNsg95YQbywCXY2V*2A*uYo< z2eXwI_u7bfLl`m=xHnp(OB5+S5ou(FF#?+-RV&oMohee=24fUXM5#_KZ(l{Vjyzty zF9nhfmV2m@nXICUD*hjG(UsOz!;)~X@>cOTa>{?{^xFQ%9~9hr%aIdzoOl>eXSD2A z_d`Vq0tLhK^|@D`cj~;GZR4S!L_j_*ufeG|nKBcCCU7`q*0SN5&jP1shHp8|-5|59 zcQfPJ&$(_f_+Hdb#inTx5R^wp6MX1Hf^Sb2%d+3wry#X1C5rz{#$^i zKpBTO3+@qkO@TvhiLtlK+53uHx(rXZNArJVY5PIwDp0I^;R!^QwmU>Z1^Qx|;Fgo> z8y_D(Dkz}()K^bE!k+ij^7(CRS6B})dw}Y-4(Xqxr27GQ!-Q;(XDP?6g4fR!DYLY1 z-@c%|>VjC2I~C^nWbdza^`^rOXNdUNh;hg7s;V7EIYds>IJGmVdYX**ROH2?1gwAJ z%5e8&LpPv}3A3Cc>!b8+(%Mkf6;6?3zykoWB3mO>mjOhpCdY{MG+-NS2o)F+EAn%s z>i6aD@%;iX_@9OelN%m+^sU1bcoaCrDQJ{_W`lvil%fet2Fk32+uTJlrWe)m_&!>7 zN9h784rnV73($EbUNc5eywdv{7CL{l+{)zJeqa*7<8P5X;$xDsyjhG%PYj;ebk*v& z5Z9Bc2#{acs8Pp0xA)&d(K_8E5hE0S1gZo1CbTX8;3F)4L&*iO6v8dTR7*L#q$n>~ z1#=`U!=&A~Xnnm*7>5O3!>vbbIz@gfeGdSvFka!2^7o*aAPP_LNYbZ#RlR>c+r6(5 zS=L)Mq7n(ViWqM7hu11OzJsdO$MS4O-JvuX>?~(Hj26k>2|J;bREnEv>i!IsP?-#0 z6;=E%^Os5sSMk>=JaXhz^8WpY1FHFVr|6GhlU2Q%+-3Rd;7^%AS!jFJ%hz_}uGS zufHtc;Zet}k%l*o+@CN`A>Jn(xB(u2Y<^M`_fLrTavy?#Er_3;>5XI<4yv z|I4PU93PZwb`!!)^eIYkA2S|~HHLZzNcr*RAhxste2OPDz5I0K?bA%P9}r7(y%QUwV#xGrH{=@;Or+QI7Wkr#J;?*?Ukyx=GygXS#=? zf{dTIHF}Hfr%Hu4q+`;f$v#%*dVyCicoYy%9#MEQAA=>B^c+4bYFxJWtUA8gps?kZ z>C?-^dpB|?l&0Z!R`v~3Eu(Va5J#v`D*z4yqz{8_rJUW=Jo0~T_9SREB%!!WWy*AT zz5IJ9QJ|>o9+bA1zXt%9lY5xDQWpz_z$NY2MXK(h)c343QO*jB&Hs}K;%{PJ=7@Hb z4r3YQjB=K!$vAM7?$Qout!P5=fk@Q~4;58ZQAJtKS81&c?v;n{Rl^=TSG%+A6Gnng z0CS9L#5pB+swjVp78JO$SFA`LaKjBHB~NFFc-Y)dP6-*H53A+@^#7*+p54uNKVED* zmhN}|D2_FEh$HVKth3)Bc=8qU^ZR2-eE&E6YxcYHnY=A0F5ghA_MSPGLv;%LGyD^A zS6BMWBqSt^0(w=eR&8Lhvl|x|HxX!>oSfWgz<>c|9NvGQ-}t$sM;(u){z|X8;}aepUb4-ekdW|6(3qgPHAdHXAR;27L|b@<%$VY3c-12AAs_VqR)n1^iOp3YSmI(PMPxZngt75 zTIm0SFW*#nI3cp0FR&al&eBg;3*ekGdsA>ggDhi%=aARjd ze~8kB6(rmuRo{;l$yGQ2VMD`~k?0uih@g;VfHTTLRLn4ApJB84M&{;LsN@%=n&1$* z&0yGj2blGCCHC!3kuQP4uA-IVBBxhSs{M2`zwc@ZW2Z3MCy!Z0swFpJV}Vtz3C;Fi z<-dQqU6&sZT(+{`hHF@FFE_qjHP<0>qd*@Ud|uk-5J#wi5eE-0foGRkDEumhSwW?o z-J5LK2Ep^Km6)$hHauV6VJ^Byk0@+)!LOCwgGMm%Fq{-vQ`tS(g;yxnyj&hjoaa_) zNa$R?&AF)fJ#%vi@~e{8-1y+LB$LehD64;AeO?5qZesofmVV$aL+)2D#LySaB1yU` zs;GkVukcR9t+?vnwJ^!otELDJ5wYA0K~vVOn9!)XAy0dUy986ErsH!T9)io2q7> z^8|pZu8oL@*a;w#FgGYj^Miw}cU*IgH}{WRx}eA2$#-`kt`JDB|MABIJ(@QCcJVjg zJalxxfb~UlnODHb0UliUty8Ai8ccsq-FmEEJ);ryk}{RC?x)Kty6tQQD=JIB{FB(V zI1bqDsORS9J`3RG<@I96jvdcbvPEBpNYye4KL)H-_;R+$yrHT|Ma2|K3MzE&+&KyN zcNTzFs#_f5NF1PZDxK${syo5Ged-X$9fExKMVl`ghD;4v9uX0-bhzrF=bC>$w>8W6 ztPZc?Z&=o@UF+YmW5+W?Rg)az*fd}cUoN;Q`p!0AwfQVABrZpgZDC>SOEi;D}%{3Y`$Yc1({SU{>qgEj2}Oev!#ji zG2N@v`*h4OTj zqUez*jk@H7#($ClI>5!H?C6zZc+o0(0PNOsNo!eENo!S9QHJw5yv1cOFIMN~O<*cu z1M3EnxKXO%voF-Cii>~71BlD>I*~!mH@Y^RJ6F4O>2mz94mw+A_jciGdEu6B42-3b z8u@!%T--w<(xY0nYIl`$b}tJH3yY$Zvl|x|*U8V%?>o;rp17vC=G-vyhL7i5KWDpv z@tBHQ&UrGxTG#sA*Ux;Nki9y40bJIrZCe&zbybMbtCug;Pkn#at=-=E5~YWuBSzp+ zzrL&XE3Yi{y6UO}xtlg+0$7=W@|i^#H*3`UYf_w1Z31z}-2P+8Z0Ux{V%)1PCcpsy z0hVXdZnT;i8Kl*(pGwD$m%I%bsk(o*$P*^~G+Sh{%Uxc$3-)KPNxVFWry$`1l9I!osGNZWB5$w6j&L?aTu6`10k;dvxp8 ztwi=nqx6ZHzs}s#qS@umP8>NxTwL7h@bK_8rTfRn$B!M{VDNQe9$`zDFJIoiTeof- zFLe&Uv}nb3_*dIRHoY=6JNwGGxVR-cMAyh?64Bn)epi1TwfNR+(Sp4qcTwc$!xqFwv<-eYY-!f?(yycJlzdUcKb{QM8HmTYMknitw+%)4WzKJ?y09p>FU zZw@9sfujfxaR}v|-eQ(6UE0JmCue8iWrpNGT4VR|H;>;nQb(3JyNaI5C%=@lt0PN? zq5Kn=h$nyV1r4KG!7h3C%WYU{S?RRQLmUxa<=am4oMw3Ec~>^fKZ1d=d3UqjKcB31 zG8CX%X0=mox3t|R$Rmm_NUM={a!1P@R~5JKwzAvj=N#-GWR}dTYhcv6a7#D7`0g8^ z1J1h`P(>A0TpH(dcuQ9QMB>9QVyvwo8$quI{6K$VMdC+;ysp~4D#YQ^I4H2C^Z|uD zyK1M0r%&J6aK?=N9v&XngoK1~-Me>x^Ag525)u-6nWniTJUsj;fQfmdAf3v!v*On7 zJyCuDMU&&=;<}&5*|jfSy0o!j7!fX)>-(NPd!~wrhZw@5>_w#3OVt~=ftU|{Qy-&M0hXvWf|OB;o} z_0}X2X;;Qza>#P5<7Ix9bV6Qx?MTx2@r9V~j4!2jlLC+Q^eo@pdY3StlmtNN+3iG` zEgdnL=bkPWQ{n;^X5(`tOG-l(IGajfi@l^{hrs z!+Pk*{@y?tozKfXFJF|nb!)T3ufMLy>(?>u0&RKb8g)>IE-(>*CC2ZfHk9+i< z6XKBkBE<|)7O7nya*FdQLDoO^=3@tuvq8-tulRWI-*Mhc#e(H$pwiONm2rQV{Fg=I zT|gRWdte2~7S*uTm9Nc*+{w@1&$Gx(CQN+i`PpMh6=3}SRPv>9v^>w$d$zF4s z7`{RnL~&VC5#UaxX?klqW@P3{5uTC@5t{#4T_;YE6Y32|efqR( zyvPO*)v~G(J$m#wgj>$50&O0PY2&gP%L=vCrbbJb+En$H@bK_Vhd6!{)3tEmSII{Q zmMX%!u+PGAD(cZ^L7$fZ3RR3>Geg^^=6LlzC7FS6uq*K$LF-*XG)Tk~jcL|<71fgq zxME|N4%M}#&!3Qx(BpqhOiYyP)Tu~?`7=cNme0z+7>Z&oAFs?-Bxm-OQ^bf;E%W)9 zplVJMxh|BuS06$vIK8!M)%q?mDRGi(S7M8k4?o<+(Z7GFt>Fv#reRtE48w>ynt!xM z!G_~?6Q6pjQ{2IW*3vq;Sy@?Q)yF3xH#N1v>2c#eoHK6REdzf_g{>HdF*)Tx3VGYM zRXaIm%&1QW4_+`_wM??x`EZEz6>7ACv2T<*cCBHtc=&$(^(bpj&hO#jXRGi1dfTu2 zaffD=&|bA*r^rB;dzJjLp{gY!;Ry)|%>tSROllL;oLwh3O#|9S+;GFqrOPd!i^Qj& z4oXQ(B=GLL)xUp#&-VdzfM$wA9GFA^Xq0{-4pD^I@=kAz$Yln+>Jb{c!-$SvZDLL? z@9es8-Sw|@b^%%k9$8Jz#5?Hn3v@YerR)k6h1|5O%ogc%-u`!7-~R`E@VR>EjG;fN zYE1zDGyY@Wc;XE|AXd?OqdpilzR+6O2f(Ai+kF%I(&RiSPx;2G` zg*KECoXyC-s8YktJezeAr0v~D?p~aydG`Rc^J;g^vaDr(YjW1ascNKJ)yu=f!>5{m zHH?!+gW`X_VPRokgb~Kx%lB?y*=^;hQ`JxTAFq2nJOw2ea??+D_j=zV_J4A*+ke~I8X49? z)~4Q@+8J2o*Vk`eTwL5Og6uI|Mw^h6A!R}xuHJuewZ9r_2{{=O4iHB`y*zBe4J9{c zN8^J*kW~}UTI-lTwvjkix8PdeAPQ^-KMy)=efXo*?!aBCYI0O1tHDl@zQEN$OqA*|aK~iM5E~ADAj#X*6BUSk-bjo`5lF7=bh#55KY6BKDI5H<=PcxgJIOPG2 z(rMr>AMF@4=&3{SgYaPpc$W7+VtQ)D%;JW6$5w{G1|01pxt zhV!9^ZnC;{cozXXqEstvH#yLK%p9n*Og^;+$usWV!dN2!1A zR=a*Fv7*3iaR`oR)&ZjoxD8n6aq!@P@EdN(KO3`qJV%)Ln~OW25qgg+@9a878sL`L zTN$Ogu#!0p#4X3RG)lF?ZktoY2N(D-)FxXj(gMVF&#W@(D z16%{01FyopgGEYEiVsB!EOxc$Mmv9^8zEc^kZ;Rhg}dywFBpe$FFG{_%jAF0)mKr) ze+TDtc#DP63xMu_Zg}+uLj|WscCy7&*qeT_;g!Mp!Qe8ho+1!8EuWv+W zpU$;A26enXw|8#oFovyRVPV558?*bvo}GIpiO7fGj!QHQV|>aBDSj>Xwy-td+x$62 z_1gj8w%i}OzZpPqYVZl%g0Yc;to6?J-q~t6hR)*MOtWv92@|eQVVsFhcJJtnz?n6U7AwWD642PP@z0gUL~yZ5*? zYt}T+t6TSyn0D<}A3t*Bb;`>FH^L}lQHD<66Eo+79RvUXAOJ~3K~&68O1=ize&@b@ z6*R$gk)R=FhM9j|SUl%;F@PGRK9f`-q=*@A6UyW!2*?kHJNhf-FGNo2anK223zmG~pDi(Ey;q(uNs z@XYzBG>EK;fr86GO285a?gJ3KTd9s&9HJG_7JyKWVkCcS8Av6Dito}-f7gZl8Bol} zQ?eR2M}fF9oA3jQ5YNvWA^c0odj>=U^&yZ6&ijDTfx6a3<|*I)0D+B~QvV9He>JM{ zzld{*@Vb?x{5HGoB5Czse6jWD=lJwQ9h&MX@}+?Ng73foHqdfw zdU|>jx7&XmoRpNbQ*gz0E&gKnXZ-7e!Xot(n4g4BnE*;t6{?--$$FW?tCH2q^z`)0 z0JGce?%BS5dnc4RyN$iht|wuqM@UG>;-sXc`3{HUDqt6Y*=&Bbd2I8W+^yWyeYoyr zUHf+31d!}sIcpl|qL%>M-rD%qe0-(4CPIp&%YuJzWT9J;GT>qB>epXSdJW*Mns4P6 z1{ZFPcsF8Oet3SR3U9q4dewQO)$1MW)~?N-k3YV!Rc5B!#K8oCNOumAZi5XP4N$ym z*D0V(D|L6t?tG*aS81)hy-gzOG(B{v_+Z_Th*;N&$Q*ShGtX3~ zw4i_V=t=WQf=eR{tgZ-zD^Tc^9ypNY+b@7bL|8G(c7svD&>tqsb$W{f*pES3)xBE& zMvtay9uNtUE&c705DdEB#Nj2*KmKx=UM|%2Fjc_1+U+!)ag`7J*iM$)d=5+ zYoL4RyR;PO9{R{|)oKmUv17+9U^$72=pyf96vhw&+~b<32`LGJAmge73H^s-X#GZ= zs6)KyB1$u4+teWSS;Tu{&Z)u7ng*k_pcs7B7*3lg=;Nlw9)q6gQo{5hc9}jsS*d@F z0M{5yfFwrX0EWXWKum!1^mUM91GN7mhz{^B!yVvWo*gA)zi8jS^28upbyc@98}LFvMfPVl2^uI2r6fRx)j(4<}FqA zEpRD*Dh;aa*{w!3ssU$rc)bMp>W6>kpMg@~nj`V?=@XtrI}*To!=)UI8-bDK78N&wQ+(-VV(gIAc%X46~WzBNLbE~>Ri4TwXzgRnT8VJUc*hcEt@ z*tZlQd;`Q|Bv}o#V=vR{yyUY>(zh3H@9b1gCx-s{3(wbi{*IdFnioBu`S^dc1@?j# zL8c&Cl(1-F{d)DA96xq^9hE)k%^Ctsvp{M-LaymJHoBbUwe0T-8=cC z$zj8ThJVc@h7SCA;Fpsgo^*fZ%z-lpIl4M3eDs?IH>;bKeR@rCNl9p4ix!i2*Q|LF zh741sYEk(sGLGi2$nYwZuF@9*{|QI zns?t_DX~XWDqR;DgyE!A;%jP39neMk09Rlrr1;yj4a$S1mtyRdy6D3-rM>TC&(lS2 z0IpRGH6P%>tTag*;B|U6rQEtmKM1-Tp0rJPFyXFbmHe3RF?3Cu0k@;>iJS1mRrMOv zct8cGS(A9+u8#)OVP$_;G|kWH$B;Zcj6r#*RQSQoi<`}C+6P~b(NxJy01K9drIaqT zi+#HoPQn?WL{rKh;2zA-MQVEXsZ_>VQ)-zmawpVj1LLosF4HedQ7IdJ?Avsa8!<|K zSCaNx*oPwr65ydX8Eq})g8==X)HHuQk#j@(eHS zzJS*reeI)~9%nb(gz370>i&GYoH`{qPMKIfzzt*TJp1g{l`B?cDzo|DiHV7eFe>of z3w-5Ii}7G2M&j5eO{pIP*k8n$cpTJ}TI6pGD-0`wmOr5Kv*Fi_0PV{Vdph>4_CEj@ z$R02q1=Sza7hr$;f29H>x_p8n#`uM&eTzwmE{~!-k!Tb1AT0>E57bVOjzBP|QhrC0 zXEmx(4FhL$cy*u|0i1$r{AW=DgM;8czin!mQbj4c?%v;oV!)N6RA$=p{v{+VOwP#T$R&o)>>&(On6|A9xBQ zLRgz}arm;V|Mc|qhaydp^_|LT0)kLn1&sYF@2l=V75%iT*j+r@Xka#*E#?L_YtY zrhJq#?VgYBx&AJ7m+y!FSXx?Id`?bI{fADS%Ex4ylmN>!Jf%Ecb8ubl*NxNIwwt7} z8@91++cr z%Hj%BS>-Un-+9^AVK!TIj4nB8=`qweP0n;%s_yRf^_Zk@nm2eoJqVy9$r^Hn`j4eu zGNY~>q=nQ3-`ZAq;XHcIs0_JmiE4N03M=o|?6;}Ihs-tlhni(&#$@ZCAfwbrE2)aU z{CWcb=QXVF9=Xcln=4`Os7w09LuL`;c`+?3)i>Pd*(T=TqR$SGq_=Y;fYpD_2g*Yg zQY4uR^dXcbR9?4pH&jwm3Mo`U_8Y6$>-e`G+yY1K{7%iNzOG7Z<+eh3Cg*y1Y{`1= zg^*N*H8(_6wpWMEJd;q$jwc~6-$ly8at+|b_$D2`6{X6s+F$=2aQ?}N#6yIJW(blJ z_y!ctt9Prb-gi|ntg{8H^M7x@*$-zT5t?aslQ>W2bv<$Ud22x>STKfZKs(s+)!C~n zJy#E{?Mys{{G8ScMGTr^>ey9PQN*e$OB2VF&=D z1*)UV*om`^#q5BV=m8ck7m|AOoORibI5X7l*?$uVl>riicet)lc~C`bSv zYv-K`Jjv`Su^sv=ODQ7tyO_Q}tbNZrX_)^w?84NSy;VJ`jHThBuXN~pI0H_go4@oF*B_YC6bl2S*vwVnO9N6XN|TEN1)*}VoA{d zX81T|0CFe=60S|%%BYD0sJ5!sT5JzV5nW^8e+y@c6i#7C_ER_Na9xP}V4PKI zIA}ila%KIl?@m*;*V1}K0hP*2;W;R#GdNo|clIsqdIPk_bu1VN|KeraB}z&a$PUap z=>`!z+)F^r!j$}QR-(e90Vab<#)f=-GB-gG&W4mgSKgns#`p5sTv?8x7;MIr0X2`^ zHB|TPHiFz~Y0i5RVc&Z_ubIs{Uu*qQ8%%Nn;jmUUMqh}M8$q;rsI*Fv*2VXdxKp;%HHzvA^eUID} zOrkE;agx{sDWNukaRz!zxOm)6wX{~{u_N|fxAqtcN3auBf>NMz1;`8{!=5+ZnNGDv`({m=_)_n@@`1p83U^46GfGVmg?>1G0 z{winc-X2}o3v3LGsul6}dk0B@PeNn+&`tgdN1e2~i}rOPK;T|;NPDtjZCRx!SI6f5 zP*F#7=}uf5M-I;EX&tZGwWah8qr9vAWF2hu=Xv3=i3f@F$X$m;e$p_1N|vcc&B#nutPR)!DzdTkfOmD0>Cs!>xWt zzDv#S?Q=R5pi6xj{s4NL7-6!A2Nr)&H-O@Gp;(N==L*DrsqFgXL?9{%D2jmvD9M&c zDQ5Kd_s=5{aE`B9ZsN(X=nu%Cj}gS4jZbFHSP#e6N@S$(H?02oI5k8cH%Nmm|AkSM z8!4#f_x8g}7YwaL-Nk)|JZBW;6f{V%*7%kbA}J{eMEHw>oTz}2G|<;-+}_@L6A}5% zpA7P^2A544a6Ao@!VnE6+aE%5C=fx(AGkg*F2u#vmP_L(55=RyCo|;VX?NVEwTVOd zwmIDWe2F||bBo1iA7XTC!Z<{1TRwexd{rXsVS|w}ZfmcHOM*cS?4m=^N+=ls5l7s$8V%JYHq&^fw#dfC3{ftmX=3Q#;ss*D^i_ zaN?PLxXGQe-UgjTkRD*~n_5vO(=ESuIGdMuiP?e9W5-rn{@tew5-nms>-d6)G>%Ty zK)k{btZ&&}Zxvdy)(l~d8q3+KcyNdh=lOu9SDk2KMd3AE4V?P<$m-meV$$ThAM3E% zGDxz%RxQ@4$mc80R0<PmBnP<7vw_S>e_Cvw%l z!E2ZHP~A}38TyunhY_SrK~8}!)Ijlu!W%Zs!eKNka#i}klN`3R#qVwHi7Wd*2uf+C zs09lg@AxEZ+D~FD9H=YPP&dTtqYupzTF%?p1@RErWs+E)z2`WRILk$}_idw1>iPJe zQN^=6SdLJ}l)Upj+wKiYqi$+MqgHP`3J&>zB`Bc_$8kU|V|n`+2d`$I9AK<18rG>8y%#AWPJHgV{#uaZWyVQogt9^%YCz&jt-HzYWQ>!kPaSgIGw_PnPBA zj&E~%E}lfYL8cG_;@5$jLMYFqr$J&h`u&`qc3G+B}xmo4$eI*ouuJsMR9~K7|crVupSKp0|h2FTi%s>IZV}h{<=_^M21kuR*1HTWzYgv3;*(;rjJ1d(+I_7`|C^ zDYpmjkMI2;{G|utEe?(41>fVH7fS7YGwlFGsnT^aFhb-|)Az!LzPdsgxLFL0 zHVdglo{iMh)LR+XQ)Gt72y3UCozT}wT&|0ofB?@bcrKnV0GfYq;1Rh!7-Z$kUk6{G zDm{|cjSGK5Ws)Lyyc~Gh;B4*nBpAbA4Hs1}hfJ?~e;RtIsMNU$qYrI3)4QBtvx>b3 zpLK4xpt;zwJjlBrq%d~t`c$AQ8Ay+X1Pg4=KmRJfjghv>JpR5CI2_vXMcr3aMC&B? z9N{w9Kx`J71vrf`VNZVN9-)8fD{z`kgA~pzz+WhaF^{>bEbtjAK!XXbI0W7zOBJ!! zyAR@Xa^AxD)GjRLVseyph`P+n+(Wm?zc^h#&wC$5v>CaupIkT!Y6=p#P~n)ojT%$f z`d87+`a3afl5V+u?M0QJSBirYX-~hmo0|wuA98^|1ytCmzf-sSy}#IOv^Kz^PVaD} zPgLwOMjOv5r;kg^F?bRt)BMqyLB1Z&ZF#Tv*VPz}ww7>`?Y2xr>0I+$F)iicbx(|) z-6>+K<-~g18{ZuHeK>Sc%x`r@u=6{43D!IjgRMytdI6a>Dx&4`cV!Wc`iI**uEXW- zR)HVaF!1`SezOpuFVofULvs6mw_dysIa8F+yT#ZJrbnmbvLJ&dyfh^mP^e2I0>S|Unz6|TF-!-C#wW-Uc@^%ZlR znhU3GI1G}RNwq)MAh&RS3e@dst5@o*bne}ZY45eaoG82&Wp7@>rB*B?tWLK$A5ze( zm0Nh9P3Mlq#1MK$yl;K_scmytD=X81ro$JZ0ov6o)37MSn27qv@&k;dN$8R%N}f`c7cT@k(|9CV4HrMjsGAz}OaF|)c3@OHz?nf$EorPi?M+>q<6 z!UTjAF(dMaP~x%4LyJNo%`}UgQ!zWpP zZGU-wAMJ!fznkwJRRS!vJAW{|lyb~afOIr$FX;&crn70oNkY!E^;C$+pfl2xtslvI zjcJMw{hu%7EY$X=kdooY{}*w7zR#g)ZH`^66w*D2XCpqNYzIfq>ekpzn(dY=H{t2n|?<++mP6u=3i!Vd&13 z?X@vqYl4(Y#>o_lv#gsNmkRhcLC3T-j^zLJnQ zv6ITAu9+PW}PGd`#sk03lWch+(@C5Q?Kj{_m$XJLM~$FueV z%5S?PtrGH)hltPt87*V9hZKuLRkdl1O0dg;Xwded`mQ{>l(@_AzYHy|90Fl&6q4j5T^L<$#o0#A#_vPSbkApb$eZ+yxItmm3dE|v@+ zOK#$it6SY|70PKf980Vmu#s<(HT>szLA!nr?#yaRbWs7Y#w_)*^ie$|!FZglk*Ael ze{x$gT`J$dF5FAU6~Ljo&?w0FJH{w+3WK+#c=;(ShHuqK1@nCT{Pw75 zIozKjvh(aqKMMRIwfkpYU_;Mb-+)y2w*lfseW51MaI|@mH3ccO1#}dLz?w^IdF%Ao z;Cc|IY{B!x3@ z5H0(61yLMe#iB~@+HA|Y)&UmK+@=RoQ`vGTl(g657XySD^r2jMB1!cMbRGs`FihZa ztP zeQ-3^pQ~q~^eBtp=Sd$;YCG&{tvaQ5xWRIuMl6127w(4_8G^UoyN&}f8_B2M4UFjj zaG)zCc>wborB|809{TQWBK2BtkOaE5bw)rCQp~A<@rFL6Pa8upbB(efqjh)+7ulZM zZzOWR2psp4+#0McnSy>B?V#$*mN#Z`UQ!i*EOIqd5!*CBW1bE|OMJ%;Szcnoz-A*% zSZ}r~;@QaCKhD(fZXzv08AnOf>s1uN1XZMYQ2^h6q|6Id)_}qAl4FtbivMA?3?H(p zqm<=o1J^>O5FzDjVW#+OTG;N->W?pUxVywDB80w)wHy9_+QzusM`QX3M66@Zj5O5` z0W1JHq447FU01%cdna>PbF`m6u^ywib~a7C;=fCEP}5=YFGqpR7_*1j!V^MnM19XT zAotpP-bHwPco&^-WCH15hJ&%O)jN)D80{Xjc+`ybXKg$BW3?V`qETB^zBCl=K5R&% zuww}X{#L|XJX4)2%PQGbf5$v(J8%=q`majjNy5I%>=Wue4RVzT7fRE}j1P?M1WzuH zQ1IB|S3}~0ub;ZQP3;h(`%mJ8{<3)iN{WZI?e62j+Ai*fxjol(oz8k-H(X=vTdXew z39>4QT<0R9E`Yp^d`N1C%RO(F7Hdo%8&?vER6PnJrOuFq)RBk1%YYJW8qoF}`mdV5 zf_-!PM5IGzby(J7RJGVy!qBrby5$jkAv?>RXx*K{IFdaI%9beOOQNIXk!ff^Pdf%h ztG1-Dnlha@P79K8%lihHTNC3fiE(7%bMpE9wp?V*lZrCkw8lCDU(k==+^F3G5XL@4 zxQc>6BqTYD8UsW}1U1Ons0|L43Ra+hoAcm@Q06+5Yn&pkC@4`2YA!*mEaDA80!;6* zX$rr4GboDG^!ueoM&h}E@iYNIF?(XqG(GU(p(nDlR>j}g$xIQjM9eJ3P2aa-3Ozp+ zSRGV-3O*4ke;#BK+1<|vYD2@ZySrGZu_H=xgJ@W?>QteKgSL=#DPj2Nn6PSg-{Kda zaK!GX3UL>1FZwj@p8&|3F_TgHim1okD`as`3$tGGf|B{$<27O5n`{6TbmeE#sJG%T zJY5c4Dp{gBviF9;d$h!>k&4r%cL^&;ZH3OL$Z63ph#Y9E<^9EzwiG7c9 zr}w`plDtgx9X2-^)`#V7;%(-4!GfJHj_E`?MYQM2y1-p$x4L?H*T16|`bB#> zqP#3aiC}L>aSMy1NRY9*O=zEE+=Ll#A?X_77|v@Oil)L}FLl%_lUrhJUyRT|0|~aU{*=5n-E_QovSx^BEVc7W_;Ax^;_1wY0y>I2tG!{`YFjs_0fVq=u&~fe z$43{qf=yfN*fl6aSyQzJr^{(Ih2FgvJM;2Ii{p!CG>}Jm;tZ{JGaW-GL~WQkVscT4 z{G#%`8l3hI!>2KX&)4B3=HMyoh5t;vZ|FxJfIXSWuz#MG^J)EybS5 z+8_Pjg6LhZHA}>G8=n{NyYD=0MGhfo zFP|>IK~I70d|OWK0?N`YNZq>`+yN{d@NqMPkivSY8}(0iP=^M~5|651vtonQTq~ul z&Gd2h&(E{4J@Hj5GYRD3?Qn7xOZMIaytK%_e%-b*XaQCE{ZiKZ7Homd=H5DdElI11 z4z>z+Kiy|IY%^C#GiImoe=2t&Q-A>EANAPHw_^M)+5ie8h<2GoU@mN-9cb@xF|` zKb&qa{?$ViOEkSH&~5_|F)f z0L9ePCIP}Oqi=$f-yPpXywwX&dyQj=tc$mD*7zT=ml#?vq`Ej`ygz^zQ0G*?re#P~ zU&X)jaqp?a)IHU`2Bgix6Cw|-W&L;ol%0CR?M;CSk;c+w*h1 zAOdT^O8#Js)(&S21(+0f73}vgEv>3Zd{Utv_f68K6`LW0HX;az2bD7i*7k&v7n3&23DNgyA^2%|lvh<}+|_G7hfk7TtDdpu zHm&S3lYngjT}tNzmG!IH>5S(R12h8vh;Za2((3^{zWP$>MjH?RA?lw#;@?@>L<}-t zf~R;n(tWWDx}NDeljlGEbh3~taQcwe>I&9~EEr(G)rv+)sBP(9%rAvO4mGZuFl+1I zabTzV#qUZmpP1JnN|UHGV*WhcN`xE=_XPEX&uvt9S-kXzkcu88^2N6pPsMkr=0HqSSv6Z{v%jEfh8(Q* zp(4KUBstm}fjejFU^m+zjx#ooA?9!E>bt%rImg(ZW@vI@OH42z5+JN>v$N0fwE;M_ zsgb>$BQHi{ml*pZi;JIPLy(xPI2_4aR#se9OiXZLxSmN6WJ@wtt~02z)e9QBr$Heb zKMuKtMq%)-Yjw6Wof)Iqp_W*=rtqtnf|7O6_8Ku7L&Dv zM=WyxXY3YQ*7Ffv#p%IOdT^b_)$G_6LWTj|{q*8wtB78ger`I;s;lbc<{G!yrZxLV z@$c994dY6JIwBzw&NH@cXI&FYn5k#B7_oBT)gw}31&3IGK24qlPL~op2;wHOFhMZ5 zH@)4Rzgk0?ZqBT3V$dwT0+3JV#1yU5k3PA!RtR&tDIl3$FzoYe@cK1dg*$yW#60~;X7^c2V2&-*V8Inv-&*pO> z&$nGwEC$_Uoau4Z$1^kMp}FXal2%jsjWe*rU)W)?e7(SVRHJ$XaSf?@sG_9Hsd~W7 zl2`DwaJ9g6pa>m6`m^WY++b7uEi_Lwzyo^wQAk+}W&%2BFS5lQV^q;IN~NnQ96dN(k}jET{MRQ$x&C;zg1|v7ki#TmR=h}#~PG=IfpC< zKehG_Zi2pR!n_E-u0nRI)|B3~jkWLY`kTK)@i*C~-c!AQHuCds?l`QYt#Gk&-Zu(d z_LJ;<@CpV1#oBgoj@*RqD$O~Xby^U{+82Rbf*p4e5!pVw#`<(vB^ zpZ!lwgtxr9RtZ_e%r(?VbDLk;8-X=0gb|8SXUKwW27LLBPgKnoQnL5lQX~d4Np2_P zIM!0CvDAGI>vmwsUhI0#Ak09`x%T=po(d9)z;*%fksw7PNa=EIEeIYos#F|Nzm!ml zD!U*W0(sfv#Wnvv=-jz7|Bltl@TD?NnArwbCtcxUC;iwDQ{E-+)IT^Y8`eU~_r%;j z=En@nF*!&ZLrrsk#4>DH6KVXf-y?DX+y0+{b>y#ER-J~VX|lO)tx<7YSow$3t-!cB zRA>ORY<4z_pW|F%K>KQTDC=!Yv~?IOae+DO5-~J#->N{#E`@L9!W~6$&_kaPL}bF` z8mOT%chxlW`~9yt-yy+bq@ zj4csnjdgnQpH<1GYkdKbbWLy)|18dO00my3M2E^zR-kQR&d{Y-B}{`X@muCtN;#@9 z?%om&ZZb&W#UlJeFfORyWPkP$${1@KcW$Se7DNjN`0l3rSl(aDCNp_qWrV(?`255Q zJb<<5`?5_k;fo@C)&*h1x#khtcTMN8i}#p%sfJVThvFe@dGcOgUvEMBotBoi4=9q< z{!0ICC&D4Jzd@<%yyZu6iW1qTNxVdarfY3*Wmp@<;lP@UW2Q57-ql<4_AnddX}35L zv&V#=MzfHz{#r*D4`DRQzs;z0_;t|SoYiG!2=ekIZ!0F#El;MBe(`bDh`^Sh?P+2F zlEE>FCg4|Ih-mH>k-le96MJqQGtjkfJVbKpkaH&#=%J$Bc7P>vcfY*r^wa3tTWp+# z#q@jI!D<5&k^@iF*JN@l%x=A8Coe@(Z=`ls$$)cZmah=GLc$Q%&3fCX+>s zM%Sjklnj%kwR^4DQ&nc#0rUHA*iCO-af1q@D*liyE*JpHjIL{}eXZ=8<_D?9^M?7p zK1Us>(IpvLA>2g6on=A81*D@r$)lriXMQo@GMU=Xb72OhuV6PLG^aR$-{#{=B1i%^ zEuUNz!rL@kNbunt1(i~Z_YJw3--${cH(XPx|CG24gk$-FxOD7lk08O>*;%dBiGvoY z5~ZR!Pv`%HE)b9H4@cJG5yIgF;Oqj+9v>TQ7av$poEIC-zQzE6|N1eqN@EMQ^Sr|= zCWDX0taR2BqNKWd(D0{9*IRfV>6L%dj=pT6b;POxM)uWiwNDrYg(E4k$Qd;y@`^8n zqCwTNw2biuetT_ieowP`tTYVHfh)$>tBj}ZLKyO*)RLHuGlbXPO%vAs#IH=LQCKxI zmeGp_(axL*tm!wPkesUI!KYmfoAT|>R{^KvCnft{d~Cc{zEuV2Mpn0yBG`BI%7&hm zwUb_a%Mq?7SW>UMPHbm z`acFMDbrK(AeO9KA^ci9-958N%ApZei*Dqe*!LF^WjbDe-<-o`gtBc4!NBWE2LsCC z7h}TdFZf!y(e`}&DzViIsac--m-mFb!Q5@CBDloafo&lTKKxE>F>#^;~P zR=+{ve0_jsW&fYM=pSl`OC8>VMA_4(<+Jk|B(H`sbL+4k6HOI0qchL+4(Aa3kUFjb zro@{rg^XU`suAmTuRg%PW|l)&T5u!A=&1XD`Hov_D~Cw5DP+tH--o9sx(#CR6k@G! zypzFG=;Z$bg5SpbN3^=Nd&&u^-egdhJ}ie`LVp51$SesYK8l(3YEf2C+ct@d@FIjA z0sFX$K?XVbhg&V=ut$XnvcEI_$g3fNgyl3WDo_x4lMzf=h$ST0nE3ekD(ywhOsXpM zx1nK(HnV>vt((>+#?tlWP7N;|m;YcplNpKl`B{F9{cAH{_rXE z%-{)lImMWGJ9U4&iakWx63#c*XBBKVoeQrfgQdt6$~9Om!T$~m@2;7=W)5-Q5ygl! z5l5Z+)Pr3LhP$45SLGWM6=aWq59jlE5?R7lF2a#8ksVW`>)IR3iO348A>{uu1?whh zkAGp`%Wd%|&tqT5jzBa$qC9oVw6fw}rGf!K4$aRTI(~;UZs~-W6v?WA(7)H4eLaFL z6MXuaXCjaTNx(l@d3;KfZ4;x2noD%WB&+(@$7Z>@XSLJ!RS8VCBu+t%+t>~yd6D@u zlCbO6CMYK*MK_yJx-If7tZaoJK*V45u1uF)^Uwf{X^z%-xp=*14Rr2uo#AyEPQd_n z3!+^p&7(sq5N8fXJTLU;#uZ5nI*I?4eViE1kA&9cvXpy+RYg&s0_#S?D`91p|TN_9G( zw>H#qmW-@Derr%81`YMK;bRL%w&e8V!WlG4`Z#{7kg~QG0WnVX@?jLX0p( zMu^Oyy_$BL$xq&9oywIu_o)x}B;GPHCzl)X!&xy(Ux(~p_rEzRSz9QqC4iBWg{Gp~ z^FsB6lT?aU8POSRFpMF3c6LY9CUFuahm4BZw9x!Cu=0WYL~SLdn}M4Ap_f+o)-`s* zg=&YF=;KF_sLlqgW=yoW7R7a7H_0K5lw>q0wMo-)_hGL3+lEY(tabRKnfWb-s)N7l z%pYcQt0ikGUYKgLi8O_w3t)pxsK$M5PCJkPTu?+ruqdWR0& zm4EXfAiz$mG)P*uL!gQLdonvO?-GuT1|8g*JTr7PUl!gf4s6#}J|l&n<;_FJpLA~aIbpuDbijfTK|5mBPJ9S@{V87%`! zWT`cqR^u5CF+utY<#+=6jgijr@lqY{=G6ugknL8ELY!4>=dkl46Z;cLhn7~LjHBm{ z*nJl#t-UdI5Hte#+^8{%l?)P;8o7oKYgm!(B@1SI&wY8?-=YeF%M?Mtq~mF#7ei`A ziW%za9E?1A6?a6;5O-GG;Of;4@>mLbe7kDUvzzgT(hn0g0A03(0L<&|$g#bs<4}Xt zP;1K)+_a8Pn7@&$Ps@7=+#PvXu|S3WR|q7Jl(Mkz<2=C8p{iN-(j1CK?kr8c$`>1JD*cju> zHBvMqBx8g0zza3COcZmx%V>sfXdes1*RK}aZTBY~?w>Wrf5YpY2?kUg(wQ@(bL~ok zW3e_g8vX)YT~Ly-mOpv}Y0&@Si@bZCEi=nn;vDBSjry>#@n2Llw=9M%O1(A9+kd(k zJYsF25c?c#2Ob9%3CfC^Cxd|03mJ`k)A7|o`rjs-xHa>Cr|o}QlSL=oJJJSCp! zyj+*z@KcIZ;<%A^}WEu*v>zW0URZ6LmaYX;R^&@%-^5f zfs{uwW3fs4-yFX^b4WnngNkSsE{8pF^Y~UySYAtR@;k1tgG2tAnEJNzemR}_Qba(T z+kOF)rc?jE3ny8>Z=Q#SVdgz6Vog1=zK0|!>ss#=E6`oWBOWjCV+r`_AoT2AoM@F4@0n+K3 z8M-J5b4JIm-?*R5n$|jUfX|Nry0P~KiM)_gm&gW(ChKe$^JVEC_GR~M`|^2HCw^-u zRXrO;1>Wv9#3fG^G8vz)&prhqeGb0)3I~|F%3s%fy$G)OQpfZ@oJplJksLga<^x>GqlOuf=$^4EG zuvfr;td1+y$1AXZ{vE_Dm3W4ZRc$(3O)b#KWoUrJf$2O$F(j9&pnX$qkT1auFnu^j zLGd}X4>EpH+57jw(x$;|LFm50D{|Hbf4y^+w~YArnedVBmp8!QIA@f+S1NZEeZ&i2 z^}G3?2+I2BN+U>opH3wq;OX+2Pq&Quw!8M&m3cY$m+|MY5e(&(~H638L4C~2#@BPHD)EOFl^i#M62hnlA-wO(wOeZo{dOsGmQF`x2z(C5Y z-La*v3?85Ut7{Bo%nCl;TM;Nmfi9yBto)w{!lB?^6LTDFXCn{a_%&CJR7TeJEnm3> zCga2*IhV6!q{JXb&bu}?at1{?YFFJkx!YSM_;b>`DS&@Y{5})Iab-SJ>Nq74*Kf98 z5>yH!=DVjUbxFSNNaDc3c!8C16gWXFGYHAcf2M+;QAa|MXqT3b7Dl*0yID$Q7WZzV z4a5H>JFRI7y{^Hs+MXW{43tR8w)wz1c4DDX`%y7Wx5_mzy@P~{HZPiHT&`hz@8I=* zj>Md2o(^<;Z-?uF%WkX9=u?$+?EkGO|5&f@dp}`B8I0u$n$rjM?cnOvo^&tJh{ar3 zgNQC@GCN+rSIl3gyN72ds0V$hei{fd|MTOhpaf}b%>8e!ec5OKy`4O| zwHva#1NTk$RMQQ>`-5MY*?nn{q%(vDTo0zzj_s_%05)?1fA`{zMn z)IUL8W#^Ln6|Jex&HX~g3b7`_jr`D)>)koXtVQ_??JJocD`m(8?Sltz2gBk0rGyg6 zFijoNbT#&Fo8zD{%aTM}$IV@z%Rfhr_d~>=2iy^znj*&mL^CPl?~ZNbx#|y__%n~W zZP*>vM~wC)lN8<*RA2ARRF!an@ar>G375O0JGC z-*x{wgB87i^sd{njI-l^C=a}mFAav5_fN>9-iSDLO%Ovm9U8?CA9J$b{kCm2;MSXM zN2h5ozX~rz?OtrJ4qRN7F&g-iXS6R&UPXV*Tj~Dgt%hPgC4Xlx=)9`~+dLyA)Pui*^ZzX!G&gv-9cL;nXFCN4`@&~TL?L4ZjmNy08NPH zafr#cIWx7wVXWZJI4F;>0=s1-BjvX!%80m~0Q_QU#LM#52)HeaXb-+duChYR>dhfa z@EWWRJo&A}-!xjya|#3|7mML2_{19S$}6(fafa6()s5J~`%gdv)MEAQ;eR-NTA0Jn8W`e$Tm z*H*VIl#xgfl}o`$hEBhI0k2-MxvbJ@aS~>8XLGjlJK=4*`=iALD!uYJ3WBo7O=Wc& zUEj!`Lz5)O*g-@khW;R1qp4v$*a`8OZw$a0A) zU6Ot2X1HV_`47%=VEDpNVv1<~a;*~8_5kJPE~+<0Pz6ix`p2yCrgw^euGhcpy~V{_ z023z4+!D);esK0l<_+zH4hh-y5Po#rnIszA-MPTVH2N?90I`B9^+*aSw9mmMf7fiU zXt$36Qt?{AY0pE9!~rjkg_O7G8G1-b`O_F5heXt@=oE|{2-|6+A)2ejm9Q_+1Db^J z9(aH0iz#v%)fYZ>{r+TFspR7)Di%w0GmGtKN$4YI;K8 zY;Shc$a%MMKZ{HvkPdG>ob}dG570s#&?j;?mfN>Qc5B}WUAGWHR|%LsFwEq7E+^SA6mOC(N{ei(l!RNq>E){RwOEPweXGiq7q8g^rfEKoU%| zhG>h7rh6fqms-B2?n&y2t}$o2pp=XYiBq2$l5KAEMK$UVu-PzVoK@ zF?%>{WR-8WQkSjvA8~Rv;_39{`b*y;5k7}iKL}n8f_3~!aN_jfdCBB8x6ye!2=Y0o zEpO|Xlfpg>9Xf}5r_J~1jfSF+}GC@|GoMbCD5E>T?+0T42F=w4@m*bP&7pb>dx9@si-S(WBR!&Z^IDYA2!aY zTyD2;H|FPAMNAtD!2ovB?)3eaE6VDS?ixg7}}`x9=fDMxhe+$J87LrnpaNO=c`%@5G`lj zl49r7;V)ZyT@-ZGC68opn{WVjbo@dn%J3C!n}F9AW*6EU#^W0)fA_Zr_+Q$4j0|Nt z^=lSMD*W?R4|WY}Ndkd}ItV04a%#0)%0JGADB zOWP{xel=U*U%wY)oOyge#e^o$yQ8QO973$*gqmH^_I~I zATASrI*Pi}ja(vf?-1UK&f@5nZrbWHH=XOe1#ZH#-Tf||^9S=o8rZ%4f(n(0EBHt5 za!I8ett}P4zQgx>$HynORP<$Eg6ubYKAbw>FsJ?c=hZ@Sa@R!P$7O0yC6($7QZC~8 zoOst=lMZ7*-MY{K7r=_e4nb4;LGa`by-V-Ui4_S%JWnfcKACMF+zhHReU0_znyhS? z*XA($Q$(#1PK-Vo2Ac-YcmCOlqwnvv2-OAI5-h|}tm)PHVne-QUK=ZYOx*<)y^{y@ zo_^|^h&qN3|9h-9sK!7rqbS{`=b2&ZxkVg~+3vt7k1^2lO#ZRW@|LzZ?-N#z=s{o7 zFD?phe~%7SDx~l;Cn*{{S(W@%16!GunnZ%s&_~5gh?19hZ)cdc=S(j|*_i=pAe@p- zZIFY^yE02#;^{ghnG7ku+Yojv+2{j;Rf7!_C4V~Oy#-oBU%WfFYrGrD#vwj}4Gc!9 zG6#Dd4R8uCj#wkX0G#sqp$PAp5>e;MsIMJ)*0_UD%PGr0xEPv$tI<~I&Bz*};zvGI{$7jS5WVqxrsDm3Yx*qC4>HaiH`Z9- z_1NVFyO+L5*fXJgFdw=y81D%wlNS|Z4>0D1u=m^(sS56B^u3+ny05LPJ2jU{n`dhO zPJ%FRRa+)`*={feYn)y9IcH4r<~sjWLm}+s+_RCQJ+X*2_cpTmadg z5FDY*7vg=WobM7`+e=O0Nk|4ha4z7-{+j0cl2nOrVs!8x>Q3L;>VzpPlC9R&v264s zC9F_l(TT1G(PMkMp1*w>YHYg(v1-CqDZBOvHF+l}%QM;X1T>kACfA`qIV^&~lqfrc zvv<0jXGolE+|Ixd#-0MW&3uU8AeRX}6*6Cl{8mVs>Qs;}r88ExNtMk;DwNrZ7(1Dt zdNmU~TG8SH0|Oxt(|^Qr9XSXTxr*|ZpT({inud}z>K%A_fggtr^beB`MEi(h=E#3pX7UG?%C8D>MlEo)eaBAD zR~yEl8{J%Lo*GebS#7zGiPw;qeizpy%MuJW<^)3gP7=(`D`t=qir93?m^?Hy3U zBg`7wU^Fi<+j<&D9DLUD-p~K+`;AgV{f23p)C~SD_78b2{f~y;w7s71Ps@m@jvYvA zMLy!ypkQxYft%yemlb(|i z+`wx|M~%!>FP(q8xTWE(Z$(OI@!ExTeqo&mWlogr9vD8R3>iAWvt>eDo@xgP=#>mO z7$SG4KEBOEEQ=($gOBm2{MdFGU9|Z$y&e(7Hk}nzEfN6Kjmj}Z7h|wl*?&|)5(T%+ zu3~Y+2Vil7A=c5dl<#@NgR3gimF#6pi7xgs{r-#8Yg1edQ;sP))@$RjjFN`*RBMu? zF>R4U?0_X9@w;SJWfv#>uPRKtxn!W_lGor%Gr5cZMMCNpTh+;Pom6K~wXhJC}W-tu~M*r!!LwE5HJNa(=4rOlYWIh#2==JoW(Rt0U<7&Pa z+Ynt%XG|01ENKM{eq=KwYjfWF7GKuJzlLes33*`W95)t{Q8_ckQ@U2y6!#zK8mvqc z@tQzdWS-ebvxWAhzYXBL%$BN_;d}69`3a~7D`5h#ZKFeJ#g}1gLY&E@!s z+wtV|^VDDR1&)UHN$x0Q_`ZLRIOZ=#;r|Z{LG->quI;7kVvel4^uUBBzg~M$Nf5l)IV4&&| zm&i>53j~(`{-9SIvd>=IW3wFFgY`Tfo7tTEo`O2*XWY}bQW4O)I^mp})+}{F2Y_4+ z`WDy|RNJ3QlB7DL&L72rS_e`9BC;YfTmxNBL2?wmf8^yyUaqk~BL zlXE!mABWNy@s*ya-*=BvwZxcDI!-)bUk`;A@I0;BwcLQ$sk-n_I_pX*`G3Qi)E6!V zR$+Dt3uZ^LZyBrP%22>^1p_1v4*e~D%>GIwi(DX3s?krtC{ITed_k{EZbo=ZTw*Ob z7F38MfA$G3Jy4s?MEE++Ke#K0)+?Rj+SnUYYu9Xe@RCkx9o~4vr(>WT7MGl^d$q6^ zc&jMDwqf%Cr5wO~PHS%hNQyiLbikm7Z@30#mpt2>ZQlT7VKzQCe&MMXZhPXzCoV3U z=kXUG-`>Q!<5#QKS}e5_(KRBzcI}IrorpR?f8V8j=k0Or*&g8197Om?6H6L3WJN~o z=FO$dDnrKk2e9qdjQsbvLYU`dTzRdtHo<%Ve~BCi-Q%c;7a ze*h$jL@0#YabKtCBLATG{mYVUp0$IQ_g4*cZ9qhl;gT%)d1L^cUI3R!D=Tap;MXu# zV!TBxY>yA10}PNNa$X3e_fahX2nq`N=7htMwRg;z@Do4&7?(17^eqN_RbCl~OC&Z7 zj`mRvWYoY+kp>pXEU!~NMWmVmHOdS6e`bo*1Q<|`POwWPTA+o%`vujieRCixD%J3M zitCpTUi<=x|Ck;a8^(5DdNhX^|z=WWZX(khly`xtfKf45XQ z+Pu^j<&-nb5UFZCtuj%J6*iq))0*)CSbl;|GgVLj;8?I+(c+gDx3&1CMahk?0TASd z8b@o;Ikj`~fTDGQ|4qPa;TsHJUyOy)tEI|r@D=SB*YJ8*fldWUuPE3BaEY{~tkFbf zdEXnARPs-x!hqMOq1#F%tvWCce=~#IkYcHzQW(H`Q$EGA863~4wyBg#`3(q+3DC4w z=o0A^GG$7*@5`+W$v|cm#{b&5xB-pee8TJO=kYoAVJ~exa{Ikl4aKlTVJSSoZBxvw zq=i{1{{jqz9EzS`Onrn?z#+^U-sNbCG6OHQv5+LmGDHXJxuz4xZ)fbz8vz^zjzfxmC`df8>Qv;Z&szT2@}8o3zU=npvbB$| z9e{`HedP|MrlwXubm-9cLC1q?%&I?YP^V6vK0f2c)%TktEiWBBgS%U;FDt*KFwm*$ zDtaxmq$ytJq9i7!B<^_Qf4(IUBj2xYM_kEZ*Dcc8Zg$N_xJ7PBR6Sh=q^cH?7Yz7C;31btf>TRp z*Jp{uSk2O-CQ9N{LA-Ze0R;=+llQjt(|$`od2U)i#)PoNj;tqfq4R%Gew&F2c2$_I|Pz*0N;2iA8B7u_r3x46p>33RDbjv zZ!54wAjBoo#i^QFe{wuoxUKxa36k_bKh_jifBFK}B9dRnwg-;`}M+Mpei zA9(o(Or@UF9|P$DWsB&oaD@b@J@p1CbEpW_`0s)5{oi-0a{*<`zy6YFg1Vt}Cu;GS z`Tgnid;3$6yHq>@OpxU~OT8ahU*T79{@qf(OS5nE}pR>}}RgF7JiGq5O zO+j$Dg#44&e+t$Us_~UV*MA-s;P&uSr>a4*mSY*Bk3;LVr(X*cDmcI6j!ywEhV=?y zE?&z`3|w|?GP_y}(gahs31F=6|3~)?+IODosA~vN6r{BgWJH1{6xre)6qnqLh3PU+ zdbJPGxGf?d*c#X_xiITO&!~`5wG+A|m`+v1c8&daf2@CbM3L}rhvr+FKh}IpsU%Xj z5mQFoQ4m`2>72!Lrq*@T-4h=lzYJ)cnwlCKA0JiY3+r@QzbY#HQO6w|oo>kaGH<(C~h zYIFKWe`Xh86X5PQSvBOHG3EPEQ~Ik^uw|H-b7tFEvd%n)qJc+*kWP z?-JKX1r)Rab{-uwe6#q(=*42`gIVWSj4yN9&No*V|7+pPOcvR~c65QmY3iXCBfdI+ zh32uQPOQIHXi*nqO^11`r2ws4x6Wz(_1B-fe?`^q2pF-1c1KaV3>he^N2$WG!5T>IDUG!C$g|?f(M;i`DN-O`y=J zdjCMTyREZCUFg{|P|_@zTBQP-YxY)I6qu^$%0T->4~!mv^yQ%*;p=LJPfBb%d`3k8g%|@nDSYV<7&45fxfDU&nyzYeye-#R>re?AK z{L{pAg3Gr01#$p+@SejAlxu5%Yp@d*#lmcXiwx6gtrQ8WKe|Px0`W@OCd47Chf+kI zQ|PCsA0~_Gr+VBa@}$5Zg;)Jc4*IBiQ$!wCctBxh1v+ z^&(J5VYj`kF-I}0ILe9r<~|H#v?q>{fo6&X*&VroCmSB)$4e?t&p`#zxKz;cgj zC;tpWCFek*>I|SlgNJj+IslA0!1o|mg7Lj-e6ne*#7}|tNJ~o_b^V0v12&vg#OD0X zM>>Uds>SxA7YP9>Ar+mman5 z+=<07AS$Y)bRLo-e_avA5ybV{SC0moOWeKVV8`Wq>TuOVFYqftyp4qmOKj3MF{Mll z?gieRHguW`UzRSP{JR}E7B<_)zHQAs>oaD~jL-S{>(?Cr`q$myYfx*()z6Nneo-02 zXPL11S~})s?NbQZwQF<3_86|)$j0IiY>_i)662Sym4P`@>LbgpGgH8wZ7&3a&>xr zB^qUn6H1b(e|lF%;|Z!`FpVF|JI9v^VEj>H|LMT=3XcCVP;NO-qUuu2evI<8{sc3;9M~6=x-Wu_hekrf3vs8oVod^?sV{4KJCht%J*MR@m z5WYiwrV`?3vSMW~UOw=>@+CVwF0kDU`ft_vaUy9qe?rZG4xCQaGNm+?R8mQ4D$4M_ zf@#Zn5dktE0hj<13;MChH%(OA1#$$c2_lPI5dsEm$eYnV<%F}tN)3Wptg1_( zxLNFM*|}uNk|I@w}kb_d;ZOR*t>xTG7xvpBe(e7cKU`jm7t zI!*lpNar6Y$kVI9y$K<=qAyfMvoi)Y}KPx<6J(2f3NBfRlmFHwN$uDR)Dx_vlK)>7Z znJ}CstKH(BuLlK%*@Xo{7lUO~4Ze zmaj+#4m5zxt)SMP@H5W!UqDC9Jd-2q9hduvr%s(d zUa?{YXA$&nM2OQweMemKS;F3g9`3sC;e+=NerekAY4^nC$F1MAWK)0&-?PWE*n+;H zQ7Jd146%MR&5PCQnYm=kxlyt;8${;uV5^W*9r zEIb$t;K(z?StlsGN@k|D?!E(bE~D6MQtj@$pIotGMY)>Mjnf+AJ>j)^4tTbvElJyW zU9HGE%?3HL8s8g!^n=XrYOl|Vl_}XHs)i(0*?HCNx0kAS{OYT(f2O4uq(7YXpHHhF zefi}F4d`1^%F8EBR<331mMgamj_wz|A#X_DA%r*l$E{ZDhvskG7<1^MhZ1KL z6nrpPO+^cU&Ye52O-oBlUblL6mm@E{P-S+vZuRa^-RnQkKOKc3VrJVvohfqd0M)er z^*Q~GvBK#R8^8B|e;>0)UP#RYu(lK2_LdbqW5DwRSUR$=Q8=IWgC@Vgj8RP>C$KEv zf0qjpUlXYMHU1gYDjqAY;XQi<9O`$S)lVX_l1l#RR21+w@clmsKM9(1pa)obh@@3M zWmZ6e9Sgbq2e=mD}Kyf2mM#uWFYc&DUx7@yKKM z&~(F_%)0+)Y~G!CtVe*ex+iB9^cL_o4i<=8vec>L#U&)}OYGsU>%Mbx#^i(v853$n zNW^bykSs+%NEnqcB)q?;qZq*kgk%8(=LhfK6uv2@YIxNej~#k!`(FFrsu|TXV*6$E zOSgOM1wyVxe+pl2B3fWQT&wl*0!OnTEVmxT_`+B6Ab`yPI5wrgc5Ld-ut0|wn{2l? zwNH+DGiKAV$Bwlx*k3Si<%=x*Dl%vFI~o0}WNB8f$E!Uamost3W!BqopSg7Y{Hn+L z^m!#498T5D4jnq|07eiOhwJ5+@81P;=7}VDAgr$jf37*6Ct^JT)$O?Y3)1fJdxzWh zn%(QPERktaQOl`%utSFq$AMAA$Kx6~vWE>mnIUq$jaP~-u;Sw41_8|M)~$^J3ucS- z@`Czz{+A?4q|P8XP=Q8l&0tf(AfWQa9Fgj$;DoOxxePw7)|_&h;+QQGnGK%68nG}> zB>WVJf3GGw(0Is62q_u-mn2ohb^xM_?txSFCznVUfu)8S^j?<_n}?{D8;B%{)ET5& zZW-5^#$6({E3%WGE>dd<94_x3Oc$xu6f!E%LavqvC(FABE|F+VOY1W}2%ig9$Wqwi z|GvWSlB`q^4%hWEeB2t|ex?!W=VyY}2FrBSfA}nUr1}sF9Y=^dnt@@f{dL&%RW@|&{6mJD>p@G0uh5)YR}}Nz)H} ze{$ss+@XuY4K*feqCQ;VS-9P+H8HV_4(}Y9Q$3%2+k@2qDhxh+jy;nA9;E)N2y_;` zl8qBb>OC!erk#@e=O1~C!|nTO-?PpneDcZ4o5RD6`#qk*o?W|kJz+}Ivuw>eWjpxDeH{vSrB{#W)%&N+LBsY=I(6*gH>%V+=T(Qo zorPgXo;0$7f8nXnwvWq{FZW92fQ|RnC#KeDZ+8?JI9mZ=o&Z(pw&PTyaOKn$f~NKUB^6 z@}&t{m=|M<8MeOmo3{?IRy}RJk-uk8(BYv&KQQw1TL6O+RZF?5xkP#hd;ml$Jnq!f zGDuKdtNH~HrSN(n^$)j55-?EVe*>p#89BTZk!Ka209K?!SAQK|m&izgR|I}BpsjyE zUUI6A3NStgxLx5Lr(-304&iRrFJ* zItTiXm4~?9Q-P_Pn7Cd9e+#7gGLrH6CCw#@x0khc2oX2mcT#lTHXPYa$@_i>!L902 zuq6{~jpNuFG$U`d74;m#-tZ{4rixfvlACG4^6~&3Q0D&pH%O6`C)6zYJ6l#KYGS)H zZ6t1YD$q+46aNcRWilRoCPeU(&-%EMO8z#SO$~1$6l({bBN7F&e@C_B*CJ^Zb~^t< zx&Oi^M~64b%j4v)js^2?o?l_GTOLW0bd3eeM}lO($o`06jA0++>lo2f*mkq>1I!yYB`qyY|{tYmFKrM~>)m zk)^#=yJYAv&5>!+f6JHYMV&fcQsm(6du~s#i~a267|xRFxf)X7MNe9#3P7lYu6s1* z(LryYe7pYgoaGaHR_WR6yvXx*6@2?`=)o~#+?tKN;Tdkm@+iH!U`tX{} zf=t6=vq<$Pt3Mag-nsGkls68mk=qEs;>di_(cDogJMKNyEWBXLQd2|LesYYXxuaAD zQcq@uYGGb=09*Cd)vZUb-@aA$SF&NW(QUR||e~0&W-+1q7BBB0SRyF6f0-YN`U0{#Mk|+qRu6pIKVm>qnDO?P+#57MR z#%Hh+0>lCx@vHYVOlQYP=ox8HH`#*aS4z>oj?ys#HsfVV8J%7m^iZe;U@?zXD&V!7 za$>QcaT`B#+2}|zdmV=k(MVM#`DS6@QwmdkoA(Dwe+9hJ(8@5~0gJA2sesBCPSH6WsnA z!dl+Wv8O&K>gjf5kNuj^d;UejS0gH^q>|sx*(@^~ zs&SRgXMX}BViaZA@2!4sv4*HiWE6fHl>!Bcf2si=JS}GGD5xl4=`{r+z&+co^IBE^)mZOzag? z*mcYCU&BQ6Yi$_y=mpJ!gVMd-yXG#dn(M8Oa12Gu1igvs0-r>|ABgYzY<8*^J1mJy ze_A5Eh?QRVMBj5%j~%<~(2Fnj*ZlkofQRqczkeb?XSW+5XX~|{qYu<#QNKd+9Qnnj z8+_G)&p*1cjCn#a`>XCJ`;zQE9hY<*vAWIb>HC|7tta@}1p|K>SgaVaa^=bzHOJIk zm>b{y@q?pRP5?5pmb~BZmc?^QS=+w%f50_WFkQSX1#7-=_E`OSDXAitT+>uwgFt59 zPd^ThkB|SddXW1jZ}8rSQq10NSexFAKCT?;Z$D zQuD!JP2hWQsyF+B>Zuf*Jc6y}*6yEN!DVTE2tC~sn&?g(Z7;M}_utbk(pm$^-dW+r zqNcTZGS7Me)snVjNvJ`ta~y}ZxnxJMgV@G`*11RK&2~_u%e@rzeG}XGe|(HOyVy1P z6V96ho@=0Rh=Fq)u53&G9Uc3cmF`# zBJQFGyCN*`V}k0Vf7UT8spRiQMI{XnV`@X5RE>-J-3OAi1yq(alw$4LwW0god1qz8 zjvXy;S+c}|PgT6gO4M(2e?wvMlP|o`_Vq8nOs?_FGZDZSadC0;T;hrc#t)49IhvoY zXwD^HL;u%aVqj_n&=cexrd*rHifdot>Ur>K->u2>y6*(0`m8xwyLPR8?PY6m;K=bJ z0;qz;iWVwZ6~T&n#3uG;!<$>T&)!KvW`SB!L*T~`(iIp+N+Ff$+;9=ZCSH71`?kZ8h&{wZ7HsiuQM^v*L&TKj0ev zF8;*%MbbLbGWYrGk7wsJ+v~l2Qj3FjMm!Ti`d!|TRes$2A5I+uSOty{Ow+4%0e+c0IQ&h%sD0i1_x*8H^E z$dP@|KmYt%>FMdtRjXE=b`EmBvKhhj<5f_NyBnWff3LW*@8@b?67t$P2bg!dXDu}~ zb-Kgh=wEYT%_%2(p0EwB5wx73+htjys6D{PNiV zE|G}>fA-I=>HOICW|n_9+R0*|JpEm3o+EGO;;xI219%ET?E8PYqOCPQIH&&+$94av zO1~v~{iCyF)~y$B@UCpI#ddK>yO1j*J4W)}j`zA;mU7vPnxNmTT)DERsxDlyVnuP# zo0^(h-Fo6gdQe72SenRVadB}IN**{ZE$tEUe|q~zU2{#?$bh`^J)vEn);>3v_c_&U^pz zT!$wBnI=&LQRVlXV;PbmB8#UVoxm$ko+mH8@B~u;uo8}yq&G6&h>_~|E$r5?+q-cc zJFat!yleq`;#?jeCv83qKYsiB3{iN>f9fzenvM3_(RfGZ{66zdS+NfXL$jTbS#9@C zM`FX8Txq#7EiEmj&eA$#S8Uzmf4+T_Tx?hkydEz$v7G`Q6SsV!i8>`f(0ftX;lp9| znl#~?apQ(jBH&F;O|2g634ZaSOD?kh@W>;FdM{d3sePNK6 z4UPQYx55!htN;bKS^5iKcYBbu>)@IXc8R1O0BM8i@^>4dIk)lXgRr}4)3Ch>Uy%P_ z`Ro0+!3rG;%l@AKp^6)oRPuM{_e(`p@`vNoV?9#dxYcUyci_N*f13|Iv9nq3_m0XU6K${Gd;;(k7kobxp?GOnHSP^eF@D7LV>~T>GzZ!)HA1HL z&jW%3%`Hh1frA5>O-X+!ry^kV>HMWxr{>Ey*)p=8Ynt%<+dFxlbh9icByleHk%Joz z-ip){U#EI{pt)V*e=7Y(je`(%9HKL-7yi^BZah zyYi3a2lsB+d(N1wF_6t%UmbI)pf^4~J~g$VVER{2JaKdG+O-l9dR?4?Vjwpc9pe|g7`e?9o}%a7V@wzvHE z|D*8>b|(W?9(#yoVJDxC|LLOZYwwEvvLDA5zem-FK^_KvcfhNO`fSmkMN(tI(t9x( z_qQVF%jOfV>a!25!N-qd+;GE>f%X?cAnhs8x(&%&_!viDT1VJOPc?o%Fdsl%#L~srE7|zHSFvHpkoH5ir^TiHknhNEdC7)L+`tgwKotW%_3Yn&d*o%8t^Db- zpR9sB#UGRz&_Q}iQsgd-Ko-A$3>E{+<=@dMI+I3O5d;P2;psnQzN1;q4jsu5d_UwKFgkVW zRNMis7br4MZY7(!)+CXogPCSsvEp>l+r9hHc~@V3H!u&l-!0Nia1RGQ)(pKat4h|X z__+ABMe|J-aYT?DBgkA!O-o!}R9-<`$GGC`e{PCMeSweEFdpsk$RqylYCvIy>&=rz z93xfBm5vKEo+2p|fB~;Q{OZ8;*!2HUWF!!FG6#d(MyHQn(?q`9J(`1^x^c9-Q-|Fn zlG+tWSB)=a0x(Y`sH8=*KdB-%AxV_eA4BAu5Ri9(k0bHy$>Crdyxu`rt?P6D^{*GJ ze^jYbtfnwl#1aEmQ-gL%8?Z-TN%o|kWUg|E@o7?c&?HV7|0>#E6ZI)^ix+s{#sxQy z?6siRB*=d#L5I01hjYD#PSw|3X7oKN2);-b>FImW4ak|U(V32arv0ah*vr%CRlT4< zuGqERwpZ<5Q`I9J&aQ@Grbr`i*?t79fAG=oc3P{X7`Mn>>Qh`P!EUGOSt~BsZ97rsFCo~Wj`h03tFyPc2%EcUCaby-FbIIvnf2KRz z+*y0-U8YKt)v($yWuvSvaRn52Pv&>80G|y9egBogWA(N=oI7z#x5c%x@0cCe^gzs> zzB30@Z{Vfx7s8wt{@W`zT@N&9zPWj1vNQR8fGjMw0>oMsZ@yskJoetvlgkz!4yti9 zw?)T+G;f%$om@^=8#o=w*~Q9{Sm|RO^(=`;kb?yx=wab~K6{G~v++ zoB0*^mFVW_*dBeJc~*!M7@MeCCfIe0%n>Y?yJXl-6Y3Uu zDH85a5qT#;_1>cQ^T?avz!CSr#9mLmGyErA-Btl|FzBfRb(zSD`r!B;rfm~$pX!!t z?+@a>I|~RNEArVO)obzrQbcZ2NOFtp&4)JrN)T?5_P`wYAP9OFXT4S1SG zgA-L#0$juQBB764J$dfpU~+Z3jxi2M)&-Te(h+#=l_km43OkPqzxs&U+Xcv;=)RIh(P>v7xk7ungg zy0|(=7Y @7xQ`C4hys_fJ$T_$MM}Gg+CYHJ~!!t)vnkl>zVH2L%p#t=2-y1b5F) zIz;LYeAZ(xkx4INyBDH!O zU>(bWx!G8|f1Vp*$;`FA^&Gq0o10tjJz8CUxq7K}u?LT(Xci&cYA>9eQssD|4Pa%* zS6k2PW7}`bw(YKWd%ZSCZ#=rU-DjDO-X9tkfS)gXz2Vz;mF{}pU5^@8FBgE49{X<9 z36FZmmK?X{e-L5ya4CS-LKjT)fnsKgGyrY~1AzyDQUULKB2_rOEd3CE@=-n=-g_`L zTt`e38C4Vv4bx#qXUptv9~syQJ}s zN6(+evhGf<%>;Wbd@fK5LxE@@Iv9FWwmjz!pgt5Je;leWZn*=cSl9X5Tj|h!Zf^t| z7S_&4^fOJcn#wRO0K71CE{C2wO74X}6B_jPmFxEFdyn~1whEVe!g$dj^6Iu*_U;M_01635R%7e=&TUz48l(ec94Bdc_ z@aN}{>gk&toukRCOHSx|5?_rek#MKbUO3ub_?u;TEmdZZs1`aiYJ=6DpVQ>B#S2`C zt`?8dU}O}9zm9D%cKKUjA@g!F>NK>6o;V(zf9Z&#^0%v!N-8-=D!(-Tf>>dS7yLWI zy-wBl2fE$&J5@hOO-*gGyYuer6zwI*sTycu7{OKUv2ON8<{O5EyAlBMsMc&H!|(3H z@xvoLN3Ge`0zM}0)@!(6x78H1FNqi!-YeEz@x~Qv0xSMB-qD!GI~tdK%Oc1LL0bE7 ze>?ZqxwSU@YeS^h=KWnQ+(i`ZY+=b+)5RwC0`(lJW3e~bW;mMtXoNQY$y#c?+lQ^t z#*H6!_I6v+DGQu4%oFIt_P8u7NQWfB4mcr3n}UN%aldd4z8a2=R1XIx@TK(xyQG z)@s)DS`%tDI=<`I!#O9UvhMwNKg)Z z<+ajsULUFsnkJz>g$w`&z#cbz4%TkM`K$56r^7oJxEa4-7>adxr(-rzrub+6f9}vb zPP(Pqc+tC`cDnA}lTMWnN-E9DOlf1i>HPE9d@+yOC;i%F%QJW1Nam&mdSgk;(-}Yy zKomwLi+o;kX8oNvi`FR0;9o%366e*{M!zczvWbpWMqk|bSh#rh@ipoO;<7|;`_D=^#t z8im069Mj0*B84(0E~oDST?nA#J4`jlEixN3u%A+n4)1i}5rH}W0X=}gbcKluX*&Yg z`1-DKyudfX0c_$Gk_B!R^TGD3GV@DnJ(@(vd-C(5s^UqaG|HYFa?+?T$VA0${_Vv#H;F8@ZQX zK+d;kJorD0hZVy8-YeItx{^x%iIl6u`-dN>QinGnZjtwaUWuv!8^6k&h1cCxdRe+A3u2H>?AHnA9L^VkXiJcz@FS=;BedhLeAuv!X(yjB!J zoC%sR>yP*xWzI82UPP%*BEyuxf63h9?yl%r$qQMq=u^Zr-$T$fpa;OxDU__Y?&R6) zTC(P0r|Mamqlnub3%sU@iDfKWi*Si+0pKdqC>B{83Ry$IO0s3ge=zS&Rnm<-GhsGIc8(eo@xH|mu`^+V1NyJ z2SK5)`KJuN`qbdzE^Y!6$)}eN(>zK@M$M8tYJ2JafgrEdSX5)tHP>8IKnWSV*&>m5 zsFtydJ=^j3rGHF5f89O=Hm8$5(^{kLKA%U%jd>b#~Pv?vvte%G2Skh$3rYw^NoCqEUhvfD~!(mek=b z+Q%@87u;Hl(Ccm9I`+;}miF@|M9IbxmljELTb3YAMPG(of36D+q*PfUI zZyH$6w?6;Iy4Q~sW(np00RA2%MIOYU3QmTtSh=Ehf2ue2Vqi&pe7whR(}yis8u-0u z1ww&R!GWUsFLJi*A*g<}YS@n-*N~dJe?*Rkk1PsyQ&Ur;MP#&y6y)XQjqBdMd%z!X zFav}_zs5lGIQ%Lkv-$kZb;T`aQ0M}V)PaywpW4Svsd`c0h8N}*6k^oL>P~uk@xH!b zcb3A2eEqB3etN?LK^8av%WvhBuplgAot>T<(l_- zc$k@e&YnGI&*ZGL&sx79P*b4vN7}E``3L99+`(3?pSQ5Im@E0xLal1vBCwUgbu+YH ze@4Cg@BHD};Z|&K-^5Lo+`PPj;7mh=u%10<6pkIT|AUX;`ng5p4!zGm|NO#{ss#>l zsCRFoMIR~TOO9|s7&j?>%YF>leV#qe+l~Pf{Nd>&1LI_>1J1n*&;E)(9!>O z3v4YfT2r*_#X7-n?`m+QmF=80n?ky!t$S+HQ}5hEvpG#Ed!h5C#;z58(~_{de?7%> zCk?)NTm4O4T<%k%nDM_ts>pdBGbOD8g}fBiU;kTUs->2{8nprMUl12O?q9T~Q0frr zUg!De8y$K3?d@xamIP_=@nR4~-3L0ay^4(Yt$2c3ZEL%&Uka_S1sbN%YCP??gE0Sl~i9{Q?nyQof&*t41cmK>h- z^~0evz1>+XmfOM`hu;(FiDc_5GtP4i9=zUfT!%*Y>UC)04L8J;nYVDOf853=kkrzV zrZ8g)oc-g#!=4gb#*Yxv*ha_3$E(^GiC7EFTi)xFRi~zj*mlEIfq@FYN`T-R0GrKb zYutG0n@5ix)PjOzfVg3O8C>O`LSV62CY{mnj1f7SgQ~6qKK5<@qU9GmcZThZ>(sn8 zzpdYL7w}Gb+bA8CzjEoye+_3gYS;Pb?(f=W)~`R9|3J>ppHVt0|IQ2UyrR*;M!%18 zjEbE*YVKAQZ4YR@jCvEEz3zvVZmZrz2r}kUfZ|3S=t6xm-n?431O&pS_$-3)Q9i!8o!g`uf7kAE}0*#}f zX@YN?AhCj^`R@}9Jp(zdd5-5b1E~8-$1nMNI^Re^TyL5j+LA(>Y|x&$*xLR&?42Qe zHRN%&p9N?9Lc^?%e@ir@UKN+bzd0XBRo!VPf0El}Ew!AE)CRn#4_08j$7*#&zWCx< zeP4LNf3?(PpjVB_bXvOwvnU90l#OTf)8%bPd96B=u~ULuQC+Dkn}&ynhu3RZkBvJv z4#sZb>!49~wdGLq@iAp)t8+PR9z-0spXy)fvUe|m8n3lcaM8 zo;3N-=sPI(Z~^^~*Yl~yF9a4sxeo6V7_bBY%eT+`|K#D-QcErWQ`82$e?bJC>ZgS( zP?oHEe@lvCtntqW{j1WiU%yRGr}M7gzW;5Y^&xA!)SpwI8mS{I1twz1!>IRr7{orH zl~40KDCMiPX*~CA9~UzG*Uju$Tad*TTvPgGIa zLJZ>vOufnn)X&WhzzW;k5Z-=k`^IBm9{Ug9f40bi$iis#JlyYK_FWGj4E$zQl|#=M0K_m*1= z10*IU76YBX3k{7fn>g``5Lhx>WJr0?o0ypRHPC#~s#W3v!y)p3zcur}gDjF@3RwhP ze_0$_JfqE_HV+h1_}QFMb9Mp4f%Qow-EpE|7a%@9eu33${b>6`Ge)ZFE5M35BK=3J z?f_o?cgL72(%A|tiZ^YN0~7A=fBuRU-<);US*2#h*1SPBYy(E>$OQnJtV{0t_^zop z9_TbVu1?|59mkFcW?^3~C(nL0ZR#swe}$d=jaDC1CG`>yo)bF%l_SU(cD4WEi!6or z)GrMV*!+hFSW7K`18M`_zaVzinZV2wZunP4_)Q$rN|SY0LW1eiw&1b_%{+LP%$ND& zT(~MK#pf~aIlsMw0C9*TbRP4T_%_^v~CLI}fe`K$Xm+H9KkP5b5aM!mjx%866D-vB?k%(jN+@Tg& zVu&08+6atKQvDd9d-v{n-BlN)idaKniy?x-gUPCIVis?)NW@+Uh9Tkvx+qLeR;?0H z8X^-hFAQebRjaJ-%?^>9X9V%ecijm|P2v@`>p1MNNETgONZ|&^%z*nYe>X^}YZtEb z*NAn9TXE?%g}Tx5x9UA)*R1Y;eF3SsxAq{c};@6gk@i?*cgdiF+XMDw)wIgr1`)%QeXO8)z<uw>d%<6 zXH`ftj1xMw{jZ?tNDCJKv?eR&#rIMF?bi|3ELohrz>^J@&Y-J-e=k)N0~Q(ERVSSK zQP)z-{}$B-ydutXfTjEaKYAa&QBCOmACIb*lSUA0%Y7~1%#6*97mplma=6Kt4sle- zT%CRI+20>&aO56 zxR=KL++j+xPbji}$4m`PO_H`$m3^zgyAT<$$jHOif1}ErC2(ODSO_WU5Ehf$ zr~~L{1#D<1Tv-TL#R8XmXx|17HV3fK?hDLSEuX&5Y!2JnC}5%0hX()#LHWM#JGdpN zNl-&A(GP(mZaOy-)^#v7XrIqY)MqMI_LEh2rHVYOFy9(v#ZWDM3OOG^ST$B&Ulriy}a7E9ld=e zV_Hf39AmEGc>eU_B-L*Nw8sNj;VxhlK)-(dwtS`9KI6IPo`1mY9-+`eObhEY-~Hc& zPM8W42uubl1-$b_>RZfyQFsKX6!6XwiM7E$y-y!`S>#1u#g0WHR)J?Q?Yk$uAu{b| z)x%U~BG6F4K3C+aQL2@s$poeV%@l?i@QNMM1MJ@#XkBO;$(%43`V=GG)^^)*u<^lr z0BjyxuJ7Nj8-E7g;QI2SFYhUT9+e-3Ag4s?@c#?D+Ha6l*@a>n^e9)PrleXtc;2zA zy@eR^Ixy0^`O74KwF4}dfaN#vTnd(NfldFd@z+wz{{l6w@Se@d1-pQB`A-H@i%F`; z95qkGz7*S41G6+ii+x< zmX`KfVq)U$pl^8t-ae`Wj)^$0f#B<)Bm&YbAcG;{Vn|;MMX^AofLD`sy*R{0=$tOqIa?baB zeqGB??tgq}^C#m6Yc^egPtY!kFspI2|JA2+Vgw zw^4dv3qXotJYrGZkl-A3k-)b}s*}pMHzZ{!@E&l?%F3jX`c;KGfmhMteV{qu7S@ij zYw`zaX=x7tyY}?!_k{5Llq5oZqh@eufAD3X26U z19n>>khbc-6h0Ej7O0{ntme3rfjq09DTJ40n8(P4sYmP22f&kI6qgfbWYNwh8z$L&^e|frLs%}H% zZOmdyXN-A)QVD^@Y6jkG_rg~Hc7^$3eh0Rc1=i_Z;C=snR`dIE$}mI*V1Cd2$27{v zTG-ZSRiF3!uIlT%@;syb!Jsb(G3d)dzJI%0l*$(_rv*wV$LkoZZrsNMcO?k%wkbg% zQJ@_`%Rnrk_kpL{!VB+(rN83M*LKy*PyGj}rIyo^ngZT;Fomp7Pz|fyK!ySWr$=t- zUmcI>n&j_`9-S((zWHO1wNDkPq(0zX>i8AlNJBbWU6eLD%n9?qEHH6Fy$KJV@qg*3 zozCjef$!G-c(ozMh#6Q=#1e`7XG08TV5w_?y*JZu*Qh46`@5c+Y2Y9+sqkrJkkt3U^c|qrYjKP}|T+Xk*{u-Q_nK`WRn{Q$) zBDbPCY?SKn0BLDyjm`)TZh3)WbQf6f8|=;(X$L$kaG}7Sk*Y@kzW(~_HtwRL&V?4s zF!gxWM8NP8h`UL(-0`ll>+oTV!p9c4-v(<1tk zCrS0`H$~=!LVh3}+hoZUhDT82SUAnC3o)%}Y^>VNsoK?OIe<}DJ5D}}~4s#Xc;lU0jni}VPEx=E`0{oALg zdR`W}xDGT7I0xn!FP$UOvbs62tB#r{GG!0!4`fV{1XBz!?5Y8O^U10&%oABq1_gm^ zgYBwsJ4BWRf#*c>W+#h6P=LQ>Q2BROB*-y*^mb1`D1J2#rv=JnAb$b?H^1R4*CxDG zQLkWS9VD&-=ZzrE!7~~xM?ub^s!N`CccRtrI0rDIsHK*_HPr^ZstHP9*`_LpIG1Bu zQbwGjas90k$PlP>@>3{DQa#sh7;BPLdsMq`s_eqUE=|_NKl*-YX=#tIS@%QI%!4!a zGA?WIOh}!LjqFdQihrm^7DQ$YoS(e$HR``q7k66k^Or6ELZfdk*w&?wh{ttruKW8K zsy|7tUAs1@prD{xe0==QK<#R;oEPdC#2^-%gZC~{`>n~K6Ppu9ADw}z*I|s6*uwIQ_alG92XZC_kUdEpg|BCdfUb0$Nvtn zV#SJvAt52VMz?4Ya%Aw}-;+N3?2__*=8Lp*!@CN0yP3mC`95J`VZR0a_+xP7C6|12 z&Bq^?uh<*}NKa265fv46rzN{DFBu59)l{uu1~w00IS#Kc!S6)fn+(}RoiOk0HC^Hx14|%H07wOotiIj z{d1fB@wY1u#P#oRo{?-!P^t$0K%5|7+137(?~7I_(tiNoFReUZ!JE(Ad{LJ=0K4iqOgq;P{Ev;LWCF-#jXSCqA z-+zCL0tTLF{HL75JdZFCn4`)1hh4R5IsJ*vA`Ypg6)R_}d#`S`tJSXd01-tIIYSl?x!HoHQnmJ@4?SwG65a4}2Z|y-4W_XKsGm-+ zhXzPR4)ATjU_MN4%JOSkQW9z@Hfg$XdUC{d;n9 zaz4t<&CRk}tySc}+-~=bZQHiB0geR)1-w;hjiz?obu@-$aq?18!E! zNnwcGtI*f?H4Bs`sSdAhA4QAazxw@a5b6STx3}GXVd{$1ii+_RM@k~XH1EdFA&ZCP zd+-F-MO9J>D|o>B7}dmMczHbM& zo_x;?k2epvoGFh^$@Ew}R)DLPTy+M}QPD7mI4(Z#uJd+g)XP``aK`Uvtj&3ILhL%i z`v4k)YhCHFsJiZ155>)zb>l?eK5f`Cx3m4H%k}bVa{}KKIooX(Gk^JgbY4nP{VF{@ z{Tx-DZ?#&l>C>mr?>?J|)9IWcBDwML@jVj_;}KxT9|(30;FrY2#A3eWizt(jysggxz`>uLZ*R$d07dzeQ) zJI{pGgW*^d1@4gW`hVJo`cruh_2$*u*ao!oX^Q`K->YBc*`hHT~1_=oXqey_%F{x(=awC3jYmZKObeqr9voH%e zLV?6PU%ayfZ&kS^+S2gD#-4#?1tnQqjs?es1WAW7w*<#nJ!N5?!zxQqln~OPtV7Uy zgWjuh3-I|mVSnMTUs!rhQ0IGRdNw{^%cWlKmN7?SOOSiG@ zQUDQ=sH>x{!9{W2M|mIbV8{4#?~)$vx8-+ob7fDZ+X84lz#)zS02a1}s-)gvtrOBZ zU7p$}uJ8<}<0ql^|xgBF?#BXU!nFVLW%wmYH93&&)o2MxjV9riSbz0s8L`JOQk=tBwuO-V;-HYcFt< z-LELi5P#_iycjoOLW_`Y-L4I3+&EfQyQ%7{s=Cm9`0&2{*I(Zb^DfiXb~B&XcmKwi za%)Y1EA4)TZH7n;@B(lSFvRXHOcO~91)1a^@d;r1Y#to(6Mgp-6FwC@>7WzAS`tjr zOS8Fn0;ZC~IJ;^U(-1@CVN5ICDR$NO0<`}F^M4M;bi3-j0PQyd6MzMF)#pUgt_AH1 zGEFrxv#UJ$N(1%{y_~n+8pqP7!F|7Zd&d2uYXALSo!w`EAFG*z^MGlXdCXPjpju*& zK-^)NH<2@~1xv+kZ2@u-rt$><9#byuD*s*G0rKYwDS{>TihviarD zyMOT2l^iaOXwvEr4e+mp+O?AAxMQTFBH-0zU4oC2iln6V0r^BVVIqKt^C!?fs)<9Y z>3)#EwXi~!RIgfU`8!in(b`^2$)p+)LBP2cXbYTng#`aoeAmA|JI;nq-$Q3p!AT_T zW=NdF>oyCkE`wur;KCIl)KukcRlYS?_N{<-wU4ip^$o+YvYxU&q4D_g+-QnX(Qd4`_6G^L*dHl zd2pXk5y{a=!SN*Q4m10CvzPM7+)BrWBjaFb4|8$72;g>yIJ{;V^APgtz~&3g=iwhY z#E}NHOxEO{01lC1a@=b+5tr zWI8(R4VHCTr2~Ht|N6_dLwmPmYmAMuDTc^gdsQWZ$v_XFhaqCvRe$hnp9qv+c)X3N z{q4)Gz(r!Sk(Zi!Ros2|tp%C{2M5QNm6c@`ZrIS7$K;Wtp@kr0EvrW)f-?rw_#A`F=xm6*0bhIpL5;n~ZekMC5>|0}<8&^) z*FpU2$)wK(TL&n;6S&{I|9^!*_iE2KXbvjl;!-RGgTfW5aI}H-j31vKF1T`4 z6RODP{Sit(9vMxej4vn)Y0Xc4-XjUXlLO2m_DB|m5#O@#wji<^HL#Vr%1n!x(+e?V zBju~G!u5VRyf0FonkCGJmA``JED`5M&>O(!0QZ>x|54Xc%l{7laevX8Dk{Qu%z(F+ zQ-BBVv6v$D6=mJOFhvVe!o^fsOthw4#-3 zH@jVqB*z!NuN!uwqPp0D!+$s1-sXFMVK;9tUA6Sdh_Z+y-dpnkq^GApyW6#U#M2p1 zAJ|kBKsR0JhEkprQGZ$GLun0kDb-Tm&3*UCEcYz)c7HE+(TnbC*XmcI69d0ouF{aZ z_N5zwtLj#gzxmyR*Z;QAzu)pShPCnW@ssd|rSnDFH8^tp^i_SDJo)OHsW1BO;B-3g zC`i9JD&wEucz`nabyl(_e+3n017BjU%zEc__nc%+uF%3=Ab%3=V(d$RJ3sPgOIdK! z?+U}Bf2@~LFDIll#AO~HjVKELt-IUes^bc3xX$KkwK^(4Y6L*4$UreIUEP=gZ571_ z%qaQ?%z$(yfI}QD1^N0}Y!(k7R+>!3!;@fub3$8b`&!N_JS~XG8zR|QO zf@j0v(<87vkbjcE*WTGUgjYH*K>H9N8(8PtFi+;4XJPhDu$P?FChE>^msI%m-jNb6 zQLaO-L~C7ZoY>meF=J}+D2;D5#JE?G@kyFgzFPJjrUoxSAnn$5n2G_RK)OR5_X~3K z8%XY9g6cOWI>E)`t}^P`IuYDyD*M_s!uSTj5@m_btA7*P(VFox?&AA^;}z=lHKQV#2n1k9xM%w+LW;@#YD}i^jckkRS_swWK&a3gSkBg))!e87Igo z)eHWAq5SP{9DDa$c~t2IY`iYWX`-Q_-3Y z_!s8MqB>Fk7|crXa(A^qdMmt^8W^Q|061{M4Sy@64erGY!ez20xv;3Y$rWV@|y0Z4QvI)G3`8#%cCXHDdU|@V(!+aO=4MEpALl~*PLLtx<$l%ATb6$T8!uaCd6ESR3a#SzCoTQOto3_hJQlJ{L}Q)is95xxg`d0O>Eg>VNNsn1(ra)$N8DlY#Z0oxg6~*57)MJiFH?srldZ zIon+r8htJLDwOhF_wQThMdiiR?{Mars}qMw#E-e`-kki#&?Pggs8-ljiw%)PplzU( z6T7O%5V;aK%dW@g)sG}#It=NPhxN)uQAmgGpDxJ>fk;CP=qBi3tP)zw4ecHyE3u0h`^Iw zf+ZpXafP6}PI8=o1ztvp0)N254$Okxay~)5GGZ3K2F(WE1br8H4zvI~w^Y}0bsEgI z)KbfFYFgo)#z{zHd8v}CRm;hfDl*Vhxu5|HxRO-gD1V-omR1M2T|{;Rxq@_^dBkJW zTnm)M5tib3$4D`B7M7?`Jin0apd_Ru!fkb1t%cz|f=vV6DoOyubbnY8-uve#!=nu! z&92kB4nYM$)+76moDXbBOibMGbUMG^``g|NThwhab0<41-A)!{)749^_BA$%^=Uh& z*Q#FSPh)@>MW1Xjzr}h>eajnfXTCjeb=m46x9jcWI%tPV+PO8cS_+!%ko<`egVsG! zxbovf|MrkB-G7L_@_(jXzOT9RUHOOaI$Uu9Vr5EOCHOs(O+ zc;jvEy2F`5n-uWu<>8cVyz`Il-4g`Mz#`H4N+JR~N;d+wA3m0|!={##BSFU4J90@z((uVm#nB>p3dj9hxW7 z-0P%Q34t$siq`7oH9Yvx#&b4?1B8@@6gNsAl(Czj=2q0*Ejnw<`U}7B1gZf2R`zS^ zaa-H}JLCOtqIP}4_qoIFs0es9Sr_5!)RzPt|6K%9x2c37a-%{N)Qb`N-k=m^3Uv#V zLB1N-;eUc;*wy~ffABGCspYh#rhqpM)93y~p5+Rx2cA_;sOiL2%OAu8H;bRtmVz20 zW1BzrSbIZcecXfzOP3rilX*_a$gTr5zT@qB9C82ny6$%THTAOCcZazIOL2fJXBgwFz$%9;&Ky zRrQ+JZg_2*7_xqxjC%^)Ui~@{=_OyESslQ$LVh|2#s9Ab&Eq6g>BWrzMo^1Fq+qH=rmI>SP_|ql6Q7 zcdGcN@SD}Wd-pD1MNCX|B#uXze#+nIiZ=^TOBm5P5T2I_C1W7CJ|ym;!GRmN{#CHK zSQ%FI+Q?&bug_nYpAn!8>y#6Ox)}A$QF3AKFARS2fQgmAN; z=J-><)c`1l+O?`?c};zrg`q9Y`hW5dyeIAYJ1ku6drt18=tV$nz+21TlA4Ov7JJhg zf~(ucOPOlI=~qE;dZHEoyIdYuNeLcRJnG5Iu6xwN4YS_kVDrH=-L?I`f8_3)C@WWi zY|bWP&p-6y17n|V@hhbvEppPIIptDT@$vCnflEmM<91^_@U|hw^>($p5`PmD1G-cV z(_wD>s!wE*1XDox7vW#D`Ch+2{Ls9ILO*Ny+4TA`^^^1S^NU2JOF}}zHUPEjM`8%T zQZb~)pw|!?k9h%CfSIOqQmGJ5r}JM?QBji{&6-tmV9c1FHy%0iGr**$jSsH;NGE*$ z%;)t(yN7nAnqU{;rhPZ9Y=3HN%9_wR#(fDdR$2*LAPb^hp{^Uso-JDx);a8OaB%Q6 z;KBU_H|Co`(0m(wd=m_t36KevWRaB?o{kMBuMTYKO!lUui?e>r8ro!cllivD(33i0 zR>9&{>Upn+Oldk7UNb8NEI(C7I?!m}@$aRA>YxfYH*o+_=2y+5|AjOzTbUAf*k^3#S9W@fG>4?hVkwdS zg=vK~-X%C`gw`k>D2jy7hC^8hJ3L`Mo8ZcW{E7J0AJkGod$b}?x3cZ8hsFpU04laQ z2?G5HH#aM3Gxc$Mg?|j<-P7*Ar_07J4FYUhOD%s3YCJ)xCU`gPvKkUJ?5NNrr-L^V5m#vdA)>!HA+1ZdCJl6cy zvkJotZN@*1l|WNqtX=KP`8Qd)a^-mzi{)Im+x=Pp{{0U+oqx`_goK1FyV_qc!~mb5 z_mA`^hIGX|Sw?Rv-qdM!_Uzd}ajBH{=-9C%zx?t`Sblzfr9uq^Jyf6$?ao`r90Gn$75r6q+@cs7K=G0&T{5Ry!HGn7y zHD^C2i(ql**H!lckV+D{7Wml%DgL`!%|gAz^5>r7$RN_LfS9Aubu}WZn}a(EG=m4a zt|F)ywx0!U1J&I9=cK2nw-=FokH^y#xYS~?EbrU5?{}xFe?yFxJ#X*%iOb@O0O-1| zYm6W7y_UJ-@`kD0)8YxDEUG3G{W&5+2GoJd19>cC;?YD5Aec`~* zj_%9p-o-2tsHK)#;M7?Q*K!K++HpE-+Gfz8K?T4p00W~x;M#oVX5+KLpLGs$1!Z0K z$z`v%+|{z8*l>Td{V|_h_Q`#P;f1j-tLx29zkhbRLy+iycZ_LiX`xoD^&VACw_2^A zI-SlW;7z=;OeY)kdV}40z)(%r?dj?1OB_ud;}a7TccrJN-|*v)KR)E~c;5F_fGDRt z=6j#|E)eE;#~-}Fc^QhJo{{aEuyFONGI*W#&t9$P`F3)$Ku zqE1oBTxE|X6wS}X)}y8U>0F_(ZW)bt9)H2w2tP9sL*#BuGmHD|s_Fip%MclZ8IU|~ zSM^QhNJpXN9@_Q!n!ZMgq*Q3cTG)bz*8tjXCjx%D1o9)nJ}W@~y)b3D*4S06+)DLo zz)l5r0(W?GdVMGhZui2-UWZ*R3i9(>ml6N*4?rwPkZSzTXWh@)VXWSP4c28{lYd=` zgarG<;D$(jOxdr-z%aX3z6)T8M0(%i=L^F^*SQe+}9(wp!5Sw(>n ztbwxe@W3)dlE;)6|M|Vgcud>aDR$Lm0ov`r9l-N;)kOi?hXD7%n0;_zHXarLX70O{ zZHCAI%nQX&F<6PGVv%Asa2*(341Y;}1Ng3*IXDYbx@>RYIjBVVh2LK|tt6-<1|YZC z+RdHOs!oiD*bJ=g{8Ji|RQE$wX%~Q`<3(%areEHjj=#d5wh)^c0(rV*HR6xHU1`!ksr91tFN75%>gu2|OV`~4hn)yjm{Qi~5YsWgORYGAfH zK_5+lx|jv-uc#(e`GZ}{$uU=?i3KVJqZaTass3KgE*>Cv>H|}UU>1JG{&2w$oz<@8 z8mx#Rknp0AZ8i(mT79}Rjd1-o{$ zfJjx-MC2CWq4@atx2U8MTa~m{X?eMx+|UvGMigYaGfOo=s|34t)!byms0%P#Bs`ET zSt%U6RjZ~(#K*^f3ViDOn$zjLqiJdBc^PlKvCEzH#Y6!-J{9R=Dt|Q81yF8ZIBG64 zFpJO@0H5~yhEH#uZJM^!$=TNv=;_=4R|95oiQ@u(p)2Y-;yLJPzoHq|ANCP+K?ocT zCu>I>ORw2N7cvQN>Y>5xCX}u%-`^0a2Rv^Mg&COU3iz}~U~0qKfY*T!0SnN`k>cVY$i>BjbK%)Pdp{fIK2ggU#=>?>r5syaWjD z1N@z*26J3{cQWSRvFjYk4sRMjSqS7dHXjFXFvQ5H{P|s%n}5<#W#?iBC>Qv4?wx~a zm~${2KW%eEBnWub{H(lgh`b*_n(Squ8RRJFF-MBSw*YQ1!R z7Pj7rIR^u(nS;B5OTCZ%=b(bnV#0uaV`hxGplWMjDDbIjH{T*D-V}*&2hel(z9R@HtBB{esWcDb(su;tv28HHyxGiwV^DcK_Ol!z3^ z$H#w`mX>y5adB}2PF^i1Aa=C}IA*7gH@K?#F`_<#i#kE4kQ>+h{^FKUUHGF?an5M7 zqfN`2`lzLr|8;6w;T;AiT@2C<-%8V3{w*vpEkMy)34f+m=wE_?0|(|foz7_h2?+_C zolfU)%vGvN_yoNR4Kb>GH#T+J)H>n9gxeF-5~sD^+4=$_*)UHG!r{8N*1ht>c^@v$ zF>>CmX)NLK;b(MpeL~*=1!8N;;L~$K z6oxc*XeAA9frMk=901gNm`STb*xuVkXrl;re1HCiPV`^BD*}GU47`5~@G;6T^_aT? zYXR(P|J4wq6W|7y!1Jk4+6QdE!PF|%_AqTalnsF+2Qal&{n>yiU6>1Ot)~52?^r9T zDcIHi)jYZI!DS43CWajMdRjVDC>hg`va%^OdV3hzw{_;_K3~#(MhT&wJ;Z)G7MTc@ z%zsjTF+U3y0%%Wrk5BnLuf=o#dyWe2-W5$?#iyV#5c>)|=(mdfeJm_l26+t#e2h;t z2kISH4`5w2I=t(FX0YgY7<4676fvevg~AaaIdFG>XyV&0ux~VGz+2NCl#jJqMT=L) z@`c*%|ER7#5N_xzP-RuyQn_d?Vp2|7pMQ`k59gI3VFK=8c6QCfdgp9OeaV5NB8{mK zOH44XbAjqE{{LNOsp?k=2?==sBJ!h%Y&pg6@n@1=hogWATbH$fqLcJ>!hmq%R{kr;7PL0ZArf%*#(c7M6zY>gUsb_LL=u*Nm&V2uk_011%-Ezt=7ZGxHw zwbHWOF1ZW8%06^wzde>R$$&b$s`l1m7sT#_*aGN!*kj2JFAFLoD=w>Z%iWgZE*qjV z;id%;A+blh4|OE7(607v0ES2%%+<&{&S2w)Cv<~PK4F4aWBC^hksdwxvVWOfwTeQD zUA4fg0oxH%b;ZBE)DRhrd7=8n@z?DYGF^t;d>N(_+Lv~4u+Tuf&*!P*0u zrWfCO<+uEK64U%*on5s`&S{E%|Lj&dcgsR7Q0<^mfk;^dWAdoQyn89IRDErE;_9_S87se z*aLJrQ3oPUE9S~hL&|LvYx$25aH?Oipq$_yo`Ue%@HPxs0%esl3*HxT{)-2?#PaPx z=#~!i?rjOjPDQ}$OMhG7pO|*1Rj{kQQeLt*jbSd(=e>{Kt5!7K>2yv7He8!VI$P66sQquHKq>3i9o96^r;jV&P(QtsqNL20QaI4eu)J$Pnuj-zXp zlV_RG={(`|PvAtm)I&;4T@lp-caVH*{hBr+@F*mjv1t>=lp1kXT?w z=Z$#!u41drMSr{MQ2;}Xw}5w-J+^GBt=S9fYCgs#)KHz|)k3cE7~53?Iq{te_N(dmR|%_`vz=(Z zw|%!AW{5b~0Ed6qYxjvb+hU%dR_!DBW6Tx!j=-kJb;O^&uwnxqayp&&mDd9N)hQwD z!%dujSu2k051~=l-*Fv?B)`Tvucem18>hfpIKeIA{C^I(@)V4x7Qk+*3{=sstO)@n zw{8~o4sM{?&H|3#raTO+Ahak6k5xI)LMaU?f$F^)M4V>;BQa0Xb1_de!!c#4<^a8b zYhq&XOq#?qJ$g`rdBO9~KQz|k!R}DC>yGNSb2^<@VHR9fDWY*Yo$;83HkT(PB$Nlc zErC%934aNmU5SaeZST*wQ<9!;2gh}K6TQ~LgU5}#j&UdJt5Q*b)El_YuIjpL{``oB zZg+jJV_A)ILNCS;zU&f+PbW8|_%ML_lMl585UBnN6sGK@;<4S%W$YkeMX$18*9r2x|c`OjiMR46H1 zFjwSbG4EXc&|?Q==qPEq@wyfU{@s3C_%Y__2<4aqqLCU5sSopU+}z z9e*8CusnO#sAd#Ks`ZU-eC7R;0kZB z`%lbth|viN$5;OPf5qO^Bo+fp$1NR~bboF1wf~WXmQS#IyS7RqVRMp|~nLU-VC?_&YpN=QgJZE|=;oWa1$y9o|2Lqb?S>@srV+S2p*!hKO#QGZoK zomy)78&Q)=!(`xJC)wZaC*Gu%6JdzV0j}|Tx!A5+UD3gZz~B$4)A<0wz4NdP7)!=g z%ZTp1&1QL6N6c>|Ew*J_pMD{Cs21F^m^!m2bI=Y{3eXHOEWme@35krvb9O_@_8PKl zP@l4@LMr3Rvg6t)kLS z+KtJ{&Z$>g>aHlXdG}rCfS4Gb-oKwAqedkhUb)iwPZ3&+R1S74`myUrm%V>kvuk6o z-5wqs&eIJW-gE!q!x$ocfR6(VbBtZJLJ`90bhZVaDEi@t`eLeBfB zEn8X}+;}5pLxyZiNJywL;1@&cVCJk=$;0%rFe4+Q8K$zs36EzjrzdvR_q&vZ$1MSp_3nJoZ9wKOI93RXMZ_}&(-uRfh zA+dWs52S9(s9!dsER2F_olIAz=YXYYX)R|(MMV{yfByMpn6hHsLLze}d{NYJT(gLx zEuDh1*F|ME`R)^9#&@CUyY`{kJ2OLzk8aItJj^QY+%w!I`JinAjeo}%Z?HRu=>(ur_pc z6)Y$#WG1M9h#*BlN`DGqL9wDDiqd-tq$e}?{6E}Do0%Z1xU&D9=L^i7d*8Vw$^7p7 zp7T3N_mw0kHZWo%B1l@g^wBf&^9?NDRsU-Ybn4_5caMr!QC*@^x;)dReg0)hM4o;2 zfYeJa@c_8R9cE9qr!0K>;DOflqIO>z6Eod^B)3Qu@Dx@`#(xBVH&VBV9m_wpF7Sjy zbr+T(u84;NcVSiV-|SG`8{{)?#cIUxPlvU9>>s}lxDt4|7LV8gzzATnLp7kN$|qPx z12Y|}0m8*CayFK=*s@BW-kR~&SU$X;IaC842;3s4U=`>6;!vF!B%X-nlY0Po*q4u5 zJzmEbFZ9Jrf`7yxz_Osb(V<%87q?^Ck=4iYBHrZ}mqOz1iy^-)@Qgz>z~#U#G7=a7 zJmgUQI!OF#tYq$gRCpmfRNrum7+7|EpSnf923`-Av+Wi+A8XZRzC$&jfw5bp127I) zm2IvKmIU+{+|722hR}y z1~xp=Hay`#ICzQhK(c4g)|kSWmnybN-kp3Dd|ih_g!muiSVe0uisXF8u{*8VfJ?D* zH^Z^Myq`Id2lzkAegcbNN*$`_R&-kXtpUU>z2Kuoz}_P0`S5dE!#2w;V#Ey^v?3xb z?6IPvqJM*{R;}s)90Yi1@Zz@YY~6x&De2retX9T%N4r}5ol zlU8H5?mB;_#@G9lm}lJL{@kIC>Q(Be`2L-@dw<`)ej-x;^K;Ib_}$^dqp-f<{lr6L z9Guo2Yo)>i5ZfoVXn)E6_N7NkO^Jy3!Mb+tFkrT4x97vR&4TspFZk-I%P-&R7P*`% zPg$_iMk@R__kh)`p&GYRhp>ccC2o+#d@s)c z{(t5d56AL1j>2*Y2=>70#`4;}$Sv}LzhJ~FrfLifa*Hf?sQPz0n~P<8HjiS`M*sjI z07*naRKzWk6+{qDz-r2XFYezQ<9Vulbiby;cd3e}sItTRnZRp&EtXRRz>fs3THQ!h z?s+MeCoOJqUk=<2ky9Vx^+~BLrY|#Nsefswg{mnFWQ>o``m%P_^*EP*;o%nLu$Wi@|<4_%q zHLLc!u*5ElfTKW9b!dP`tV7kz&dS=|wp}~>;lqa;ezxti0Z-re^x|>PjDu#Iy(I33 z)4qUK8w-J7eB&*n{g+2+_YK&*Fn{77o`hW2QI6pcdfa?S(pI{ez< z6sK{d>2MCI-A4b3M|Vi!2rYX~#cmkv-#K(A>d|uGsv#WWS`LQ+huq@s>`=!SSVbro zxJAbLX0-+Yq*z63I8;BOnhly;+&5x<=@0U=-})*iCubq$Y)?r^+3XX$bANzU4t2cb zbh{^5`^#7?fjWh%BD;gs6ukaaZvc?u7P0xwU>?8{!eLnVz@H6Rv-Q!wZT;DTRkYZ! zmbeY8yH>kkq z--AQ-!oT_$LWDTk`NJ*^A~~mH{gH#va>-xL@rd2ZZ4KkqAAkJuf?cJ%7`=P+sW6#PvshFxMoA4REi;Glj-daWsI2M1g+ZZd~*k9~QZfU%uSAR0`h9Q67o_)hxZ_)qNS80*DHT7~1C0@XeHg$OG_S0OuX@Vtu zz?w~420ZT;A=pxG1#WSV1o{&wb^%C9Ny+wY7mg)%mpjz)N_KX3(`)17N4EOxvuGnO zZk?H*-?t*a*V9=}ReiiXdXq zp}OBKaxQQ-Fh5AV*f)L`tRlo<@jh5$Dj;3Kp=!EC`eUu))MC5FaG*Dq$7`@cyF0Od z9)IxJd-*dSOSrFbsBQ@op9cH@6x3ob=Ej=Y^*A)}0m`Ae*ynRw5M=fkhw2w@k@J8? z4%H8QVs}w?y?>&qZ^d)ji@(GaKS1%`2%dj-63@&R`956r^s;LE@A3KUo=K1*P={)P zTjV0F8Q9vc@mW|8OYhgx)^`BbHO{K#p<^VLb=*?_lnx)`ux!tM43?6CmEQC@us2vr zMgT%%lopglK>eL?^Hb=Ecgq^8XM<|WpJi_rB1DJ~e}9N$6|D`#`f~qwMDff&d_*B| zi`=c22my!y%ZInYgAcyY>eP0pU43{=4`$#4b5GInxpo6K}}N%kzlHSv`96*s_H!grjAoE@{A$>+WUP6b8o+ zeyB$)ihm|d;Ge0fC@&-qg@MPAlSDux5g5i|+yd?Bg2oBh>EpfkU+r z>u0jIcsW6QTC2r95Ii^A7xw}ILe_7aT786l@h_orW_Qt+R$IoohRpvvIhQpZd*{@D zPJbOe`Am4>+CemV?>Y956UqKjHFZw4{lDWF9m*W4zYu(Ow<5lWz*LRu@k1P=gQd?a zIUs+!TD+Lx1)3G{GLGQ`Tq{X?AgTnWJxCKN$vvV*Ymw|>s;O^OY?3MRH`8*YsDTZh zJ(-Hu{@ckEnP&R=;0tVUsQS0k4iVyimVaZ3*`B`AJXrrIi{bPOPFPqAwhH zX?VM`@;ZZBJSWi+!IqDsb8~Z>_2|)KyI~m1KKS|9r`kqEm>nV_76F*1Ir-EUEiT=d zk#X}I*In1qvwL^ttmi9HM1I%@_J1VPxLQAoidnuJ>Rfrnb(g&SO4JuimW<2|kC@n_ zN005kxW_GWy)8a|?uXN-r$wG}$|2Jr6;O>eMOgrEfmFXkFo3JbyT2bcwsHTdTd-&Fyqo z&ak;~p@te;n{PE*ZfO~Zm49DZxzy|@cDIOInqbZB1{J#iUaxoTtWRgnYhY{e+Q8le z{kxx4rpdVST(3{>Ki%}bD`(wxR`+kt{sw^d5$#ViVvPjPF3&eqn@yAGXrelH{OZW8 zS$7&SF*^(5<0Fq4#<`wDhc*$!hNyhEtys%4q7MEVb!FY#ZhP2PuYX?6j&~wSs=8q9 z+O=2p=+Wcb`$uPf_Prr1?wUOOV*pi6I$B(eS--x=h>BW6wcnlmIAVTn1=r4@t9zucGw;oUj$1RnZ5fpWN7gIN(a-;Xr%ERdH{qkALe< zJd>=a=&RJBtf~AJzsmpES1m8Yzjet*>ku`>mY-p*q4a8V$oJCh+bN(gJMqAxD(&l+ zq}^##gu{jb>1V&6t{G-TwVLK3eiN-;*%XWL7Pm zCG$mT+(KJ*e`qhdYXRC}GpIz$ix+dV^+J}!;_adntxYUdsar$0=G=sXDgXk3Di4@&AHSi`B-&1sr-C<+YPFGiG)bFH)}B1zfB+t=c&{f9;3ZENqrW zOM-bDCZjkU4|TnO{%@$#g9w4&KVMd2e{8gcgv5Rx`+~{zOHU`p8M-89WBahD+=P%! zWNihp>9snXuMJ{cT3R9(rZl1zY+~pm-oZo;0BVW z9{Z}f(i#*1r1dWIky`BU+XC~oc3E=+ezw+MGCCPFYM|Mcqd(RrVi%cg{P-LGoVgd_ zI^4suOqWp(Q5Qh?T7S{O>)S2U%QSDB4b^-cLU)$7 zfqsy$83Z%BUw)|RVY83=!!NN)TZoh67@lQ#A$%n~N25B^1+H@B4t$E?LucseiZx#w zlh)fAJe5y;1bp&hxg2RY+Tn*iHR()Av_>Z=c=rWw zL>-3z`rn=$ULB?Bni8h_Qk$fr8xV#Mg}h?KL+CP0ca_)oRXL7~l}{eU%yYXRMy1&z z8tv4Y@;q!erlP9~j{G;~Ol8fSQ#o~I z)#@m~@O7Easl0*v&8xrk^%nOC+Em!PEP+8@>g$nQ=bwaRBL14uQzEmt9nPsB-Ln5y zD8U+G3HdSn-;H)ua|s#pLUwKOQN)f+u6OH@$s>0ecqTJ=4aKRVtnP@5+i%apRm6lI zV^Q;)EoNA+R)4=cX1lXZ>=6^puW_i88~}() z7}`Jg$No{hYd=uMI{$mi|LH%(kMbV4s=W?Mm1(r>QiZrCm2h(G(UJ-Yg`dgs3Ag5Mp!%I_^pNRKmh5AUj*)E^ksh?^(c zL8kxL+;p)zcoBv7(;?GDU!N5fC!H_PzyAIp0Gg}EF}nx%&KHdeG10=4+Ca%EiS@3+G%?5x5dw;hnQ6Up4#@JorgXw>7Hto4fWNio6*%6!s$PnaIxEjV&p?Wp9~Q|PlJ@3#CE5u z5F=Xe1#bh<=hHF|$@2&^RD5I7bYaF0p}jmz!TKsrf9fGv=WeiL07Qd<(B=2#gSgGI z!JZ|LZECBZ)0)nzkceH35H)>M&zP#;*9o4phPYnweju%*4&3Vbhaxv+mSlD$H;Mdl z>YYj7bHIY9aI33lk($QftH0?g9q``X|7R=)F6F2C0*bG%)=N+0=iYcx{kI(3$M_MU zMB_yq(ffC4=M@R1dJHZTC2dcD`Zs`=9cnnUJ#qf|u}T2P47j%zCWqR$*D-*92NyvS z-^o_77aoXj2-?`H2t~>u#vWZSeL=^8^Rd$}SWJ6&I7id|sn71?X{4{g^W&^$Sz$o5 z!_c4g^|zOWO_M0e@`H!3UT5&QO=#(iI`2oBz4VFhT3T9~O6{SGi;E7To(HOVw4^s! z2?LEHjq+JjE`Y=rna*4P4oM^mupMzan3$--K*zY*^Dqs5f9s}`4Dx4;xUQPJr_Kf^ zB9gM#oyT^m+N>{TaZxwv`iLmwZr;EI-uDDuR+eX=Ez}eOJ<@)9>+E)Q47Ezcpjkn4 zRbiE|(z2n_74X*W1tz{lys2SO(T-{!lS2C>c1*LwBYYgpHK zL&J&87y3`cohIs`f{FJFgE@qgJ9V1v$LimWlW#ifg$2x$iV8fF6b-|F@6|d~Yhs%` zW0?LWcXoSv=>Hnu$nGzR@-aNu;^rd!;htE-FL7yKbKis0?U(H>%y)bSC9l?XG_ULX z`Khv<46p)ac2Dj&zO~-XS6ae$65geKT@){gSwO}{!D~~s`cEe;tyObHSbMccDk!!BP?Hsr?jcyF3ICf6#H;T2Z$q{ZB0V0)JS0O)v zdq~O#7GAHs!g*c_jDKJ)f*1Hc~T%{##nA3`{0QuQSJQfm^w{|QJP z$<*cTnh!vt?*n?9|8Q--_-(6XKrBU@sxzC-m!#cB9bOu zA!tWN_`@vcc|!u^Sk*`!61~7!sj&G+?R-yDHxJol$^$&3aw6n5c2X50!yGUMxJDmU zb)GpF!?~cuQeXe!^MsvFKW5OAiJ@XU&>QVTquo?(`flLp$8F^|9;K8a z?P4q_%+XRkQ-FqbZ*e38OMUsw2gPpeST$$`rtxxPi8o#*1XIsOQ}mrgb_II?VTy)} z)mCXT9m@`@Gfj>{>d{wl2kjUlyVlArySwFRCxV}LPNnEvMLs!_mO;Xu8w|Jcn}*YL zS@2x$hl1Yqe<|~8BhEKwnrDCb9Bp4ci05PKN6Ful^95_)!a2 zPTlQI$^3O@=mARSY!}Xr{+(@B?Ml%HG~Ukb7wG<^)uHWW!snybJ)R6Y=K4mPVO}lv z3ID_^L1c4ftmzG-Uhqqz7AhIVu!H8bZQx9#vWxm$&T_xAm(rr8X2b6a;5GQKd38Kz z>j7G)vlATYH@Cddi$|N>p8WFjpJD+0^TLXX@> zGpTkF>^XgL$Dj+tq)lnqT~L`wa(=zQ(lsw>yywzQM7NKJqU2pooJdIcoUgW}8`fLe zv{tRn{iltuF|KBLP32qy{BwUCKD;#_9X%_4h?eKut*$CN_h?9jGVH&jISlr$D330F zfe~jZ=~7NpNs`i3$a4g+8+R={&K>A32JlR@0XIpQdNj z(T~zOBdJp!EuM@G-_zf1pt2hbEpHiw0#x*!$>4e{+*HAWf858w+6F<-&~n$i`-%0; zFG_1jwWUoYqo+nK0p4YS4Nc6SeLndnPN|LM3vJvfvD;+KZoiJ>4#{kOYB2oHnyP;! z^*Q3+gpt8lg>DDSF1}WRX&`}r+?)MWn*^KbQ+&SEgCnTCd}vILGBxdH819xoYRlF( zcj(7CAz=p98B&j$;%q_I0Rj5k{>P!{j)?FA!L*Uo)eX}okgWi__pn-Hq- z)4ke-1@g2+o<08T1-KN3fa${3RaPfc;-79Kyx$DO7Oy|Kd{@k}+}Ybpe*&nazGhQ| zoPz9viAhL&Y+#({QF0Y~u|SjXptERdKxoUP;+0=cD?iLvDy>U z)!X@Yef$jI(4f?!8cd+QvX$Vr)kRIV0!ss9prSywncp~qHu*U2l#5#oL20KZwH^JM zPW(xr{9XE?nMMlktPq=-(jOE^WPcx{KVl0!YfKe~Vf+ht`~5Ve)Cx>5CY}o32AmEq zXv_bQT{SfafgJoRCKz77eBAsHnE|20j<)X}e}e*y5-P``*B*b`)a~WIw5#G{ooIFx z42~+d9(e}}PrnLD%Q`S65cuW8V4t@yun^8LOg>G_r6;BPM7>4aw5Yz;coVhwek$rJ z3vWH__lJDGCxjIbLQ-_owk%kgu=G;Ej&Ne-RQd(wB`9A~EkVNOw#8myI;F*?Kc5!a z4;g^|`Tg4yyR~9>y1E`#&zE*Cpm!s9*|SQ;u`!arFZeo9);PrhcQiZ7)s^}2>NCNW zpX>_A=64%hu}HGYft7;XOR=wg{juDFMOj-#u|St~-n5HLUlV{~RkMn>u(`|a+I%&jri^07Cr_i|EvT};ur`wQYg zV!`L_W{zmHj!U4b3>E&%M9%33F*gJYQP!byR(jD*J}wWRRe8<99J!bZ+4~-0M0A7#FhuyGXQixR%WT$>b?iFpJ5`y6)1CFdxxw9amLPHY2zqlRMG4ijCC%(+cg z3Xh`;oJq-sZXAR?Kjt}sORHkrHmEB7ytv>9K#U=TNSO)ZofbdYYU;N-s7HgD*GX$M z)Q^f)Q*?04n;C_ym^U?F%=npUbq|&K!Lg7|SZ(&74{}pr9_I|f=^~d}y*n_n++9un zzG<=OG+Bv4z^y-_kN4xM-`VDSOOv3W*=n_g$=2fQr^}x~I8t^K>=ywQ}wjrE4u{y|X&KShd!NZ$g5tTc{w*f3wDpXpH~IAadCg|pb9H&&wRNN7&DhvN)Mt!1KLY^8LP0|55+U)1DE&iplg z7Z2Hw1oq0fv=~j`i4@KY%=d5?WOt*!Ld&coNw$#8!tY+<=f$-D=^vSR$k2Yy35-C3 znhe9_-jDh7B%4g)`&&0j&itD2Ghim$?*i6cXgwIy>4<6DpUYpC9JP;&Ko|}i@ijA&H}HmXH>WlKOia*ps!%qeU_>1DeFk;CuG-!8E!%2fL|Ym?Av1{R|L*9?~-$ zxTSX}5G#3TJtB^hUoVtWy)pV~#7q${Rnz#Yrfq+1=5qVOBn+Vmz8m>$_YVp22etTq zH>uV$*i@}Gx9RCe8Chvf zHt<7hs|?*{=RWBQlOBG3cEA>oqWsg{5nAm!)p z*le%~;~Ne-`dSxm8)S{`VV0Nf`?qh+UQb?<72cJ`_Ohdr+;%!|G;XnOgcRdUmB#qd zO$_QjRxQ4AfYRnUCfeJO+}3isv?X4nbOE4u(f?*p7dkgk3DSDxmpJNz#1XM=_4W1= zlSW;%EF5#h^O&Ew$r;kQvPgXsXDL+F%otrTDr{x=u=L$udd}Trzhu$|?uQGDOF?1B z_cIm$p%RN66FewBgt634cP8&X=filBwbGJ`Rrv9ms*jd#cC{&Qm~zO1x`svuLNg%0 z%=u_Gnv(k#6I^x}Gy$4-5~)1&TmL$W!;FMK_Gc40@}ism)h&B>*MC(eE&^iE^%^e~ zzPh?<5O95ltTr~k(#0REHtr1|ds zpXX_k-eJO)M*n@05DwSG`&9ycDJeH?lxsfIPt^f%^XfJ5)Ch!n#zXLTQh~@0#s_eQ zdjL*IGE#4ctMGXf8HtpP(lM0)T+~-W;Q^B$nCzNDikpq3bWU>j!aTk`9O*N1W}x9x zyG|5_IT`4k{lmF&E{nT=7GR%v3-R{V-CSEf>!I$6fXJokcrv0mB6DlPd9MjSOs*)N z{@I6%$cP5+o)`DoY$Aswgo9RW#x|bI}!N%mAat(4(fv9GMkKjYze^{e{2^OohgH_E57YK~_)_*MO{%NXq%Acz? zgUqN1M<&}$=Hz64`v{`5rnzC$XJn(^)m)!q{P?;2%zy|97-z?z_y>MN?H5m1N{NkGVYp3v$Mdmw0E@ceIzNJMJNix5 zX;>431@%FFV|BUO%j2wM%(OIHxiD;m9K7btz5H+tn14p#^Sw!K}v;BsRJ@*d=yF{S5)e_(X?Eo#T)t6Wvfb2?zBQ_>Z1s*!Z zm~`eIAyaQ<8`T=$`RcBo#RGHMT)y-XtA1>WTd!B|A$%_*sBQ0t& z&ID!RXFq3WXYbyITk;w&>Kko>0_Nx9yi3pOj*0IS;~ImSC;BL}oLmIP(#}4oToJyg z{d0rmzr-?$$p!|;Ea_ey8pTkiC|*Bl675$*oAUtlr@=Faxu@f`Amgr!o1|_-`tjl5 zy%(FaQ{$$mZ!keplzP%vj>1XN2(7CwpCc*vV%SVTFoCKr^4%=mKHw@QETzr#28wIZ=y7#oxzJD zHOp=~MZbCkL|I-ZeN3!cRx=FdQtpbOc^(o7TvssruX=vSjJuKI7JcV;S@0%xKG4&@ zk3-mFd2GZ#G~!gMJA-lyGnP1jG&{>(P~dTd137rOU{n}3-9nMY$sgkbUlDOP6{G18dIcS2G^x4{=Q3|E!5 z{1GCFUBIot4y_XmLpN_QFLL6B@ehv7-kQCfsmLrML_r^^>N$>j2z1nn?- zh}!kFMbKLR9>GewOu9_N%IsNTW>V%;^zk`aUGnil70y`Gu1e>I3yBQyazBy$XLECN z%z^ya_a_T4m)P-TU8V7o!!Dag`gkc%9osMy`HD-zL(A!uRU}U&@~J?>F|Hndi&6?N zQf5=bz&r?<2l)aHT^=9Xd1c9!Y1k<>)lkZ>rSr6-WkG4MGdpsrufIM+RsA=%5wkO? zSj`#zm4*+)_=M;^td|F7XR{}2KT-y*`C(aOk$yYDZ!s}7oO4q>a%l3B&pOKaP}S*A z3qFBKrTa-Yxl}bgX|{mxs8O+>UozP$OB}^`boS(k)UNY-9gBmtSZeXLJH&0Jmjpdq z+h1j)Gm=*}U^eHuRPA_DjM#GpbR}VYW6}cM@{Rg|$kki#x2u4GF9B$AE>UO47AIY# z%}rZgtsrPV3-3zq7hb5E^34R=f<)>Wf$iNq@zkFoIPUp|9apaJVw)K=y(7ut5?WJs zUy2=R+^fNYi|?`LZ0yD1x=YDs18$smV)Lp2Z?W_7TseyhScXPx(U}yNVN{+K9 z(lW2NO>m@CMI?|3L@1@^=`TsNVT5KoH1h=tl@P6ah1E$$u3h1cc!vo|Wu*2{t$B36 zS{~#Oz1UFf1ES8UQ`I6LwD;+wkGi|Id|`woQdl|k9jW+;Dnmgf)Fq_uW&5aU*0yP- z?kb!A>Gg>td!^m?egLJz_=Mxbsd{k|UNC_OaWU zUM-I*(kl`aDk5}AiUcpzeDVnJnEEly!tjH!90pT6sJg6f|LRRNv#Ls~qA2&_F5s}w z0(HSg)9vTr@?D?g>ux58axi30_R+${05pi4~JTIarcE{V72k=mFTu6FrkPK3qf zcE50^iR@&}pC(RGI>@7hsRwmYSS;&m!INigz{JJgjU*1)wOcG3?ZH1di1Uvmjz(LO zj2W-e!T|bMF-ZSA+_w6io2oCJU;J%P!`DR33^u-1p@K|;d#XJK>GrD zFwH@S?=c;FRGkz4yvK#qfZfHIZpd>-;^Plfh5_?O9}~$^9-io_&fr@kqAF2f-p?*O zf2CgA6OG&3ZGE8Q(&CC75#WQ&T_3jyOTVGqvK0K;C`G-*{LzKfPUsjI`Eu_?PKf5} z1gqtM%#;oBk%Qt^l7ry(6(86m&}}=?bQ{^15?o&ygg!XtFB-*gw=z`h;Aq)PUf$Ci zDUA<32YMqWjWJfreJA_6+}d)w#{`0KpK6#dVrqK1tIKKBtRC$G%=y=c287nZk4rWy zf<%OWHMFX{AUYEDflb~l>xRM0+?3ia<+(8W7CZ}!cEcUR*sTD>-L84HR0O@`x;OX3 zOd&x4L*-YB?~7*}@u*c-Cl715wJ6eC8Ls{nifdiOO#RdLSfeVslPYOC{yL1tmuhA>LVC ze2W^8{#}9U&&-aI&h-pZ(7<`u*thx%Ec(1%C-ZI8G^Q8z>l~b#unt4x`zVYZY#prX z&}=q*<9Bx5k9>1n;REsIFHQITYH-e}$5@NsL1fpT{&KiurRZcgTq>nXeBkpgv7W63 zTtWd6`Hh!~ zv?IQHF(Z^&&an^}LFHB;yCQqxxBRnZP#2t0O+ji0oAewjSH@klW=TCK-355Ylzx1F?#3!rd#DeaB|2*VhSkv|FobfrhmJgey3fsG55+ zZOskhLz?p%1cM5_+Gy~QO7cm-esT=7v%#@oQK$?i%p{&JWn!N4UZI_<(NA{LDL2 zy-5M}Z4i?)l?iFE%&QYHdM4+(kHg8oG)h*CF5|pviwY0%f%_=yXa}x(8hC=oBxH~n z79S=&Ji1zHC~KfQm%6#tN3(@Sp3cZD-wO^g*T(f$B4KxMx<6vgv#K!;Xa@oXFus_rnV)nrf+uL0 zw1*1TFLVxmz`5^o>E1b#bpS)C3H5T{LGDTl>B@@3eFQet#50qoSJ zXs2w`_XC6jwP9sAC#q^a89OfE(!yH!`(zAbFWbaS=LIyKHv1adIg73F#_$ea+jl>x z5e)ETP{fq9HUMHjo}V~X(l=11qk9;};qrf1nUE7VFj8L&n#q}ZE~g?sH_!M{boAD2 z%V(YO+2L=&dx=N)fTvv1iK?BE^t*q)4tOY}A!$DPx-)3`>UpxRaHbYDmc#53qIiS$ zt-(m=7emxcFke7mso+!iuu+@$i)Gf{&m-vw0!~KYhiATr=j5%!v0>X%l6ZMS?N{ow z!1~RwVNC36{WXW-W`n`pH_yYF8zigZrV4(gKBm44vD?TViS`KV z*PfzlF%AaDag#B#?9T6BnyHYmd(dO~fJ z_qxcda z4&~7S3#VSl-*u7z{oGK>{a43+MlGO7=_7B6zAJ8%Vff<*V#o5(ld&GrLH{%CczA57 z2gzzGT*RTM&ie*p?Xm_}h4U!1O@JPjV*}3N2(Xzx%YG!b%c{0D+ zkG(*5o)umor!`6^h!N5pv+}mlxxYlp!B6VJdcnE(!}l>if4&b1B7%&AJ-WR%7IbjB z9w*EEs<0RMUfegvL!+rzpElwb53?`S1}lpMsdIgg^9-m5`_e>e!Mmr#z|wRHmXkAL zrB~p=Ffwk&IGm%ImD%7xlTjexW_E!S#&`Jid41?<;y@7b4|aFdJyx_pLhv8agO%<) zc`B$ItE+@Urig<}Y%5H+-w!z&Gs{V&{-VC(;bF#y-#L(|e=;g8ui-R&7dyo7ooK1) zy}LJWNIgF!(*r^g{88xykS`ci-|x=aTC-uEG-p=ns<|2Tow!^ zZoxY+zdOd=TLF?4Et{oGA_bgNe-Tkl!3ma9jS!L+a;t7yy}iIj5fHis1p3g!9x+p_ zGLCcQ5A5#x#8$5tHO9?G8#A5_R3+f;&>C-M9#8vPem1HHa~Bt+ zI*)*m-deQLKv#xoWUR|gZLGV|*m}la+t&y0HkZsRE0^sG;!v=WC_Yl*OeL>gc1Dd! zJ=VgpjC+Szd5t983F|32Q-2{Wu(ogI&tH~+g*6zIX^l23^c_#8L!Xe>(C3ZyH;fy! zgXTpwJ;M9nDpi4!>Irm@@C52D8JhVoNN`bev^DrvGFI4C8L?96!}{m!a4U&mmi-sh zWIh;NmjT0k{)-_QTmlnm!oN2a(G&!=K?c6gCDI8u$;wf&GP8*iRxID})hiN!Bm?tc z#oE?4m6DRhh6`lXn<4eg&vPfE)?W5l$xQjC0Sp`xPQY2rH@pC?n>5p*lCKbEUQ1ko zz5hV(7Wjik7X0zvypLxNLk<3w?y=T3X$?$uAn!4el$d zAdgc2`Hn;#^d3-b(82Y_4sK^xa2CD4Whdh)e5EhWW3{Q&EAk-b_(rTd*3vNjOFG)! zlv!$Yz5?KIGUiO|*w|g!LLodG&gK=b@O^n4{qvd2QFLC{VWHB=*w}bYh@YRoU`4~L z2D4r7XGGs2)^*fPEQGOp8@oby=0|pRfWDd8CRc`$w;oG~^vzG<0EzrH{SNn&V+RH&+~agRH4Mm? zob|REf4V?#cWW3360X~Jh<|GLgMvAdz#=6dWWrKFWc43A5sJZ>_KGDBPWi^gN9r^i zziPua!4B2>Qm6wNC?B8S=Xaquzr4T5r8p*gfmhOXK>BY6t`V-3>ALTSnr4NsV+5Ee zoeTi(BDzKwDp@603-k3c>sMV{4Oz2GdWU?jLWC z`_9jO|KL<5YS~Qr2M)ySy*&n85s%1aaCQk8)yEw71gzeQRs{1D(V5o|rMB_8)B+Fl z4GzvG&@Pv7a>MC@8Rl;&lhJ+XvjiFSsLd5;PEOIYZD$<^;i!qoUv1>_RywL7_ZO$x zC#$4977-f$I2PlZ(!W3Kqz1egv^(7WUC^2!UzGnzd4}i9KA~~~D-Bg(EAa189iKMB zahA1W)(Co(!Ln>?!A~~_T%A85&496ZBZZJ^R9YPwU4Q-{+FW4y>JHpA#dh3I9AwZfgzH`l6PZjzWtNmF}C7-NsdNLMSN-ymI)x6!G62pcpA zp}>fa_}^IEQ|&nVw9P|`{d*G46g*`jYU-Q&6D&j8s9p^BW5zNpY|n|cfiqK6Y!{*L zumi**j!^_J=QdiRjdC5$qIY>Ez=-arSbe_R(;^w16*gr}=Of`NYbNX3z-x7cSpXS_ zqv!RK^_0Y|Z}^Z+a;fOvL8LtAvu$4?7UEcIhEt3Sxr*4uNE#x`qEa@5(4n^|wBr+b z0HP@V2Rs;w!NbX%?MfXG{_hCF(`7T`u;8DdwKT93)YU*+uZt2zS>^@h$%M7H*%HrY zlXr=eiNTIn1WS}U8DfINF4b5O6c4xTg><8)CPA$mb+RiqXAYSuKW+P$PaW5r zpoXRf&#Cl@_&8KQ8c5vzR@Ek*J0o4%s%QU1^{Q?Wd z+BFXT{Fr5AN)4dzhIh}uOIrPua=T5`EE@$jq3Z1BjINsVgY8kkxDmhmBgn%DEBp-U z^6p2zAGgWmcvy3CB)YhIuV$$LmtyPg#xH@%Y9ytGjF>nS{LCY>hPT-sVgnTaJ3Lv- zbi1sDVi3sruMOXBi5`7kUCZgrIVPO1axxI4ST`9aM*Wcv22 z2^A|ghI!@r^zl>!N-=Ri`0QVwFpQ89bEP{}-XGoN<5O(oSUejWvr2N)7vaVziFSRp zel|J){1(+R;!Kr8SYT#%1K;f4_n=bCPzbqhEFu0a-@L!L!}5Q7Q9g8D=${gCQtCNM zy8G}?$a)9K#Vvqhfp0JV=D2Ijq;b6St+e>@S}1hx5)RVl^Y%Y>|H;-%G#Pc^%?5mu zS%Gwqchc~3yjB~s(-o(7qEvNZ!~Z9izWU&?fDvS()byX1 z_N^jf)l$6t=lhc=F&je+OP9CDB)|1N8ED2BX>~~O^LttBR?}b0kJPgl1Umy)yjdXX zctz*FzJRvxKGe4LZEA^Oc^EZi2aO{ZOjAX@ausAOEG!yKT)8>-sxQ%bet~b>ca-N; zaP8C?>15w4JHO@;W^qVnG-Lx?Mu-ap}nt&HAC_>lj9KAUkhAVfDji#V@aTSVlJ&l+lGf}4)y0) zh$2B=nL0zRWm>EeWJlABD?p=*3o8g??uhu72YGmL`}%ESsqWWe^Br)u@8>&wmh;#- za+c#~(^~xUe^C9kq&6ABjCy){>u~G$tmA7`Trqs*Vc*L( z=!?UOS?Ed`UBTqm!gj+FOk2vK-|5aZErh=4HakW?(!BS%>pIS1>6F4)Yn>3!IQ*}Nlno6j>lmDTwBV;Oq_z*6=V#%~ z-7%_y2peInT}QlrQFv%265HM+YlvmNoEgOHZbOsQ+<)505H@ysSt}~7pH?tPSoOZ( zOTwolStK3g2zvlz5q+DOT3(4$`(>reN&6?-MJ9QFZ4XD~-t|N8zUG)>%Mr-buMkcR3rf7~>U(KP++#?wH5#0scYl$ngG`=)YCQTV- zqj+BR_N8GX+hdr(#r*M4segMNn#>Wt3cER8=o0fh_)BJ^4T^ax;6&}H;r+JU5&Kl) zG|x!>|Ah%$sO@a;sZ0FmNMkwVB8~Uk_6|%J`NzPg5zcKYjcsL}vGESPRSRKADeGO98<$ zE-EF@Ov6Lm=g2?(SlQ8~1!t?g=tg=rd&r`75FgL-@{g0PlHGmbwFm=A;+38x&)Ib* zl2(54n2#p&Cj?I+$EGDBp%*F$wLbwg+6<#SWLnA$(*>v}3Q4npH!W+RE|Hj3NVzT-RrpK_6Z%q`6tZtfRZX<#~D4b33z?7h~zu|gOlBd zSziRRQ;+&SVGTF2wX`6G$de53y0c9;@-Ov~h3~BcxfId z_icy>*CJoC>VNO$`wF~}(SN$Lq5njxb=5mT-bk_by(G^@(BufMLQO(V+&iPp6@Kph zNCy%+{w(AF;@wU>ABjS8FxNY>4vNxchl=5Sj$cp|Elqc4U!=Fbx6k+A^nX1X{Ua5E zyzVW78&FFX)zoAdw6rv4PpZAlVf>ks+J?jz9jHmvM+CvD|A5eh;Wv)1oy}vaS0Arz zGx{l^sjAmbIAnF#e@8FcU6qBLA)xH6JhavH_81^5Wa z2;``rR_4uaFaVFgZ#VPFUms*MC2lsKr=3ic-@88=e3ykqk^gWku?#vM7f58uS$t2s&x(TF;&=Trat~5!AV^%?baiU+YG<8UR z8a;hgLxq0~u}`ISN9R`BFl&QMZ(_k^u!$YdF2ApnUI6AWpvEPwO^3@9Z#UG$?i^#JDR*J3rQfaB7V0fbY-PdqR=hKwAd&EmdmTuj^a ziTfUk02}}xpg*cQ=Nc+3pTQyq{ULxKs)lffpmAa}4~OKewg}IzYbSSkT;Q7jQ-82a zv%Adu32GLnVDIK+kwCUu?dB3UAEK1TbA%5!R4XE`vpkZ0DeUDJqcbGDhwUB&6F^I=j;(H z{RbfuQZ8A^9JAQ-nPZQQ9Y4Wn#kEfOfhzahpP?c-bPL+w;c_&(wSsc-f>*VSLnbA? z&q{5hXtKlcDZD%uvIU8(h>76%Ak&^s`_v-)CM6{EZkn)^MDC>5wyVzeXVb61cxW0O z3pj8`A6-Jz2o^es<}gb4Ds7Mz2FH2ZKRK)%toPY7U6XGNe=x|Vs61dV_?!}@BNja1 z5!@%a=+w-l1m%7a?`5apvsG0xHm|QEAL5btLecpgzZqVjfb1%i8uNU)WTQA8o%?*U zjOAeRxzxW7lHJE^NGeUWbU*y?r{xd12Ee33^?jiv^=(GS7`<7)@#H|i>(!FY->?EN z;JndbiV24_mS({b35xUfS92eQ`u48bcJRUF>{I1Mfz?pMqog0+{|8 zI(>Cr#^h?>hPZT91xc*8r4s;N?N={ut_u+@;JYK7+8^jtZma z(1@yiR@msh$yDe-QcwU=9iLe30L1Pj6yICNd;HVCUH6bh$3F>RpjhjQ4NTqRyx*xF zV6foy8YEgsmPS*6U%*_wM39Pzly~u}akqp%bl}7+827aZJrFu9_{JwVHwmi zpt-UZ^Lp%!V`|+nXo=sv{DNk{Q>5nv4x^v0;0V+368P<97Nh0{`_s9cshDCKoT+;L zz|>Jf()b55ZqG;PI}(+X0&e>5D!X25iL(VD27Z4(jc4(fEp&$>QpTgdDHY}#g^sWt zyLl5VmQtXt1xQh=Q9e7>%OdJCdC~nO7o!`!9W?QZ{0g&gksRSK1uZ4XSeDa_#I=Uu zv*$2;M=~Q0DX}EkA==rocOFOd3qwM`&HLY5G3|d&Mi3U>9m|FTw<6+3_lu9B(5|ZO*HjNs&n zU0Ff}B?Eb#d%}>X?4&Z*A)99pcWZvoxBpZpS17e&0!N_G-kTEgJG=i!(^Uq=(R5v$ z0KozTf@`qg?ry=|J-E9w5C|^8g1hVD4vV`>a0~A4_U-dleSfyLwq|y_X1n|LIrrRq z+%1~Y_;gllTI@m_ZC~ANz7IFrRRRGF)z1@E1f0LN?2Zd@t^Wv9!{DNPH28w^Sf8<0 zr+zzjaVr{+OZx zu;6IEZ_OEb<(4Ly!V)+C0GZ~xIm;lmkJ^%M3O>PX$2&v#@#q}4A7jhGZ)hO^42i7x zvN$+b8O0(`r7mW#r!YzFt-g3rlMUQlFL9S(>CG!_jZ#wWd66vGe;UFeOJxVBh!ATRuad%H2Kc z>~>>bJ=f&!l(p!S7^ToRt-5upOP1Mfe$qV*p(Gdg)V+^SFwPaIa=&Hn;!8$Z4^=r4A+59JI%6Mv*d(P% zNB!14hQmo@P&14M&_!d?Cu<#ZT0YQUlndD}PmzdJS+CBOLJk}$|Lp`Aqf434gm63X zYSjB*K5^YM5z9i%cb8v62sie35KYYmN(b>*JXmIhSZNbyXm`O7u zh?EwqoX}6+`MLeb|7)JqK^{>DUQtvYV{Jg@QZyZH?_1egO zv~jJp=GK{p4uuAkG@MFAw|O&&(W zAFYUJ5r5bt_juyQu(`=`ku&#|Mz6llmAp2(Q3l*s}V4yKz(5(@1I% zh~N6R#Kak^NVmlQHi&F=^Ian*X5H|2!G|~ip55_0+e`71CN?oyB)X0-N8mIR`oK|t z`hKJ{S%BlIH1?eJtQD`YNK9oCD{K&=8NwCKVsTQH zSYzNF1ipM|{usAi0RzInl8ABaCM500;Mo8@#h>D%V?T_*A0p`OQp(aQYBM{mt^9lSN@amNJiE;$Vz| zasLQX&y22n<_hnK{36h=E)p(iTQjD|cnn1u2sYMLDk#>6Z4~~|ED9Z5W;BFIlc1C~ zxI#VOdyP*EcS0Kkj$CqLL9GAK3*4eJ>}*T3`l2&hLTxG zH%UtTK!6o_-fhE1-M2E3TZT#52AOv{6OlXau9vd^a1E%HC0)hhpDZU9!08IwFLg2G zY0{kwp@waC%sr5$29%21E{Ac{Y`a8mw}o)Tez+&L6GCC8;3`#efdoW;zEgcdJ2w<( z{ZaZQDFs6b^>0&UT1mX8Ps(Gz3>^AqjmUtq~&oT<9ej>T%Fpl!}o3U^pA(Z zI(iq@9rlJZBs&cMsJmi^K;;>Yve4yT&&Q=(R}OVW1%5QsyL*5%>}2xad6Lq}DIyo! zC*f;4e{dPBTjx2=4A)Jwl^GCWtS}(=y*drjquy?=q!^>akcq7`0|p-U##cHWyWtIR zGjh9vu`gC^YJ06-0tF&)t-(ziy9<%zEDGk9WI}TMbY3 z@-ASyw@KXMxebnSfwnIsqm3;%0224U8omkWhSPpF0oP7LV44K3v`+r~(N%^vKav!2 z<<5?7=#6{l5GI&xzKYlg^W34{K+VUlR|Jzn(L{QVW_DlVz z?}L;fK!eQ-h^d>V;c`#gOSRb#BT^eqA5CkJ{2qJg=@BLGkDJ@$;u2zb+}xSubv;O~3`xj3-yA1b517Et-ol?&>Pd?FP>R*RswWkU!2Bd|y5Px$SH>+O2VO?q<}{ zb3NS{PA<=1#6C+Hcx#M!Se-I` ztM7be$PkBcRhQO(7?TKI4|EYc>)e4-71rg4%*5T$f#b44jkDf#Rdl-g^DzKx8KLe( z@)y7Y6DoF1XQ{0E`zvb)gQvoVXt@%TAkVt|)g9pI_Kei{I=XfEBW+()CFw#}?yA{w zA{n!&5Q$QXaIXvWR7!RgB`TF%Ih$!_2pN~=0fS@l8ZifAF;buOkba)dC+rrOy5FZ{ z#feBp*hPOX!I?U^Qk&Mvhj5)+bG%xcUx8m1ID(F8u(+#VLSW&|r5r8B&#(IniWz;% zie!Ew+|aN@=>`-Y-Giw@g3ELtV*I^t*gC)m0wexoG(DS((=1) z^M|Cu#M-3qownRrenUNBK8p=c8*om$N~9G1>}Z0+9$j+o|5C>F*8;sHroTHidih;u zbJ+WY$vDzml1=oMVl&^Z@YGHsDu_ESK8V+ z(UA~*G$i)gDNj%1(ACw_;)de(JS|a18BJ!0EdN9Hdn@o=wYgZ(0^kZ{GZ})XLA4GR z)Khc212dDbj6K4rOKMv2Y3b?yNin_e;9Qh_%0&iKpz_0NnOYJSM_2F3H^{bP*FY)m+%**Q+ z^|PD>cZYSbIped6kp;!cVMD*BC#w3xKIk=+YS(2@sH!Xjg@r|(hp7VT4dg<~iaNhi z4(YfM{*|y&F2eWV6&;lHlw?$T*xa@-SMQ81?kbez8ReB6A=~o*CE*#%>x;)H>a=k;8 zciD)%M^ri{JRRq90#0T3eWlcYZArfRCgGkR;vT>QKD#GnWw~YhKP)UjP^voRc#>^7 z@_k=B?e~-2PgxruU*&<0`}KyO^KF6=|FrAuD;Vk6eFfD$sdMo2?J)xPV2+{jTh>yE zLCFKunc~L=9p+{h7KnE@ou5v~j_-r25zi(oY0%sMTH?rL3WMOPUfY;Xg)*aU_}uqT zG=<@T&mV<%u0wvP+wMHq7yb5U^)nYgV1qT!>;s*nhNs7vOlx#G0Bj#gU~cp%$D;yj zw5Heml`sqJf`@v&(r~%v%?cF* zi8eY^UEo0@JCZ4sf$(k7|8W4UrUOx8UpWDvvO|r9i9HX8)+S%6`50Ap#bQLJL_AEV zZL9Z=Z^ZdMPVLX@e4l5uWO}>Xr|iO{Px&#H5%W{oDlFWMw5}L^eHU5Td6S}Ojv1hV1mgCTc5zNg8T7A z6cPT-hj(fK)XE!=UIAf3;H z{!rC_K{|wk>(De;<)8a&&e0Q})Vca=nu0G;b7^Td$grnsQ50utnuJC~W4Iegw z&K+ZyHQ3sU@Hw!H7c3wDZDgHzQDc&@9)9GPQEw*!8{cha3LmtQ824?!4}ZVhgMG(A zM;Uc%1aGsA(d=dv5&tq<$AEJ3L*`uHCPE(SG~3)jQig>Fz5AUQ1il&Q8QB?G9gvm2 zOQuAsgjIT)gEib{<&{Q*;S%sBC!%w9%JXi`@7ZW?*}ioM^7c(qe>HfYe46}TC4C27 ziS1`RG41lC?oF=>h4ymU1$t|EI*=0fO^FnRSw~LsV*Js8b=SxJGYBt~n{RX`FI=AlA`&mYCmZ@hLTa4*Nk|yVe;*m=|tM9Lz ztOcYBV~Oqj03WHPcMx6hOpRb5J*cCm3*glGSyGGb;De6>v3w31M}|MSx?a)D6fkRikrI|sv%<Pj#0G|||!lxtmFv2#kIZS|qDamhoa{_g|YJ7If^>UzvXJY1w{p)^l zv5LF~DVGpbJ|E3}4oE}#{wTQtwM#_s1G&~yKd$Kp3PLC**y5G6#LCp0u%@L0wq)K> z05iq9jzpr8b#S;3`GEw%HKJC?yzX4Fvd)Q>GjsvNO0H7!SmrL0OI)uDN%=k=W)Yx> z603OkF+Gw3)hsFYMzxXzlxo|&&j`Y+ZZuI9%>>9(CvOL0n{kSUE;$|y&LiM{hZWuL zM#I!-#(~H`?ZgvMx2!YQu)^cu_2yESL&y|drchi6$=(QEV`^7+x9*BH4DWxWql!^R z>rlmFeZ))_OKkRz@=M{Jz5|cXRsiolTKjeMAsK<%KmTIx^Kc`%y}y6?e=)&-W6-<% zck0UtJ7N#7iNj8Ua;%e}{D?eU#bN6ZkI09H$Oz>}>`bg0{cdDSoAk}OcJ~X*E6t}l zQe9!tU`Ep+#-nfnb57c+)3 zqPwD`8!Ai(!+kxO?M< zo$)UwDk`+)Vc~!ja#a;s+RSoG^LEp4&3L`kD)YF!A<4=a#iuFTZrrmui^H4OFKiYb zcATD$(`{Z}XU92zm!ieVw;?VC>(F{^78CqrpTcb?Cnl<9IXP)&A|Q4^2nm#r1lh_ElK+By6ECu(;;M?Nw@w*nh z@f<{7;{h6z-G__3TiSP@#CFie7(LPZWcXU(n_)c%&Rd4z9vgyOJr zI8o|qCFUJeSC8dNm>NV6r9-Pnq)%3>WNEr7rIloRwqDbRCngM}l&4ii z;#dQb7$0~(nyC;xmKPD0IC;hj+%4J(C-b=M{b+A}RTsiENTPLC5PVeZ@c(<9WtY0b z3kB30tawj{t17>&v!r6-WFr3rKJfq{6zS?}VKo`i&2aYr5@GyV=dm&5tP;

;{Ahp zFgqjAMfig9peb;te|Xc0)c$2M%Z79EAZF?C+)r#r9DH3MuCIl#Jx;WF*2EEo=A@CTe+sLl;u*-wxNXk4s^=&m(JxVW#dT+QFJ>i3oB;^Z+#o||Z5hj#DhkQJAw&KZwxSO$`Z zf|r%6Dt(u>JI|A$L4ywaQ8#qrr>k5KiLCJEPS8W=9{{#EVb0A|a^8kP$gV_&THkdpqr3F-$uMUy#yESlw;Kf4(a`|%V` zYQdaG_TbKlMVoT6KK95zbNK zu*lqD3+v2IiCGujfaY`J7TxQkALU^c~d)D1P+B`>duWw=x4ITX?KvWqFI{kEssBh&t7ksON%U8v+;ij zBb}3%$N0{iyr>T}Yssa=rCBrvGkp7YlA4jhKe>HLiGzy^hT(7Hx>tU*F-w^7D)eJh z7$PKBw;_G3+=$ z8kx_P1hYGbHiZ&MkjI(Q*ONcVKAK8`7n0(mWQCb9Q+a?d{7I135s_i5@kvSQ)FZo> zp2SOYoqpaD1$hOxjlWEl>3*}D>YDGIteja)3p8Dq@ab{AD{V!3-m7bArRcXfZNJeH zPg3cqbJ&+0eg5PXhV&*D=IkvdJVf^?DjA3w!scQJEn~*_y3}*~$pPlr1Y3bqD{YK{}*bB z7pgdiIOzK!gfA!gJK_wKC8`d5ZCDdytNaH0X8^`6q9Q6_y5z~?)&_cW5v@L=EI+xB zNmj7&uqLsBW@+>K5!u6w3k{D)lHN!bq8}pb@^juODwYy_op^k^7AoZ}KBDNaxS9Og z_VnPU^MYTDPZYoiA%pmEPQTbCw5P`Yd?Rp;9?XYb2R7!Xj!}gYlajC!z)N7@9kojx zfEsinOh^gFn_T}0zSW*hQ)Nn%X1ld{KkyUHRhsz^X<%YH94SkA=|NnpAc6vZXzp(m z6x-d2=Hp?jz%DmNrw!r^k$eCN6 zUof)suTYZui|}(viG6^b8@uwVYw^sJeMycM$1_7*Pi)s31_D2W%Ay>{iZalMK8DjH zbh-@5S2K}8^3`r?n#YFbVf-YD4p^jy1uc-y!P>zhQj%6J-u&@@+nS0P^uOyUV;ZOz zsg3@XR+{Lm7(n21f$Wq&f+%SON*Vq>$-|nHWyoQAIBQ?!km-hgJJXqS3ku_h#z6k1 z68J1mXEi8jmYNe`5t@BF++Et|;>C27D&*C9M<}_qm&M zbgr$!cG`GY^VsNEsnq2fWXa^P`HLARy<={~S`Pr+?(d=Xg11R@I4G1aD{97_? zmb}|GJF_QA9v6|=i+cyeHk2t}*_T1hV!!Jb!1ITit8 z=JnEOQc2T>d-0@Bl!+^AMMj}eqk79J|5}!QT*C$3xJ)&icM|>YuvSoXKt=Gtl@=hD8v@Ccz7V(e)7jJlC&}qH-zd&TMf)0xwI1t&)QHdal9bc_O zah63^>e%y!e|?ps^^<4IO*7_AdLuDjz|`jeW^|}&-c%_vjlif{?VrxIZZl=hBSOG# zl;%X)`joT#27%tRb=1{we_yAbY?Jy0dO(B7{#}%wPH&WZMo4fKi+d2}2VRTV5STXw)`)pXPMPw})b}n%Rmz1E!6jR@T!rteI z@v{N7Z+MU|JJoy@3T5%c;$FjeOg6kFLA%oo$PF2C@t;>lyL*SNVi#Y(Dj&d}`T~%1 zne6%a+lTEusP}R{?{?*f40&)*b~78rlhr~W7`!@CR-1bLz48=3bpWixgoo9x-7hH>jd~ z0Fla=xx3#jLhvs^EYDsF&>Y80P;6@5&IuF3w}=RP%Dfv4gXT_B6d$}fm4%}^aV$MX zKA3Xmn_?Ic#7rTifQpW8gG-#7A5X}VbnnEkzmIL(?W_ER z*Kg>3i37DRoO?&o^v4!_Vl0DAv}p1K0SP(nNaF2_Dt>aG-MiIj0O`TpON64;#oQG9 zK80Ump(aPPLA`|d3T7dp z)}Y;wf6egBoz#3b=UV?1`+vP)CVb|l)C?wjW}U*^Z2c9YOONNqBn`*-rEV~j2x~61 zY5-!6*8kEjh!7^T4M_hc?LJEgyLkKcpgteb7;qTS7kR^|axL4Az>?gdh>kbF4?a31 z&z)@7)O^7XS7S#DP0xUlZ@sWPPgnDkEq(n7-*vGtyakzEM_oab&s-y%}W6Y*LX~FU`t#im{^4^X?EM2m8F1>MAooD44H>a!98za&4b??n&audbS zPjy?oZ)?jS*U*S_oh?S#5#RlmNx}5vszF8Rn%nC5Fstn|(EUbit{Pc{QE9B!E4PW2 z!*o#%VE_PqYY9iiUhU$hAq=n2vrB$`-NY>7#67lJm#!K0;Wa7v;B#Z^t!1wv-aCk# zdHaO_=Mu9C@kMITEA40}Je!XFzV_H2b|JSAKaTJf!)8@*yr$`R@kHoSC=`R^pSLHd zI&BHfE?V;D#btA-ivq|`>N|wNv1r<6xcWBXnFCK#(wmq*$?Rb3#iIgutP2yu%I(V( z^qKP~_3iQQgp^+<3d%Es5chEP6z>iH$Dl8f ze_txURWGeeXCeY;koqNv$uBL%*;%ymwDS@t~@XMp~u{{)MbB@VN{Q+)<5!) z0&?X;0tC?fQcf@yM){){Qe7auRP5d~@b=2%wZv+^>cdnlT$$5`MV;ko!ZXiXNUz}v z^-#msA8T;F%-_*F7#(o!E10dyxZ&IMaSm=YkHMH)U$PQ58^lEc`1N zw0-Je=kmsQlK(NT{9ob1gZjHwSXAlYTMF5gr@$bvj^Wk)#PfCVFX*X;Y^FL3*ao2M zK^9S;jT<#$EDUXif{z2S!3H}ylvA~Hpym9mS9<1IoPSF=+)EfTW&6ZeALC??YYgqe z^mHfj)-A$T4P*WC$XHvlwiQ{#4I*0&+Fn(2?EJvgK9PoQ&}gUzP|m2mwB+y47)7dW z&e}3|xB?iRuzz$xZ&S~!R8$23>D=c{{6<6++_jQ7LYpDcZ^L5hhACQu0wH?p#W-1z zETMRuqbH<06zL2>a-*HLvf55-ZT+`At9|(Wh&ZAsR`fiLv3KY(!hY+RBF?lXnU6dy zPt6Ph3|y`It)nZ_=}3avlLmdYe7U76IkJheX8?x&o#?eA}4m}m>E?D31Q$ESBfy?#PA> zg~cO}HHGxja0XjPbDpCxDJ{>e1UD2CYhxY?-NvV*>4>E#0X97QOW7=$^?y=M!%ikt zaEb=(d@h$?IVr{G9oexRco$nEECu4{HAg+ z<|UqP*_JOkzW6&RYS3IZ&lRLoK)k{tH=GXQiV>gSCSgmSXNlMmkC&e5O0x6Lx>~fJ z9AQ|RtgNu<1iC@i5~-kbgx%o~yl&6I)>C0`^ApO^4|v6vUvG+hT}8~F_<%s})!0i0=_?M!t;6Nk7jck#8Jc5%Z+mU8&g%#*v^m!NGmztQ83 zT`+;z56-?hsG-kr+-^dT}3){Y?D+1m$J3ZEPpuP{5?TcooO3?pN806(Ac^vkcW2v>|P9=A)`Oab8K()WXTAzx;hk^x1z#{{9^ChLe)d z9?bz@M<@1mTqfY!Sec|+qcC$x*BwuSbU;;k>JO(DHog9s4l9dSxIO=@jb(^C{lODGd8 zcWm$(1`!)K0j8qWc(-HFgtvF$!END_7(`}OT3&mVK(bKzoM&e(qmvX$oJDsc+pQe0 zu&WNPe_no`Y%_Gno@h!)&h}^ln0Dx6{3lg-9hVAoY*#!pon7-!hVM+irVH4=9oR2> zxV;EHzqHl*1UR;vdC3k7Z! zX9ET?c%kNb+Z(J`aV4sdq55Yp2nkpAPTlN^cbN5ZgXVR zVJK@3|AcQ+9e<=S=tH@Ho=CVl2PJS7JO&a66rGx1+l%vuU!wAX_kT3i_}f_s1$|5v z@%7mQ4d*~aZ#lYA-55M@suYco;IRN|oiX1a@kBhZ4f}Xg?r&Ge)vG3SU>ugQqnT-3 z=fjKx;~O%><3Ha-RJBF5`Auxc87yDCP^$| zrP6=?676n~nP3Ls-_-2r?e^y@`g{2UEanss$*g)#VWc8t7z51A;uG*$oZ_85UT6rg z@F7DwgnOI!o0_k1sxu5B0mg*eH*fYAXhl)_rg2m9UFMrGMs;w7)iG!eYU{ypyPwn1 z9PSLVfY^(2Ft+O3G-jNVuKI?<-$@S>-m*|cN_HZb21ekcZ^2RI^Aus`*&k977>iH5 z?eDo^!QzyzNz#xu?Njt!bU&9e1EEIF7=O88h#|a(Cu<`*xSSV7L_|2s$RN?iU!m;9 zZ!^;W&ZmK1#}d1PRk}T0#InF`-d>L;us9!YIH$6JMl>FQ=h?l@3Zvzjg9@|a4$$^p z#GQq#G)^FpB4C?2*&S3vfEwqXf?saXRaz=;DtD@lK8;S);EroP8%}BDN=UR`&XQa_ z$s(Tdr;4dJ(VZZ;CBk^$BH{re!7^-fw7=^j7Tkzw7rQLQSh#T&^j+hy5Ov}Hz zHk}0=2ec>C&m!|&h=ZmvTMh2{9EkgvK-6r&bPC|&TFJ5JUez!G)EHY+ue89ppoKso z@-0f47NPd12SM#!dACEBnWO3V_VIzlI|7(KdA{XjOe7ANy~yB@uwd5G`G)nFRD*fU zy5_SH@G1HKh%C&lHAEJ1$+FZ1-Jq08#eAU~!TuN4Hz>=W2cvQ=569so#2mvoPMMWJ zULBNpA&Gha`S;D17nJLU<)+2!Q_xf>#G;zsPG9fwaoF;X(b{y|o%RUOJAtcbhe)#Gazl^9 z#Zb{)^OUDbj|bmQs|vj(=$tjC0<wqr9KJ=LHjH1j85xhn7& zjlJ)~9LGj0YG>KcY|b8Z$Vn0uxSzd=EcUCHaK{&A7U*@Qfs_rPT?m-R>_np79r18~ zwD3EgPie^?{Kr2)r2*&3&CH^pGXN(?w4Qv5c}DXrma?rWCDZL(l5X_5+CT7`a0hnZ zXnmjLNjQaRMxKxudzE?11G^Gv=$rFbfZr>9vTg{~=NIWy;dWd(>mO(DNz`6>ZEd1{ zr(o_If|>CDwD1Q5b)-$zTaK;FdyLF40cB#$IMk$6UX4s**2*!CY#_JG68vOJd=*4) z%6!{u#Bia$lZvw^BxFgdG<6rs%|+O zI%0xOE~(4@N~gA>hq>@x=dod|qP`D12tLnTT2eUIkAR2o3;A#|7J;fer&ZlGhA-QP zryGSIJ)Kg*QbdD)n=Eer`5UHeo))HuIp*)YJQ^678Mp!_+w9cmx3`ofv!zUiuG-O_ zM}Bm4pYxXcvD8$C^HsoS;@Np_CjT!C_?*2k!Nq#A^j8`0;P&O5_pvPik&;bP83Y*d zj1uM5_Nkwhxk1aQaF-qx`7Ot)G&ziKn>}L|Hx{PfEQNP?Ku32v%Z4Aq z+O?&gj{~*BcZeEx!d}@)XKDhZNJfMQ`KGMnV4zp;T2F=q$z*8n8iwjQdgp?l-Skr%)F~PdFWj^ejXlIO1hr zzTqVWpN@&GHF+k{_-OyU>g@7+1dgwo=IvG*4m$)qVFiixY`^kTTDB&tn_IN(i^t%U;rtGrBxxw&-mO(B^8g zN3n3cNO2w?SML!H5vNB9CbqYFWZ8N7UxWR`s0YzJ9bDD^ebc`m+{^3fYi0`E1SB&H z;|Zp+n15SGxySW04!!qM*%Ga1*TxTq0#$_Flf)Y$dohdrYK3Fx*NTOIyz*G=MoLYe zG+uh8D^Yy^p`h8owW%-Lxr5#m=c@W})#shY-WoMg-6y3RD$pZ^9)xEkqrI0Dl>VDXxKAOKy2mB9` zIH9lo-|CW?w${qMAE^0XQ2wC45hXbAA?HPQYxk%MJtEajwkHx11=sGR=3j->o4kL1 z{xV=Z4%dzd9a#ThmV_0sLd49V!m!y;Mmh1mlc4y75HwAly>$1{;mrGbJ4}*M)!|ed z>gf7#I{Qz#waP}P-{aLNQ|KUZ6%bC3c}jJAv`Qy(u2_c9fr{h~=8M;lT^}9rA>REb z@bn9Zrz{;B=IQ_hX5!~b*d0VwzDEez8!Ay@Z;!-(_!uI!&`tgoY%#u&11UJF_Of#V#iP zMpS0~JyM=OF9cVp8up}Z0M%{M&0ka+yfSTM-P0D|yUMU^e(B8OB5jVu-^YOsx zEli%G*?q8KGBd$%!e3qlkJEN>0wM)Cw#fGT16z-Z5LE2LpA~x~P?Oppw4M#?0C}Ac z|G5TPs=u^~B6`SZY2P1e>l1ri^JHW5#)@?;-qt_5!=zr8)1?EpmKQ&T^Od<5L~c?> z#_L7X>vfnK@w*`9eXcQYEWDHRD-E2qw1@9^#Y`wRKS{S@(m5C^r4veuk4S#g4#D@? zcesez(#iK^vpA3{3q*uWG300leMsy?pFukTeH||7)Xemn-j}$l4SVVKIJ*QS6Fm2vQMM73S-pk8vF2J zmt_pj(^E_u5&3w4PhLd6m>d$l4XSt|HQHJ0bOv{W;9lp%Rh6axYMsH zMN4FwgwqvRW4@pEA@PUGGcNe1{5kA9^@PynmTp#OA?sR-VF3zK6-3{6*U6;gl8wxE z4!yumBM4g|QMNP-`{`jtdv>z5b|er-way*sDmmeEMxPAIowUjp`Jb)4{_99R;RX|8 zA^Re-F9RZj|1H>GB;|}Obz2%srkB`I#$X^UzZXmKX7TQ3)`o48_t=w_t;w4| zOH$vC-}~mE67uZ0Hx6ApGn12(8Oc%0jQ(a^dGA-gwVoWeJ-0n6rs?xPcOL$l-B-60 zqTjA?(Oc0EYO29`7JC0Ob-8HTc5kRKc>E@TXmVXdFJWrcN(a0Cz9kifv=8* znj9$*_J;b;XPcjzx3_Sov7cmrxq>xsAK^|tFh|*0rpuo~;ZC;$g!)g9!g{Gn^G zRkC3gD2Fil@E;Is7G8cH^`pPh9#UuYHvs3Iz158Z(u`Yw-X*)9%8!O7|Lv2YkO(3u z(35sbl`~C5G9cUfpeHtplK6w&)4wmasH0TKL5CWT4 zCXo_Y9f-Z*X5Lz|KkrGcC5V&=WkWS4;~T@+R0Q1CE>%t~K9b@;XRT6~Eixa)J?v@X zJa-&*WWtqhp;?cZ(-j$LC92u1LBHTRl)HHUgvTmp(xGUygzV2Dm=-YWf5ZX=cZY}j zJGQ=0!s8NF%OU4W#jf*0Dj2RuM}U#Dns4;V_A};nr>S;+R5G>=cQ5&C9qm4sG5vg^ zez>>t>3x)nNk!~Ny5;Q}Vjg2@TTf2iKPz_LUPE=2^-#UqD_!e_{Sjwm-PFW?>{;fB zKr_{#Gf-?BZis(Nf*jy5bt_S8a;}Q3PxF^DeeV1hT{>B6+Do_p8NSC@(*ql@983CrwWK#x z?ue%L4R^ZXyNd$xT2swZmr+Ym{-mk36P1e-GADXaneT{kw(k25ccM;BS{gjQeH!PQ zx2lTCag7qm9upL& zs~#l=oSv~W`C_R=S%6HoVwbnlkG*mupc+^46xJlr^2={a1=p~}o=>^{J!E2BHUE2R2y`_datv zGGJ6trT47#T|og-|61N1uzX^Vz0n7hM}4Y=GOGDiu7ilt9@6{_>fNOuHYDR&JE?Ye zo)>SDNt}wP0g9D`xP%p@&RyQdj^rpJH`Q8W6paD3FMNPC zaGvu#yZ3%}h>8Eqe!Dkgkcg0y)1*4GFd<_N!|@;_u!HPrFL!!OPRnLsq8=w*VrmPE zYehQpt_wekUX10{qC*mn>@YoaC%V4sgjB6XDJ)$%&Rvf)pE%g7mJ^h1%NZtWN)~>Q zL;>kCey1b;W*FA$+qz3pV?`;IYm-(t#vL!i9||Q3B}^xUcv| z$46Jfgq2@c3sdsJpSH!sAHKd~>trvmZd0)791~Q}`!48yZ1XdI2pW3rcdTU?rNu>J zIAi%`e@9HK9MOk)2R~-QmhsR?QFn+rebNL>w$>+KG=(h?D0#GMoe!hM)dd_vQ);5> zQ14#E6Z&K`Y8@}RTqvN$DG53bc$#!{UiWyW%d$3pyw%6{&#qWXIj74l(lWZ|6h}p( zJ1s55ZXO|gaYRZeYooL)5J#GC6evXjJ+aS^V|O%4-}?S7)+Kw)|9o4!--ePPEQ0_z zJA!Jm#S-<3qVK(ol_AtzxW#rlID1>2t`tb6F03bMZuY+Sv8>@rD1|>mGjwT+QWSD& zW7~?D6`2e(x}EIdc>ChyWkqxHrLpxb(oyl}r7@uY^v%Yt@lV(>ve~k9L#+m2=mWf- z>W!<08M@QO#WV8RZ}c3n?S1T>p+W;flpnSmM|h*%3688_8LOP%`!taXQiQ1Bfo2A! zErj8ANj(m)P6GPIt*b~C^Q;jQh}CPH0n6ZoH5O8OV4~KKdY?mo4Z(dAk>*cW9=4Xf zcp^g=u3ej#)}j6P09N?Q8tv~y5kW*`jLc_jC|z*exA&CCQrt;nIm?`kgTcVo%lMNg zq6-hOhJ$Jz@VxJdny6}jgAaAGFf5LkKO>*G{_2-7t(Er;GnMHIeDGWIR65e-5fw*e5SV8y4MJce+W zMD4C_c@;)fDgu@kV^0>!@Xt8(fj&j2HN&kV>9k-|4qy0Ll1SXdYS=?_o-B7>RVZs2 zCwsr7UTv=>{7Z}us8^%&h=FcZF7%j0{>`_noembj#TDxcr1+7$E@6xZZE3Wf|L(NT z>1BvS-w$}C5yKuhjXv^}?12?LnZpB%PM9z*yU6@n^1b)FyqwX8RpW=}N_bh+-&l23 zd5X2(^egp8jzw%Az$bzFL&6w$qv;ko+%QU6T4z;p2ZQ$!07-~?R$CiM&u|&)Psl~g z#Pyx?i>oftiAj}H(&qqLzY(l9VMJ|>>=hAd^?q!Fj z%dYpnJpG6RU^bm+6Wx|zwBX9%-liTe(d{7i?8}CooyUF%PGVliYxbedu53PA+obvV zU4NU3l8Zn2f0q5PCrL~BKdI#lDWWFu*OvczFJHCJ-P&Xc0~DT;Vu>U+pddLG%zWu*>KCWq$dyd(UAn9tGH8;wlk7q36Ug-Ea^~G43SkDQ# z6x#8`4o~(W&|f@}>!B~tkC5E1FEXyX=_e4;#rSh~+W0%uon?L%p087}Vw}&%jrC$x zYm!L;BE9S%UINLk>`>}YYSH+emJpCsC4B&BisF+U(%^J%m_T!zm|k$Is?5%KGCS(Y zvWs$x?2%z?c)M||siX(`)oV-lihH)$-3qEFpR}42iu~R*P`++Bxo}354Hz&uAZolY z&ThQZ#v4}8Vnh$B^0mt2&lj|-n?-$ZSS@n=^O_v6Mezo7AH6}osP=v|nBLFLt~m%y z!jorcE9)1kKSYd28re;x)?~;X-@ygMn*=Q6xKevLueW$EGUXTY z!i$M=)A6QzwGc^@1&3#tencL77c=+mdBV)(#C9^PST?TY@ zl6*2fTAe+wwYHXD8q5hvOC?^?K~A^26@$?RR`WsK&rY9bPA6&keb!PIe*3{EBJL_+ zJ-!bn54*!mKF6nphiL`ZnBu+C(%AKV8DgT&37S`%Y1-5yf{iaW-7KZshQhV5FIej@^wp~yD4Ly~&a1{n zYdF8i=ngr!to-!YcKZ=rP?ZRfv%lD3=Yc7VI#|SfzjK>;{tVI;ADxQ_dW~XJf-YBA6H&LZmgKhMR~a?q_0@w9Ge)^RuG#qj_NOaL&~vkm z;A7}%;RfucHlTeYN_Yw!=(q0kv01+w6I~pVjhJa1dW+wQ*(}}vs=eZsCiQ6LT7L9r zV)KlTtQ#Mtf?Jm^UF|7haca`pOI~`@Yo4c*Mf`b1MZ6N7Cr1ZS!4h5ze8$g(yAG8S zv#i@b*uJKk&X2mq62$!kXnj!-tcmL}yzwv5^wr+79_$Vc=4?UfkD{-78BGWkajyY>cNDwMf)NqR8KgM-LwQ5~ABWeG&w zlC`jOom}5-(FqyHkC=xhyXdhi*x!nxGMq@cH}j|R6UI~L`#0nP17-c@wZyYC9<3Vd z$>9fgyPJbcwqcS)7pGg%V_R_32lHXY!~!S?U;Ou)5_z2Ebhh_?1TCh$(wm2kSKS}e zw>77|J@@h&uiFxkWgsGA*ur=uZ8;?@O<`S4I zJthh-OMn#l{Lu-3OU~X>GO60F^OgBf5GPyh-NsK7GVk9Ys1?RtwRR2K(!X?ni{y2k z<1+uV_oP9hl~UEywVb74prNwA8wP>H?H!&b9r;Q{6D61Ey*KwngiI?5yYi2cr>2s3 zJy~+_D_u)|#fD~Yv)B3#cjL4_n?ki-#H)|cf8qL~;28-Z8Shmi$E*))5Zc+U*&5&f zHO3%}Qvsth6HAw^ao560p$@C$ny6^d&#a6LP+q6kgyd2ySQL=1P;)29XkC~|-SzMe z)H691k-WY>HrkD>rKr5H3~ z?+;AP2r&y(?=DdA5~OOWs=jjn0^dKeA9md}LT>V{?wsvC^lnz#O$gthe_-714maGr zH3jqU*IFn=Jx|`08@kQ5;KhjgZC&*?pX@2l*TQ5vF)rsQD zBdO^mUA-Z>zZ@;Ub1jIcwFIHdklIpymgZff8*r1+lC+zXt?>Pf}|{8=y*d zp{Wu)WQ&dHi>qXZk6K&28I#YrnM=Xib?Y5Mr&yFeH~6YX&MBldqY)j;G3)J01(V_t z_z6gcC1KjB$I&e&7M{te*iDZ{u5^|*}AGjZ;G|dy-#0)1UFHtgnI z1B1P+!!sJ{W`@<)la=8{7vH1D%w=XZwfOT~qn5H0)Vy{Rl**2a4vx026abW-CURo> zhbPSSc&hej?ak|QcCK+nz$0CWtX=<5MdXxt-K|Or!ATC0wTG! z^5C>`CyDI5b%>$T3KWek{+gpP`r7HW_lcPBmFx&wjP%T*XA9VcIShO90`PLl8ShR0WSX4v8FZm?8~W|ZG#!J^Hj z$AH+-2ZWr}1DC(JW|^5Jee&u7Kye6-pz~=m0ls+d=vIIss<~q*tU@8j+tYp7g(nGb zT#qnULYu#ut}p&`q*M{X+|0Z4JcSev^54)W1l zX@uTAY-T62O}t(~oZsVIshOUck%g$xfR@F4#zcZ!G1K7px4&A(cbea8Sb!m7>4rWQ z&Qna|>Fj$l{@VQr?mAK6EOH?Jjo;`o+>+}m2Wr8uzP@btj?_&*{YRugIoG*v(m8&O z{NmbMqeSXG+yc#{54yLUNr-yv~IftsTcUiiPT4kd)nw#R!YBWGgS4T&;Kt24M&{=VlU?iWNk*wv(aH;# zQx9^pQF*21reAvH!mN|>KhOI-%btGZLuFl`dK%DOsc8&5#SxDGcBSVd8r+?K#eT@G zMkZ{gKPkLlhmkZnu`abE{#ED&*6gcyf2eO)mCy|5(iu(=fc9)vV}V=iGHV%;BZEM9 zG}bR9<{sT42!z_gR`J+<24!1&MH(wx zgd;GB2Yek<^h~4^d?;veds62xX6-!)5rkQ8`Op6*D_=XkU2Rj0wPOE^w`EW~T~@w( zH5X`jJ>8}Y#Knz5Gog3GLz#7Os1}AwsF^FwPLJdO!?7^Gj4;$-RxRJy2RaI3B z_qmH2cRhO>m3YBSj?Ry~-Sw_*pgSQHdY+v)z%JxK8;gD-826k|0zvaa7ZQ#1$If`N zWt|xHF!S-I`dQ)|r{m>lA-93L#1f&vYqQ?HxDKd%g*PS#7>n+&jgpUz33$nMgeZG) z(u56gZ_EJ+b?oIGIo9v&^qk*Ie@&>D^lhc0y>45Z;@vFLWL*TqxwQ@9ZoIhJH-QOe zCwA>Mr0c<_mrUEB+ajf-gWzFA?#$K7v#`J0YaJiSktc1#rhcCb+oAi|9xNLbY=_gJ z|6HteXTc4-CDQNlVgs6w5_jQ}SH^@%*D2shDC8oVij|r3DaYUwg`i!@DWbuIOxpP^ zXsg<0AOe|afBlSQIMOZqtJG8c(WdNp&&zwRQ$fJpFD^HiVfe&jid#Axnnl%)Y5czI zo1xUpCmQbOXM#!B0vIQmck6aa_lVE~QLvgdQGO}OHx%0^fWM-cN{HjfxOwsIfhgc= zgf7R{{`&85fRs08Qy({CTnj--+E~Jpx3`fkiacGY%lvg~2*8?nS}@lQ6NexSzHVdK zyu!%H=?f$l|DRk{O=-`4;ZwR^YJNd%EyWbb?QHT>J+F6yqY91|2L54V(_G0H`pX&N{-q~tr zuaUB?H{IXG)c-vMDk^CXGb&BhAk7-cXZ(RxRYr(Myu=X6u#ByCm77f|2jISyquNn@ zvut3DBKt6F>l;gpk)v%D{}zv~SJPlHe2j()pF; zLv;h+*B$}CAh6nni@SSaq=Xs+>={R4LR8@2c}-}RZh?4`wHguIAkIC`uZ9^^46rA!-R5?~yJ$RY9*MZ)qGe`)*a9W|@^r9$&ePLZQBz4OMXLdifrk@kA6igpt0ht9U=7Ih!9( zzTf|8n)iptcwS4M%bAl4@=Xhd$vV;;WVb_W$3D97V-j%(`2LjO!qhmmn8u;^98Q5SbfVlM05J80k}MlDwR z2zGa4`>OK)P9|U<>}_ZpTFV%E3gJzj%n&^cQdUI!&~8J9jRu-6)a#zv;9KjNP&y)S*{oYnF<2Nt^(}0AZ zHyy+43-ibN6i%iFNCz*7f)hG-ye?gr5?QfGW+SgD+^UHaXCCMEj$`-k(RCPg{L@Cl z8sQ^vY9Z#-7`6bC9_9J1^qg}y53-15myxD{e7zg05@(@TM3hB{>)eJOtXMy<8+SRX z1jc!wF^Q%-rfsUtt+b$Qt@CKF>;q~OZ|T!dRQdh5FT(qo2M<`bKor-++V4f6%|N9~AGf5AMCo|gRl`&?1PD$_B>#w* zMYUo>yqab)x#g`+=aC`HAvIaOq|tsc9nO%Yjo-ZN-h_N8G%<2&*rvXj$vbG6^~GA6 z@yf)pmacz?wn|p=fHNjaX}O3$jTr@{j3NE9T>x}&$M@6`*X#13+wZmUZbCOSVpoE(F~1hPOsk?` zs~xKutY@Q5!nW2ePj?NvbUizHTdw0Av+?EYB;%fT_U;KiHnr;I0Y)mRPSsdHvPsjS zTw;5FT%z4?Qp4~6g0T%Sl^A$o*qyefac-p{CHeSC;BRACPXgbauEL6%kr@`>F|r4p z6wB$}uV%{ddS9yCx}$_R#Dr|H7qgjF{rtx?yHlJ}t@vw4J*fZRU@q|ryk(6;655CB zqWJjggN>4(HF?AS00ptz3@;q~T`+YXj=u7=CutwP-27rboVCD29)&1W`NcDw#{K3U zCBbDiOYw_0Z+4MjH;I}z;4fIV$6Tu9_4%67iZ=1gYHbmK} zXtvT3Y{$}%OGqtL&q#)E4iTm#kogU6gn8^YPLc-SKVy{=lySzB`MkH6kMX@?i(UeATsDiV6S6p->14o5JU8l<7FZ`%>67*H#fcsx~U%t&i+W)Pk( z1)A7fG%Tuo$bk2?lOTS<|!<9Y|-KS^KSN@E_EnwNMfCsA-gargoED z$4%*Xl}b81ObD=|t-@?yan#3M#|rxGEJQt=b0)q3TG6qf6^%As$d=9G)JmlgSFM{P zO>VARrR0XLuO#U1)VE1pWqkux3{+r zto@Cz`1w60baZB2tIY>*oc@G80qZJi?cgoyuAW`={yl3<1H;3B2qnQ5q#RBV*M9C>~dCXz^K^>P*ot=WSB!JA;V+_=KtO=5F6bfJS*T(2`4l zhYY`rI4&EK4!$w@!F@Ep^2y0bv@0K_dm(h+so{Viw9~x^(FH|79!UKfbtxF<|Gn=f zI-jw|g>Sk9+KZ3hemr;nVbp?s5KP&&)b`V1iJjdCx!*SBz6Z=VI1B0}yPA7>-9(LA za*-H={m2+x5EE7bcJKyp|M zQLq~Rl%@aX3wV4y^>d1X@#i?9oiICiFSPM*RS8i$QQVzkjygNo)J=mOTf5cA(+PEd z*Z+*&aIeY^K*~MwoZWXD&gOSECnmGenKJQ}I!I6w;pZfeTBN5vBqbri>i+ip6!>jC zg0Wso-U1aHjT1SyD=E*GNa|S&2kFxwN7=F-#EXE_`d_nTGpzo;K3qzIo(8crGacU0 z&XqOEG|`6e&XucRJNVOtgoJ5)8WA4~M+ZjYDs`aN2JGoz#X;6At#OEed*U77 z_z5^nm==@@pQlQ6QfZy^>4-d4C7*$zhhf%!0kBQdt%62Dw%eRlG2xj9NW%pLKRQSx69F|tj4_9{&Ua(5F@ZtQZ{ut*_iY72*e3T!l+hpu+6oHoT(Gf# zO0roC$ir>VxveLDg7WvnAuv%i{tjjb&UAFZPKKI$2xSEy8V z8QVGI-k5uR2Ly*HB$mo#-d@ zm>fub0+t9t)|q{%&kyG4OgQohb;I`iLDHAesQrmw8LXj`@zYuqWVk*ScJ^$LaVlw5 zIlV9TdiwkOdm{eE5t$BykKxkilPFYzL2-g=Nyxmh)bEMCFeV z8D zwfHygl_&(1JoG&kCN;|R%iQFOnwnGD|9F&~k0W`~_;|JJDUaRU`iX~7Fyy!KQMV)C z9^YyT9}yg7zt1A+Irl6d2Ev2i;qp3oe(EoMNO^qx1dMMmEqY9MFKJSa-z_afVtURT zUKlaa=6?GtWYu++U3GFgeAvBSYUg5KC+L8fD7t=}9)Tr9hW|j%!oq@7dE*>R`MKnp zCVSL5BMP1a@mY`()-gXfhjk%aX7prF)5pLSzE+evrm);3aASl9WHes>;vsm@=a!h# zcD47XdiZ`1b5SMRLB=_z=xy-(ob-suNF%a$<{xwziFN5|@2Ci5v?b$DPEUEw&CFbf zK|3uVrZ}@sWUcvITNY~TG&{`UP+sKiHBR;ob*a+;%AYR#W(doJo&9j>)uQj^+?K5% z4H+6=+CeHzAAM;G&?FfO|@_Ca(e2^#M9PxKVrPF!SH!Qgah`V?$7 zaaRh4+4Hh}PI}|oQ`-UNk9_WfY3TtrGTtq-4Hfb)UyHYW*|B3?KO(4SDaL>@T+u5A z&H>NZ&w!gd(t}gei3Q#;{V0S5a=y)kEUFK@{n?j1=&rgUisD&XB`r8r92-Mq8hOTU zhy7)0l}jKpREcO}?Kxb!)YwTt^lsCyN}sPW!!w@|Pm_R6!pd6QZOkMnsy zpewEabnYJ$duwT3bWS zd?+K8B1)R(NnF2F?k_W)Vxr9S%uHc0%nnnV6qWfk>i+KtcHoShQh5c1!*C11N)UNV z;iM?b!hd@tDK)ICT&fp$c*xrgoZ1$-%-7Q{XAcS!fTlGy$TQ!he~K6}Jz}hbCxUwo z9~2IeNP_PV4Gj;sO~Uq(Xtr=_2HG`<#-x%k^>E_cP!#)mbI~VnP;?5;zLPQt0=SScP~Ra*=nB*w>cR*&a@1nhlXcZWpIQ;X9;-v;OVn`ZC_@&z&OT{g6J<=A6U zbnV+aTz~X1jSv09!`Wy;Y2ez@fv3-&_ERdGriF*qt52#SJ8t#>KGJcqA9Oc3{1)1e)@A$L_Iv+)pmc5jQ;kGp6Rlr zB3QRdR~wm{)EO8*$LR-2zbJ430qp<+8h@<<;L*uVd1N%{o`Drq6hGLpc08Y*l5z<% z)42!B`5iz7qg9Mh*0?L}S-XBy%OXI*@3g+|MU7}M=BU@#ph2_7Y(|TV+DlDl@l|A; zz^*?=E+G978ks6f&U0^)C!b6{^-Z83gd|TpO?)wILpAvNebasxKld&|TayQ7C!YXN zgj7!d3mkQuu;M0*?S@cl`5J)@I_5z`nenI@>V}mM>I&guLv!uIkCK~EXmRo;&jbtW zJQNW^{rzNs2GUYl(s<%TqYZ`2%5%O>0OhXDzoT`|VE;oSF+pfHMt9iXJE#D~71;A$ zhZz4s`6(#qKFSmhP86vDRG9*<0vK1#D%j20Ahnsbm#2AC{zEayEB|4dk^sQ9b>yRz z0F`nB{xT@6DALl>ZeqiHzGr6x3YwalQNb2q%Muxl(AS9Zs*-QfRDxNhP&@E8@1jL4 z+=MKo68q^9dB_*-H=#88jvpkViyK*Kx6I7USo8YMfZ(^L-HB+I>l+&_3s8+>cB1oN z&{C;f7!xrVBpBIox&H*3wK$|LIQXz#Ii{V$mXl17R!l%28>Htcd*w$M3gk|EQO9E) z5NKn9M+I`HAp3$1G)xMi=~B6&Ve@{g;SA3h5U&iS?6RY@3}B*L6yTH5|HBC_VE_5x zc>hC*oRJ^OjEU-+nlN<@CDOPdsK5uwR@~tCcIn<3AQ+^-;dnd(&5x`gZThb+%gir& zR~*j<`}zcEw$Ua1jd<6tK)?7K^FKs}R03{jd9P%m=P{SvniRrGEM^ung1`*($5*ZQ zufRnJe9Z~|(feYtcHsqpSsCA9m7~0ESO>qeWF#iXb9wdbj3?D!7Fd@4!B9cXM}8%t z7X6z8f6dqo9khxGY|!GO+y6YE^iq(@VYHq(Q9S&X3X26;>KOEZ{SkD^4|XAxX>n-Wgy}KrMuGPqdK^+`_CYd z|0UWbqBzRt^gj;D+mHwyqp~@Fmw}tL2Wd+8t3T$))pox&wsUBm&Xztos{$i2;z2XP z8O9=py=&8g;Hn)B`f%gI0dM-3uzyg?0IjtkLoxI%^g4()-Kx>3L)TjsnTc5ojqJQK zC#~yC8Ndhl3}#Qwd%GT*^@88UHznlC2h2)44X`cuqSr-v#opxyTFLs!Q+B>}Nza3y zOKCL9W9g!4@vMw<>bA1`e^^#q{$y;-NZjYvv=ICUSLe)O==XE*x9Z$ZO6%NGx*vBemPozOD>yjbjJ(ti!-rT$d$Qa)_^dB3!*A2)OA%oE17jp;jx_n- z5fukOS3sBL9PxY-#$E>Vm8#u8R(#90R`G?JqaG2>tmV~4uNgJS0bW}?{0>6nNh{e+ zSFcFhp&I0CtQWV5d|?O>ug{Mmn4H+QmMv(HIyC^;Y`&V1hqgwy7Z5!x^k-xQZHtB* z@ne{1mGvYI#c}1UsF{?14A_O)EFGyFuR2CqHA%NJw&g=Y!9@@AH8dG}IbIqRI?UlW~fE=OAo`*%F*+OkU3~a{V z=!&xZ)5Am42lCSectaQUY-Kx7W>}_y24Zt*?fErF?674Us?i*?GlP%KXWgsvyq%rg zrldPZp=D8Z)%g#|$X4xbRpa9pW(5UHj|LvlqZtJB5A_fEncAK{ngl`dpAir_Vz1YP ze!f!xL``N#PnZ7Dn!$ELH$d>ub6A63Q*ppMa3RcP2Qi`}0*<+THwU|^|A@+)Lx=p^ zvz6lCM&R91GrOOs5&B8{{RKlpm%-@L0?>#o>L&a3=eWVS zLr+0uf1?Lk_?Hyp2X@;SM{vp-Bf}ox9;(u)d8U=6FVg%Z#FEndea?u3zvmxLPRf0^ zrWou42(`*2JNTi$ITe_p9|B%4TXE=qy?=891e{<(o{93jPZ3Xi3u&1;lgDa~8Y%eV z@f=fs)2#`mSJ?@MRg4&ky^JImH70Y~-3NJvIzi?S{0G$>P_^rUxpTm@C1YQOhmBA! zapw=u5r?;54JxXsYL>{5b5*9O4mR0{$z0`Zd(6eqzOfEa23$nI_gkxy?`-_n(meS7 z@i^5$;)7pOg{}mLoAv~oO*#9|WS25*uS<-1wf2S7{pRO8fDM<+?c0v{-^$6j`@CYn64)4XTf4%0^}}{Gek(tYCKVROGmWhL zwR7xc?81yrn}0$VWFX0Z;^avy|3UiNANF#{ivg|jdo2H_7Rj;tm~cVyEt+=Na#&tv zf-PQ%53ZaEqhi3?mih%l11Dv=9$KT&RNi)_H?_^G#pnOFqy`G$QCi~9&j6Pq&QM;g zFsx?lrH)Fxu}i+Ik|OUcA;j^uA zvZQ#XT*TKqTfl`Q-O`p^BIVpbzx{O-$A^D=<{)@azbq^{kR{xt=IMED1$L#PiFy9c zd@B$OFPfQ^RT#eE1Pp@X8WfxaGELyH7%-wmli`IZic+c1k#p5s+Lt-sd82XJOUIA) zyNj)LM6c$9VeCuoTy(t2g1prfaWR1npFCd6O3Zcb#1HY>C2L?Sln2aPM``~5gJqR} zar*TrUcbSiOhSy;5L#N%!2#PO`uC|1NwLtI%IwGKfu~RUY}2p1y1YKgN8}1*`WR{E z|9-9D)-6EfPj~J$ZUfW=eeY@#v*6@M*A7lV{h$nX!mq%L>}8a2d`qkycH;2WFf+^bce=_A_R_HWw zOHKk*jNyT@HV}0%N%+47zDXp5E6~E(&{Z+``59xq#^iD{9MO%2)4>*Vx51^OjCb(~ zl^T^AOfb7KmdL6iwYY%~Jg6Nd$bjfZBGvGR?<4s-+5PvA#z%V zQ1F5O!^yW!kpJ{JC?5aVYdZYP2b_Mm3Aen7e?50!zeYzCwJI;KOA;j=^LM7XVPdpM zt?z=pjc#qP`pvL=QZhX+e0le+3O#*Y{<);oLc}JHlYH5Fbg9<||8@dn?4!2%T_>E! z%EmZH)@8gY5VTcz!z3)b?|eh^;#FAQb|Sr(HOLPkCR}g9Y!mdoKy+LSVBp6cJHRw_ zu#o37o{w(P6J2Tx7kMw)VXe~(V}8Dsr2sZP=ecyG$mK5WnVU&Rj%>|LmiUc!H2P*l zwE3mryLbJIjYl13OVqwD^3h8um#%vg-UTjp=dB?gt+uPx7YSbn{s-1kAgn7o zG)rM{T05@RyU|$-K~aIzfq?dQXwM)O557N8a(jz%I2`)5&bWIQ1GG*GBR{`;8DI%{ zDfaMT1S#FuTyL@17hYe)o3CCy#WZ^o>|Ori=ffw&?lF!HU&K=%i3C`%(sd{U;f3(bx^W|5xK=(@Pa>SJSBYoI z0tR7hUSDD@^io z=~F$!nIG1eNNA3Y5M=^1?e>z(Sdhd(qq|ZEUqoz*p+HM@5PfN>JIdVQBgeuXk}b*A ztE5#VNnj`U@XXu~a)%v23Q;Bs;;|R%$n6&pG#^uyYr$=fZ?#mFvcQ%u(ZBx0zV%=v z#&Mkd{|R~RPCSSh!``2&qcjMF(nSuC6j#51Gce(&d-2SC;?&zuU=UsCF+WtoULvk3G;J+l=Y1sdjeXIX5&@M8+0YraxwS?N;4g ziWZaQp>2!&dtPvP%=^R5 z{S3>;&U*yg86Up5xe+}xwslV`P%XlE!_2H14Z8k_xmp|1jQ$N~YisLgBs)HM`iKjs z@JATfRrzEyK_J9_v{_nRM|5y7S$*M^&iw4Y$lostoTg@muImqxU^-l__hP%%vDjG3 z$|1ZS9_E18Z{-HH){~Lqk8f)l8m?_vSy|r%z4&fvZUmr^OW91_`~~&H@m$4CYeP1c zeATAugJ~q-?aeD<%oR6`z!&)`Mut1nhUxn{c@Vb{kTvh5$Xc1LG&?=FsCK~d^Nr~) z(}_Sx$XZgbt#ey9OZ5pW)xiqcaps|V$-Mg#bqbH;c>c+QYP+(p_VKro2du(bH9_ED zBN*slU;HE(M9pfQk}f2(juT^U{k0u;>zT*i_Qx=nZS=S#eIQ8#66sC$Q$_Nc;*V^1 z4b>N{s=wrDESKD_mHd7dO&d%nY~Vu|ngP$F*C=Egp_~4#pt3Gg(H2uR~Y@_Qbbe`BH0 zf4KN0(vGLv`SlZz01;`B9h=R-dD7W^8%}F<3nE`u|Fp@eGA9YVrHtml)bKv&`oAC7xR2|$qa;EN`kk8MjJ3vpuUJ>JyJub%$?2CBu_xtR-u9D|7dQU)- zj?YCzM9k^4@pdkx$Tu=(el!A7W$EmbT|C)Ryd_k#A1rPn5Ge*}4MCm7-hyp%ZIAL! zhN4R;IM)XkheZ9r@JM_s%T9lhy0td=y0HxUJCQ_d-DH;0zTI;6ax!57pZ%Ya$?P_E z2CdeU(CkYX8w3tYV=+|qKyJW3#cF3r*8{~=PTAvno}r9}-o8F{0EW8f&U{oBCsLo+ zxr7>LP!gdF>)C2Tl7vgH=F8Cdo-J#$xB&v0BJ&GNv1i@U5wog#O(r+%y)@SslUli_gy)?(fLMA2&8N2vF6u7?)a%z}YcQ23V`ZNnh zl#8Iyo9-_?RF}ZXP`9F+s8KvMaC;n(`e74kLPn5T56)JSSUaBb4Skf(U?uK=K(7$~ z$DZ%b4`f_z74lR`|9q;f&n>W465Q8{KXAFEXEkVCxT>D#b+;8P&oLS2=pEQgh_?qt zX2SjX-&Zk|Mw*&DZypAA&fmePCfDNGmmJy#)#j(&fop~DzG5cZ1_wrzf{hI&3g9+- z&6DHLR={HiH8H@z*(FuEdQF_Id3hUuUp$;@MBYp`%=`0J%>oTb9oi#w-)NAvo;;51 zG93KOiJj>(CZ{xzDR}wL{K7xi$i*VswPBvwy{*m;6-0Bp9HP$b+L*fVi*5efB$bHg z$r5nm)T;V0aQT=6zm2(wKB3oVa-jL=&()B!=;6S|GbIn123{d!7QG*xU@yIu*BBjs*@(m5ENRA?@T&^y2>eMkf4BjfqpS- zk*%T!v%U5b$YgWBw0@`e?(^SR2RB%*iG^yBx<&b@uxR>VE8C7>W>k@_eHlNn7ff2V zb46)5EE>vN6~LSMF{Ze%knGhC47>yOG-FOm<8)YT-lG5T{pb%{$fDKQkC~AisPLVO z-S@YqX3k{yn+s-UNG=|ptal)rHo3{%wJ*}mxROG|L>+ZOrs^07!4~J>ei&%MV?Xa9 z?@muf9=UH}u9$hN&unEIhX4-h=6z8d_}Df3TTTt)@fU}PE8~$DSQv}sWgd_JbaDt4 zIuv8R_!3MC`T40e)nMZQR5qSuA;&Ksw!$-UrZ%14%-=D+L&i1{+Ir{Pf->iW+-`)b ztLU?s9vV=7EGZO>^QP*sJd4x-ad~*O+3J>@%EeLVQuHY)Ng_lE$RtOKxt?G7u6+TM zYLI@Tc-R;3F%2PM{+~Iohe2xDnJU#w4 za>lViDZ>eF7Xt$vsL5jp=jP^pZW9h-=8?xSZORir16qjxqcBhi_M{{wQ6+w$Ka8&_ zsjRFNNsdf4EBhBSa!)3+A@ReQrI!z6`d$5@x-Y9miE~5%2*^TWbe}m>N5vnj*THE(xGgPY z^7jow=kM^}!N*tBFeLOYo$G*o5U9+5RgX0_3YJ}LroZ8tp0XbY^?Fo1 zITFePF_2lt^7k`uob6)MsBtLfTaQJPC~rO)zy|N8D6s;tCMPxC6eu=nrWj0Zc=CQ- z0n#LCk4K~TcU*2pUpyR&t(nGJEO9B4GeAkOke$!jq=<-`e|Rg8K7s)vWgD>PvQkEd zOm#)puEr~<`~7_x-=s^*uK9hCUVviW*exnI2wvxFceX7q+IoXP1F>^Lu+Z~;HoQUq zpplA1ZFWpmcmoD6v*tT&X4;1<&M5uC-zLxr0)NJ`}uWZg-auC`Og& zyyfOD)naf6SGy0FI5EpJeqGZZvE) zj-7YX`~L8i*iUASXmG#{T&}R&bXhVQI|t39rNz2jzS*MAV9VQHnKb6*OQ~# zCGul)>r)q7q7KuXuFYBY_YIx&6LE`Y7bNzzdc0pJ0SHAgT z+H5sxxm@02BgJ8y+DA{<6&!{SHgEterisA`TsEDo)SlETE=Gy$RrVPAtz~&<`4tyF zd3Aq%ipTCFrkdwgi=AfW?$5$Ms)Y&cRo;5YPqFdw=a33p|aBt(-RG?&QP_Y~lyw>dr zrr7GtrhKZLd6lF(ncQ?}c;ygE?d5uYvT>46FE=Kxko@898VDvmfR*F?IP*Bh1pS)j zgSnHV&NS!C1yP;!{?dUBr&oBPJnVy?>E%>U$Cb)8SYYFx9USxD`!}MKQy&T5Y`<;D zr)NrE*dc?A{aXD|Q+SC!h)H7@? zy8BwGy{GFgYHrHr{?Ue~$!{&wolS*4!Aj4`WGr3yIty~c{!dTRByoCQDz%>F6$f(6 zZ#ZmE5m3Mk&EGaSjEi*nO82x*jc`bdABATTPXfAzi=1oo&WDY@LmBGsJs-cceH?R* zpO~4s`r;D>(gY@0Zd^_9qfb4=X1I#D3hE- zWo&Mgawh05DoBcXsnA$aTYD}FhLH*s8XaU3zM6Qb*x2j>*ya{X^@pvzjmRHh;uQnk z{>^ZXaP`UX0_dc?NNM`nWJITC=m&hueYrq|{eur=pb;ex-@B-IdzQZS)B#nj-|thS zwlB0VEfV`#5A1LbTHij4w7Wf+t6id^#(Xzu+x8wgSI?yq&&;}29w125GH8MwP^K`3$wp`lgSHWb5ClO60|XR-07=L@_Ie-RdiVBS_hX%^b@r~c z*FN>HU!7Gu(f&~HCgTf>J?!n6sIl_H!R7bLeV>RYxDtn|(BpftU|n|(N84Gy?rU1v zkzb@4PBO6C%D+Z+wAa`zpDD*HmnDDY2}|F8E;zh+w-fDy(kbTE)`V~bpCPTJk#NxN z(=$B;aXH1>wWDEm%60Mw&UfK`CGwyy)DV~WW~u`&gr(NIp+CJmNf#)S)+s|_7TE{H z?0E(Q?ZW>JjEnD2dvteu1x%l)eG^e12IY~Er;iW0J94s%oxU(Uyu&JNM76iKc`-PZ zI&D$;w6}Ldi1$#nLDI-$WXe!lCv}QZQPG?Q&IGHY4vuGNliK}E&GwJpP%rZ_gdLA< zo|qUfViN{E30Dt!oMRz~HxYIC?4NlN0sFG!+2EhHcG9tQgI;ls!&2M<+e0_MAxRON zIQN;x1F`A6v2ZA$)6%jFJdk7VV~4@rR8AcT{aG65>A~q%H3OV<;Vdc>uC(cvrbi#j zlHyBv>Fwm{9Ug`8l|tIO+lT}f3}*g6A_M*{TJvv_kiUa}0C@fX52(?9&kvaY{3}HD z-!t}q*aH6*DjRLP--Ftj?uix=C9Q#E{@jn)c9&He#mML9Q!q#=Bb(vgXIf(UXk^uW zR(q{+fT;g>RAVahAQXlqr7cVw^}-es8-2?emJJA#3{OLmp6$mkUgOV85RJY}o3H$< zEDL@|j2jAyb`By}5LU~U+PnF48PS)6Bu|ZFjj180Fmc#` z<<0P8ts}43mr~BsnSt7|4oKpekt;0bD;FWYwC>y_D-y7yBI-og#86LMKFhXbf@@K6zxu$sWJe3k3m3`5xm%=t*RG@d>U0QuXdkW^p(_biKz zAJx`J&iMxUb@lDr0Gu$D*(ST}`WyK5Z|2{TkfmiuPESmz$SRawn#I)zfPwq0QcHYu z5QFmhccMLV#M z5DGT4PWud=xZmbKu5A&TH95LVp9xI`8oa(B8PP36567$$pFl8^=EhrQY)d%|toS@I-DG%kPa)(@5^}V##ED?NL)Hm+1vLj0a>5EyaDf zz^DLkbU-PA**G>14Ck^1cUO-oEkH0T)Zt8Z;7A%2L-Z98l!fNLw)` z#7d?n*(yh{|1fht6Y@t2Qkn0+<0x&Y7LThUsX~-|h171bZI`*f$%;1Aw3nD7&^%0$ zs$FkP$?ife5{b)KpafQYzdUPf5=Vc8FgGXB;QE)lZZCdEuA|Ib^NY$MOtCCbLm7YI zFwTL*7&r$2OA*xtpMrA7-l#hCxd_gQZBjZTW$60cNU;H;cPvk7N`m9^sj|YFm!Vf2 z42ix$OV0t zI5`qFQ@+yp-cCZ_Uv1x1hEpl53Kt9p-Ek_AoAUZ_TrdCHqRsjCQi%Bo@f4a7@)>zt zw=k0!FUKFY#{ILE8d1#j>(W`nb%4j9v!Hp*amTskw3g(>2L^ez-YVZ|NeM}l zDqBZ51Pc2X5WJ&!%rU;H=s^vxG{8cs%KcKk^j2G>gk<>=m1*L;OrgKds^27pK5xtcuEYn}&giS>wU!St~q<uZkV#5xOLHV%INhi$FCqB z>=tR8P>MndW!w8=!`D+A#!_)M@xp?oCu8k6OmW$;j(+{+LlU)~U+a52t}djZqbJVy-DVVgvEhP1-pn@ZQW%JRNOs#|ZepGod=T__C$2 zQtW@$_KdnNX0sK(W)XcWQ0ielr3}m<;SB`06-BKkT#KqNG8m5TeY*y;Y1iI5p``4LP z@P?#uGk!Y|-Rlpn=PZ;%U~a|&{gCvfes~BJ!dS~@enCEnWawLne!}YFxGzw0YwWPu zg5rFEqM)Ps{zH*U@LE zxkv&9c&u$wa>EY{+rJj*wI}Q$vWK=jH}G0Zsysia**T~=ozZ-cbdTwSFi($8qKp$F&&7I z7hZaD5>}e>{4xc8ycF}wV+|+Kz$!SLFYAD%9TP(ja(;Q8OIUr=p|Fu)B(2%35@jH< zDTHw`4c4z`C9Q7w(_8)wK0Wf6BKk`KIc_Cx92)w(#SZ`;-U27LZh~8cd|9#c`7G{M nSWdln>lp5-E9;>Fjh{inrazn`Xm19;>L~0HSErIgXKwrtFUf_2 diff --git a/kicad/xue-rnc/xue-rnc-brd.svg b/kicad/xue-rnc/xue-rnc-brd.svg index 274e654..263e7b0 100644 --- a/kicad/xue-rnc/xue-rnc-brd.svg +++ b/kicad/xue-rnc/xue-rnc-brd.svg @@ -18,7 +18,7 @@ inkscape:export-xdpi="205.42902" inkscape:export-ydpi="205.42902"> + id="metadata31276"> @@ -30,14 +30,14 @@ + id="defs31274"> + id="perspective31278" /> + + + + id="g698"> + + + + + + + + + id="ellipse712" /> + id="g714"> + id="path716" /> + id="g718"> + id="path720" /> + id="g722"> + id="ellipse724" /> + id="g726"> + id="path728" /> + id="g730"> + id="ellipse732" /> + id="g734"> + id="path736" /> + id="path738" /> + id="g740"> + id="path742" /> + id="path744" /> + id="g746"> - - - - - - - - - - - - @@ -1359,210 +1357,210 @@ transform="translate(0 0) scale(1 1)" id="g754"> - - - - - - - - + id="g762"> + d="M59776 34400 L59792 34400" + id="path764" /> + d="M59792 34400 L59909 34283" + id="path766" /> + id="g768"> + + + + + + + + + + + + + + + + + + + + + id="ellipse804" /> + id="g806"> + id="path808" /> + id="path810" /> + id="g812"> + id="path814" /> + id="path816" /> + id="g818"> + id="ellipse820" /> + id="g822"> + id="path824" /> + id="path826" /> - - - - - - - - - - - - + + + id="g844"> - - - + + - + id="g860"> + + + id="g866"> - - - - + + + + + + + + + + + + + + + id="ellipse900" /> + id="g902"> + id="path904" /> + id="path906" /> + id="g908"> + id="path910" /> + id="g912"> + id="path914" /> + id="path916" /> + id="path918" /> + id="g920"> - - - - - - - - - - - - + id="ellipse922" /> - - - - - - - - - - + d="M51354 36583 L51365 36594" + id="path932" /> + id="g934"> + + + + + + + + + + + + + + + + + + + + + + + id="ellipse968" /> + id="g970"> - - - - - - - - - + + + + + + + + + + id="g996"> + id="ellipse998" /> + id="g1000"> + id="path1002" /> + id="path1004" /> + id="g1006"> + id="path1008" /> + id="path1010" /> + id="g1012"> + id="path1014" /> + id="g1016"> + id="path1018" /> + id="path1020" /> + id="g1022"> + id="ellipse1024" /> + id="g1026"> - - - - - - - - - - - + - - + - - - - - + d="M51299 37363 L51303 37367" + id="path1050" /> + + + id="g1056"> + - - - - + id="g1068"> + id="ellipse1070" /> + id="g1072"> + + + + + + - - - + + + + + + + + + + + + + + + id="ellipse1116" /> + id="g1118"> + id="path1120" /> + id="path1122" /> + id="g1124"> + id="path1126" /> + id="path1128" /> + id="g1130"> + id="ellipse1132" /> + id="g1134"> + id="path1136" /> + id="g1138"> - - - - - - - - - + + + + + + + + + + id="g1172"> + d="M57055 26467 L57230 26467" + id="path1174" /> + + + + id="g1180"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse1236" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + - - + + + d="M55114 31654 L55118 31654" + id="path1258" /> + id="g1260"> + id="ellipse1262" /> + + + + id="g1268"> + d="M62024 16291 L62024 15878" + id="path1270" /> + id="g1272"> + d="M62028 15874 L62031 15874" + id="path1274" /> + id="g1276"> + id="ellipse1278" /> - - - - - + - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse1382" /> + id="g1384"> + id="path1386" /> + id="path1388" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -2617,333 +2606,336 @@ transform="translate(0 0) scale(1 1)" id="g1400"> - + id="g1406"> + - + id="g1412"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse1492" /> + id="g1494"> + id="path1496" /> + id="g1498"> + id="path1500" /> + id="g1502"> + id="path1504" /> + id="path1506" /> + id="g1508"> + id="ellipse1510" /> + id="g1512"> + id="path1514" /> + id="path1516" /> + id="path1518" /> + id="path1520" /> + id="g1522"> + id="path1524" /> + id="g1526"> + id="path1528" /> + id="path1530" /> + id="path1532" /> + id="path1534" /> + id="g1536"> + id="ellipse1538" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + d="M59755 30292 L59996 30051" + id="path1552" /> + + + id="g1558"> + d="M58827 31820 L58840 31820" + id="path1560" /> + id="g1562"> + + + id="g1580"> - + + id="g1586"> + id="ellipse1588" /> + id="g1590"> - - + d="M59221 30224 L59390 30055" + id="path1592" /> + + + id="g1606"> + id="ellipse1608" /> + id="g1610"> - - + + + d="M46643 32599 L47460 32599" + id="path1620" /> + + + + id="g1628"> - - - - - - + id="ellipse1630" /> - - - - - + id="g1636"> + d="M46643 31064 L47020 31064" + id="path1638" /> - + d="M47020 31064 L47110 31154" + id="path1640" /> + + + + + + + - + id="g1666"> + - + id="g1672"> - - - + id="ellipse1674" /> + id="g1676"> + d="M46980 32251 L46980 31929" + id="path1678" /> + + + + - - + d="M46643 33367 L47765 33367" + id="path1700" /> + id="g1702"> + - - - + d="M47923 33199 L47933 33189" + id="path1708" /> + id="g1710"> + id="ellipse1712" /> + id="g1714"> + d="M47923 33209 L47923 33199" + id="path1716" /> + + + - + id="g1728"> + id="ellipse1730" /> + id="g1732"> + d="M46643 33623 L46849 33623" + id="path1734" /> + id="g1736"> + + + + + id="g1750"> + id="ellipse1752" /> - - - - + + + + + + + + + + + + + + id="g1782"> + id="ellipse1784" /> + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g1820"> + d="M49838 37889 L50058 37889" + id="path1822" /> + d="M50058 37889 L50071 37902" + id="path1824" /> + id="g1826"> + id="ellipse1828" /> + + + + + + + + + + + + + + + + + - - - - - - - - + id="g1860"> - - - - - - - - + id="ellipse1862" /> + id="g1864"> + + + + + + + + + + + + + + + + + - - - - + id="ellipse1894" /> + id="g1896"> + d="M46826 35669 L47035 35878" + id="path1898" /> - - - - - + d="M50716 33111 L50995 33111" + id="path1900" /> + id="g1902"> - - - - - - - - - - - - - - - - + d="M49858 32512 L50039 32693" + id="path1904" /> + id="g1906"> + id="ellipse1908" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g1954"> - - @@ -3759,470 +3755,503 @@ transform="translate(0 0) scale(1 1)" id="g1970"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g1974"> + d="M47265 34646 L47265 34154" + id="path1976" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - + id="g2234"> + d="M49764 24212 L49764 24209" + id="path2236" /> + d="M49657 24319 L49764 24212" + id="path2238" /> + + + + id="g2244"> + d="M49657 24324 L49657 24319" + id="path2246" /> + d="M49560 24421 L49657 24324" + id="path2248" /> - - - - - - - - - - - - - - - - + d="M49363 24659 L49363 24987" + id="path2250" /> + id="g2252"> + d="M49241 24143 L49241 24124" + id="path2254" /> + d="M49241 24143 L49360 24262" + id="path2256" /> + d="M49360 24970 L49360 24262" + id="path2258" /> + id="g2260"> + + + + d="M49360 24984 L49360 24970" + id="path2266" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g2320"> - + + - + + - - - - - - - + id="g2340"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse2678" /> + id="g2680"> + id="path2682" /> + id="g2684"> + id="path2686" /> + id="path2688" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g2690"> + id="path2692" /> + id="g2694"> + id="path2696" /> + id="path2698" /> + id="path2700" /> + id="g2702"> + id="ellipse2704" /> + id="g2706"> + id="path2708" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - - - - + d="M52087 28563 L52566 29042" + id="path2730" /> + id="g2732"> + id="ellipse2734" /> + + + + + - - - - - - - - + id="g2748"> + d="M51220 29449 L51299 29449" + id="path2750" /> + + + + id="g2758"> + id="ellipse2760" /> + id="g2762"> + + + + + + + - + id="g2776"> - - - + d="M51221 29308 L53353 29308" + id="path2778" /> + + + + + + + + id="g2796"> - - - - - - - - - - - - - - - - - - + id="ellipse2806" /> + id="g2808"> + + + + + + + + + + + + + - - + - - + - - - - - + + + - - + - - - - - + + + - - + - - - - - + + + - - - - - + + + - - + - - - - - + + + - - + + + id="g2952"> - - - - - - - - + id="g2956"> + d="M50513 26960 L50945 27392" + id="path2958" /> + d="M50945 27392 L50945 28021" + id="path2960" /> - + d="M50945 28021 L50955 28031" + id="path2962" /> + id="g2964"> + id="ellipse2966" /> + + + + + + + - - + - - + id="g2988"> + id="ellipse2990" /> + + + + + id="g3002"> + d="M54756 30004 L54756 30008" + id="path3004" /> + + + + id="g3012"> - + d="M50288 26174 L50599 26174" + id="path3014" /> + id="g3016"> - - - - + id="ellipse3018" /> - - - + id="g3026"> + d="M51585 27697 L51585 28737" + id="path3028" /> + id="g3030"> + d="M55496 30838 L55496 30835" + id="path3032" /> - - + d="M55488 30846 L55496 30838" + id="path3034" /> + id="g3036"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse3268" /> + id="g3270"> + d="M47353 32330 L47941 32330" + id="path3272" /> + id="g3274"> + d="M46643 32088 L47111 32088" + id="path3276" /> + d="M47111 32088 L47165 32142" + id="path3278" /> + id="g3280"> + id="ellipse3282" /> + id="g3284"> - + d="M47165 32142 L47353 32330" + id="path3286" /> - - - - - - - - - - - + id="g3288"> + id="path3290" /> + id="g3292"> + id="path3294" /> + id="path3296" /> + id="g3298"> + id="ellipse3300" /> + id="g3302"> + id="path3304" /> + id="path3306" /> + id="g3308"> + id="ellipse3310" /> + id="g3312"> + id="path3314" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + id="g3338"> - - - - + + - - - - + - + id="g3358"> + id="ellipse3360" /> + id="g3362"> + d="M51658 33358 L51823 33358" + id="path3364" /> + id="g3366"> + d="M52923 33026 L52923 33001" + id="path3368" /> + + - - - - - + + + + + + id="g3402"> - - - - - + id="g3406"> + d="M47044 36393 L47351 36393" + id="path3408" /> + d="M47004 36433 L47044 36393" + id="path3410" /> + + + - - - - + id="g3420"> + d="M47209 36590 L47351 36590" + id="path3422" /> + id="g3424"> + d="M46643 36949 L46850 36949" + id="path3426" /> + + + + - - - - + id="g3438"> + d="M46643 37204 L46900 37204" + id="path3440" /> + id="g3442"> + d="M47188 37492 L47362 37492" + id="path3444" /> + + + + - - - - - - - + id="g3456"> + d="M47028 37689 L47362 37689" + id="path3458" /> + + + + + id="g3466"> + id="ellipse3468" /> + + + - - - - - - - - - - + d="M47020 37925 L47060 37885" + id="path3478" /> + id="g3480"> + id="ellipse3482" /> + + + + + + + + + + + - - - - - - - - - + id="ellipse3502" /> + id="g3504"> + d="M49973 37496 L50150 37319" + id="path3506" /> + + id="g3510"> + d="M50339 36949 L50995 36949" + id="path3512" /> - + d="M50126 36736 L50339 36949" + id="path3514" /> + id="g3516"> + id="ellipse3518" /> + id="g3520"> + + + + + + + + + + + + + - - + + - + + + + + - - - @@ -6810,397 +6807,400 @@ transform="translate(0 0) scale(1 1)" id="g3572"> - - + id="g3576"> + d="M49795 34019 L50458 34019" + id="path3578" /> - + d="M50458 34019 L50520 33957" + id="path3580" /> + id="g3582"> + id="ellipse3584" /> + + + + - + + + + + + + + + + + + + + + id="g3634"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse3678" /> + id="g3680"> + id="path3682" /> + id="path3684" /> + id="path3686" /> + id="path3688" /> + id="path3690" /> + id="path3692" /> + id="path3694" /> + id="g3696"> + id="ellipse3698" /> + id="g3700"> + id="path3702" /> + id="path3704" /> + id="path3706" /> + id="path3708" /> + id="path3710" /> + d="M56465 30639 L56491 30639" + id="path3712" /> + d="M52930 25274 L53554 25274" + id="path3714" /> - - - - - - - - - - - - - - - - - - - - - + d="M53554 25274 L54079 25799" + id="path3716" /> + id="g3718"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse3774" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + @@ -7219,162 +7219,158 @@ transform="translate(0 0) scale(1 1)" id="g3792"> + + + + id="g3808"> + + + + + + + + + + + + + + + + + + id="ellipse3836" /> + id="g3838"> + id="path3840" /> + id="path3842" /> + id="path3844" /> + id="path3846" /> + id="path3848" /> + id="g3850"> + id="ellipse3852" /> + id="g3854"> - - - - - - - - - - - - - - - - - - - - - - - + + + - - + - - + @@ -7393,1478 +7389,1485 @@ transform="translate(0 0) scale(1 1)" id="g3882"> - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - + id="ellipse3934" /> + + + + id="g3950"> + + + + + + + + + + + + + + + + + + + id="ellipse3980" /> + id="g3982"> + id="path3984" /> + id="path3986" /> + id="g3988"> + id="path3990" /> + id="path3992" /> + id="path3994" /> + id="g3996"> + id="ellipse3998" /> + id="g4000"> + id="path4002" /> + id="path4004" /> + id="g4006"> + id="path4008" /> + id="path4010" /> + id="path4012" /> + id="g4014"> + id="path4016" /> + id="g4018"> + id="path4020" /> + id="path4022" /> + id="path4024" /> + id="path4026" /> + id="path4028" /> + id="g4030"> + id="ellipse4032" /> + id="g4034"> + id="path4036" /> + id="path4038" /> + id="g4040"> + id="path4042" /> + id="path4044" /> + id="g4046"> + id="ellipse4048" /> + id="g4050"> + id="path4052" /> + id="path4054" /> + id="path4056" /> + id="path4058" /> + id="path4060" /> + id="path4062" /> + id="path4064" /> + id="path4066" /> + id="path4068" /> + id="path4070" /> + id="path4072" /> + id="path4074" /> + id="g4076"> + id="path4078" /> + id="path4080" /> + id="g4082"> + id="ellipse4084" /> + id="g4086"> + id="path4088" /> + id="path4090" /> + id="path4092" /> + id="g4094"> + id="path4096" /> + id="g4098"> + id="path4100" /> + id="path4102" /> + id="g4104"> + id="ellipse4106" /> + id="g4108"> + id="path4110" /> + id="path4112" /> + id="path4114" /> + id="path4116" /> + id="path4118" /> + id="g4120"> + id="path4122" /> + id="g4124"> + id="path4126" /> + id="path4128" /> + id="path4130" /> + id="path4132" /> + id="path4134" /> + id="g4136"> + id="path4138" /> + id="path4140" /> + id="g4142"> + id="ellipse4144" /> + id="g4146"> + id="path4148" /> + id="path4150" /> + id="path4152" /> + id="path4154" /> + id="path4156" /> + id="g4158"> + id="ellipse4160" /> + id="g4162"> + id="path4164" /> + id="path4166" /> + id="g4168"> + id="path4170" /> + id="path4172" /> + id="path4174" /> + id="g4176"> + id="path4178" /> + id="g4180"> + id="path4182" /> + id="path4184" /> + id="g4186"> + id="ellipse4188" /> + id="g4190"> + id="path4192" /> + id="g4194"> + id="path4196" /> + id="path4198" /> + id="path4200" /> + id="path4202" /> + id="g4204"> + id="path4206" /> + id="g4208"> + id="path4210" /> + id="path4212" /> + id="g4214"> + id="ellipse4216" /> + id="g4218"> + id="path4220" /> + id="path4222" /> + id="path4224" /> + id="path4226" /> + id="path4228" /> + id="path4230" /> + id="path4232" /> + id="path4234" /> + id="path4236" /> + id="path4238" /> + id="path4240" /> + id="path4242" /> + id="g4244"> + id="ellipse4246" /> + id="g4248"> + id="path4250" /> + id="g4252"> + id="path4254" /> + id="g4256"> + id="path4258" /> + id="g4260"> + id="path4262" /> + id="path4264" /> + id="path4266" /> + id="path4268" /> + id="path4270" /> + id="path4272" /> + id="g4274"> + id="ellipse4276" /> + id="g4278"> + id="path4280" /> + id="g4282"> + id="path4284" /> + id="path4286" /> + id="g4288"> + id="path4290" /> + id="path4292" /> + id="g4294"> + id="ellipse4296" /> + id="g4298"> + id="path4300" /> + id="g4302"> + id="path4304" /> + id="g4306"> + id="path4308" /> + id="path4310" /> + id="g4312"> - - - - - - - - - - - - - - - - - - - + id="ellipse4314" /> + + + + + + + + + id="g4338"> + + + + + + + + + + + + id="ellipse4356" /> + id="g4358"> + id="path4360" /> + id="g4362"> + id="path4364" /> + id="path4366" /> + id="g4368"> + id="ellipse4370" /> + id="g4372"> + id="path4374" /> + id="path4376" /> + id="path4378" /> + id="path4380" /> + id="path4382" /> + id="g4384"> + id="path4386" /> + id="g4388"> + id="path4390" /> + id="g4392"> + id="path4394" /> + id="path4396" /> + id="g4398"> + id="ellipse4400" /> + id="g4402"> + id="path4404" /> + id="path4406" /> + id="path4408" /> + id="path4410" /> + id="path4412" /> + id="path4414" /> + id="path4416" /> + id="path4418" /> + id="path4420" /> + id="path4422" /> + id="path4424" /> + id="path4426" /> + id="g4428"> + id="path4430" /> + id="path4432" /> + id="g4434"> + id="ellipse4436" /> + id="g4438"> + id="path4440" /> + id="path4442" /> + id="path4444" /> + id="path4446" /> + id="path4448" /> + id="path4450" /> + id="path4452" /> + id="path4454" /> + id="path4456" /> + id="path4458" /> + id="path4460" /> + id="g4462"> + id="path4464" /> + id="g4466"> + id="path4468" /> + id="path4470" /> + id="g4472"> + id="ellipse4474" /> + id="g4476"> + id="path4478" /> + id="path4480" /> + id="path4482" /> + id="g4484"> + id="ellipse4486" /> + id="g4488"> + id="path4490" /> + id="path4492" /> + id="path4494" /> + id="path4496" /> + id="g4498"> + id="ellipse4500" /> - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + id="g4518"> + d="M51949 32425 L52131 32607" + id="path4520" /> - - - - + d="M51465 32425 L51949 32425" + id="path4522" /> - - - - - - + d="M49063 32173 L49067 32173" + id="path4532" /> + id="g4534"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse4576" /> + id="g4578"> + id="path4580" /> + id="g4582"> + id="ellipse4584" /> + id="g4586"> + id="path4588" /> + id="g4590"> + id="path4592" /> + id="g4594"> + id="path4596" /> + id="g4598"> + id="path4600" /> + id="g4602"> + id="ellipse4604" /> + id="g4606"> + id="path4608" /> + id="path4610" /> + id="path4612" /> + id="path4614" /> + id="path4616" /> + id="g4618"> + id="ellipse4620" /> + id="g4622"> + id="path4624" /> + id="path4626" /> + id="g4628"> + id="path4630" /> + id="g4632"> - - - - - - - - - - - - - - - - - - - - - + + - + + - + + + + + + + + id="g4680"> - - - - - - - - - + id="g4686"> + + + + + + + + + + + + + + + + + + + + + + id="ellipse4722" /> + id="g4724"> + id="path4726" /> + id="path4728" /> + id="g4730"> + id="path4732" /> + id="g4734"> + id="ellipse4736" /> + id="g4738"> + id="path4740" /> + id="path4742" /> + id="path4744" /> + id="g4746"> + id="path4748" /> + id="path4750" /> + id="g4752"> + id="path4754" /> + id="path4756" /> + id="path4758" /> + id="path4760" /> + id="g4762"> + id="path4764" /> + id="g4766"> + id="path4768" /> + id="g4770"> + id="ellipse4772" /> + id="g4774"> + id="path4776" /> + id="path4778" /> + id="path4780" /> + id="g4782"> + id="path4784" /> + id="g4786"> + id="path4788" /> + id="path4790" /> + id="path4792" /> + id="path4794" /> + id="path4796" /> + id="g4798"> + id="path4800" /> + id="path4802" /> + id="path4804" /> + id="g4806"> + id="ellipse4808" /> + id="g4810"> + id="path4812" /> + id="path4814" /> + id="path4816" /> + id="g4818"> + id="path4820" /> + id="path4822" /> + id="path4824" /> + id="g4826"> + id="ellipse4828" /> + id="g4830"> + id="path4832" /> + id="path4834" /> + id="path4836" /> + id="path4838" /> + id="path4840" /> + id="g4842"> + id="path4844" /> + id="g4846"> + id="path4848" /> + id="g4850"> + id="ellipse4852" /> - - - - - - - - - - - - - - - - - - - - - - - - + + + - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse4910" /> + id="g4912"> + id="path4914" /> + id="path4916" /> + id="g4918"> + id="path4920" /> + id="path4922" /> + id="g4924"> + id="ellipse4926" /> + id="g4928"> + id="path4930" /> + id="path4932" /> + id="path4934" /> + id="path4936" /> + id="path4938" /> + id="g4940"> + id="path4942" /> + id="g4944"> + id="ellipse4946" /> - - - - - - - - - - - - - - - - - - - - - - - - + + - - - - - - - + d="M48075 37819 L48091 37819" + id="path4964" /> + id="g4966"> - - - - - - - - - + id="ellipse4968" /> + id="g4970"> + d="M48009 37885 L48075 37819" + id="path4972" /> + + + + + + + + + + + + + + + + + @@ -9573,7 +9574,7 @@ transform="translate(0 0) scale(1 1)" id="g5012"> + + + + + + + + + + + + id="g5040"> + + + + + + + + + + + id="ellipse5056" /> + id="g5058"> + id="path5060" /> + id="path5062" /> + id="path5064" /> + id="g5066"> + id="path5068" /> + id="path5070" /> + id="path5072" /> + id="path5074" /> + id="path5076" /> + id="g5078"> + id="path5080" /> + id="g5082"> + id="path5084" /> + id="path5086" /> + id="g5088"> + id="ellipse5090" /> + id="g5092"> + id="ellipse5094" /> + id="g5096"> + id="path5098" /> + id="path5100" /> + id="g5102"> + id="path5104" /> + id="path5106" /> + id="path5108" /> + id="path5110" /> + id="path5112" /> + id="g5114"> + id="path5116" /> + id="path5118" /> + id="path5120" /> + id="path5122" /> + id="path5124" /> + id="path5126" /> + id="path5128" /> + id="g5130"> + id="ellipse5132" /> + id="g5134"> + id="path5136" /> + id="path5138" /> + id="g5140"> + id="path5142" /> + id="path5144" /> + id="path5146" /> + id="path5148" /> + id="path5150" /> + id="path5152" /> + id="g5154"> + id="ellipse5156" /> + id="g5158"> + id="path5160" /> + id="g5162"> + id="path5164" /> + id="g5166"> + id="path5168" /> + id="path5170" /> - - - - - - - - - - - - - - - - - - - - - + id="path5172" /> - - - - - - - - - - - - - - - - + d="M49772 34823 L49819 34776" + id="path5182" /> + id="g5184"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse5242" /> + id="g5244"> + id="path5246" /> + id="path5248" /> + id="g5250"> + id="path5252" /> + id="path5254" /> + id="path5256" /> + id="path5258" /> + id="g5260"> + id="ellipse5262" /> + id="g5264"> + id="path5266" /> + id="path5268" /> + id="g5270"> + id="path5272" /> + id="g5274"> + id="path5276" /> + id="g5278"> + id="path5280" /> + id="path5282" /> + id="path5284" /> + id="g5286"> + id="ellipse5288" /> + id="g5290"> + id="path5292" /> + id="g5294"> + id="path5296" /> + id="g5298"> + id="ellipse5300" /> + id="g5302"> + id="path5304" /> + id="path5306" /> + id="path5308" /> + id="g5310"> + id="path5312" /> + id="path5314" /> + id="g5316"> + id="path5318" /> + id="g5320"> + id="ellipse5322" /> + id="g5324"> + id="path5326" /> + id="g5328"> + id="path5330" /> + id="g5332"> + id="path5334" /> + id="path5336" /> + id="path5338" /> + id="g5340"> + id="ellipse5342" /> + id="g5344"> + id="path5346" /> + id="path5348" /> - - - - - - - - - - - - - - - - - - - - - + + + id="g5368"> + d="M47705 36197 L47854 36197" + id="path5370" /> + + + d="M48342 35713 L50067 35713" + id="path5374" /> + + id="g5378"> + + + + + + + + + + + + + + + + + id="ellipse5404" /> + id="g5406"> + id="path5408" /> + id="path5410" /> + id="g5412"> + id="path5414" /> + id="g5416"> + id="path5418" /> + id="g5420"> + id="path5422" /> + id="g5424"> + id="ellipse5426" /> + id="g5428"> + id="path5430" /> + id="path5432" /> + id="g5434"> + id="path5436" /> + id="path5438" /> + id="g5440"> + id="ellipse5442" /> + id="g5444"> + id="path5446" /> + id="path5448" /> + id="path5450" /> + id="path5452" /> + id="g5454"> + id="path5456" /> + id="g5458"> + id="path5460" /> + id="g5462"> + id="path5464" /> + id="g5466"> + id="ellipse5468" /> + id="g5470"> + id="path5472" /> + id="g5474"> + id="path5476" /> + id="path5478" /> + id="path5480" /> + id="g5482"> - - - - - - - - - - - - - - - - - - - - - - @@ -10520,1207 +10516,1216 @@ transform="translate(0 0) scale(1 1)" id="g5492"> + + - - - - + id="g5500"> + id="ellipse5502" /> + + + + + + + + + + + id="g5524"> + d="M51747 33173 L51846 33173" + id="path5526" /> + d="M51487 32913 L51747 33173" + id="path5528" /> + d="M50000 32913 L51487 32913" + id="path5530" /> + d="M49815 32728 L50000 32913" + id="path5532" /> + + + + + + id="g5542"> - - - + d="M49051 32638 L49177 32512" + id="path5544" /> + id="g5546"> - - - - - - - - - - - - - + + + + - + + - - - - + id="g5568"> + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse5608" /> + id="g5610"> + id="path5612" /> + id="path5614" /> + id="path5616" /> + id="g5618"> + id="path5620" /> + id="path5622" /> + id="path5624" /> + id="path5626" /> + id="path5628" /> + id="path5630" /> + id="path5632" /> + id="path5634" /> + id="path5636" /> + id="g5638"> + id="path5640" /> + id="path5642" /> + id="g5644"> + id="ellipse5646" /> + id="g5648"> + id="path5650" /> + id="g5652"> + id="path5654" /> + id="g5656"> + id="path5658" /> + id="path5660" /> + id="path5662" /> + id="g5664"> + id="path5666" /> + id="g5668"> + id="ellipse5670" /> + id="g5672"> + id="path5674" /> + id="g5676"> + id="path5678" /> + id="path5680" /> + id="path5682" /> + id="path5684" /> + id="path5686" /> + id="path5688" /> + id="g5690"> + id="ellipse5692" /> + id="g5694"> + id="path5696" /> + id="g5698"> + id="path5700" /> - - - - - - - - - - - - - - - - - - - - - - + + + + id="g5716"> - - + d="M61006 17167 L61441 16732" + id="path5718" /> - - + - + - - - - - - - - - - - + id="g5744"> - - - + id="ellipse5746" /> + id="g5748"> + + + id="path5754" /> + id="path5756" /> + id="path5758" /> + id="g5760"> + id="path5762" /> + id="g5764"> + id="path5766" /> + id="path5768" /> + id="path5770" /> + id="g5772"> + id="ellipse5774" /> + id="g5776"> + id="path5778" /> + id="path5780" /> + id="path5782" /> + id="g5784"> + id="ellipse5786" /> + id="g5788"> + id="path5790" /> + id="path5792" /> + id="path5794" /> + id="path5796" /> + id="path5798" /> + id="path5800" /> + id="path5802" /> + id="path5804" /> + id="path5806" /> + id="path5808" /> + id="g5810"> + id="ellipse5812" /> + id="g5814"> + id="path5816" /> + + + + + + + + + + + + + + - + + + + + + + + + + id="g5866"> + id="ellipse5868" /> + id="g5870"> - - - - - + d="M59245 30961 L59406 31122" + id="path5872" /> + id="path5874" /> + d="M56559 28660 L57961 30062" + id="path5876" /> + + id="path5880" /> + id="path5882" /> + id="path5884" /> + id="g5886"> + id="path5888" /> + id="g5890"> + id="ellipse5892" /> + id="g5894"> + id="path5896" /> + id="path5898" /> + id="g5900"> + id="path5902" /> + id="g5904"> + id="path5906" /> + id="path5908" /> + id="path5910" /> + id="path5912" /> + id="path5914" /> + id="path5916" /> + id="g5918"> + id="path5920" /> + id="path5922" /> + id="g5924"> + id="ellipse5926" /> + id="g5928"> - - - - - - - - + + + - - - - - - - - - - - - - - - + id="g5948"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse6062" /> + id="g6064"> + id="path6066" /> + id="g6068"> + id="path6070" /> + id="path6072" /> + id="g6074"> + id="path6076" /> + id="g6078"> + id="path6080" /> + id="path6082" /> + id="path6084" /> + id="g6086"> + id="ellipse6088" /> + id="g6090"> + id="path6092" /> + id="path6094" /> + id="path6096" /> + id="path6098" /> + id="path6100" /> + id="path6102" /> + id="path6104" /> + id="path6106" /> + id="path6108" /> + id="path6110" /> + id="path6112" /> + d="M56276 30850 L56276 30874" + id="path6114" /> + d="M56073 31032 L56118 31032" + id="path6116" /> - - - + d="M56118 31032 L56276 30874" + id="path6118" /> + + + + + + + id="g6132"> - - - - - - - + d="M57732 29520 L57732 30106" + id="path6134" /> + id="g6136"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g6148"> + + + + + + + id="g6168"> + id="ellipse6170" /> + id="g6172"> - - - - + + + + + + + + + + + + + + + + + + id="g6220"> - - - - + d="M58827 30245 L58827 29787" + id="path6222" /> + id="g6224"> - - - - - - - - - - - - - - - - + + + - - + - - - + id="g6256"> + + + + + + - - - + id="g6278"> - + + + + + + + + + + + + id="g6312"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse6524" /> + id="g6526"> + id="path6528" /> - - - + id="path6530" /> + id="g6532"> + id="ellipse6534" /> + id="g6536"> + d="M58434 30637 L58669 30402" + id="path6538" /> + id="path6540" /> + id="g6542"> + id="path6544" /> + id="g6546"> + id="ellipse6548" /> + id="g6550"> + id="path6552" /> + id="path6554" /> + id="path6556" /> + id="g6558"> + id="ellipse6560" /> + id="g6562"> + id="path6564" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path6566" /> - - - + id="g6574"> + + + - - + - - + - - - - - + + + - - - + + id="g6614"> + - - + - - + @@ -12688,130 +12654,119 @@ transform="translate(0 0) scale(1 1)" id="g6636"> - - - + + - - - - - - - - - - - + d="M50107 35461 L50559 35913" + id="path6650" /> + id="g6652"> + id="ellipse6654" /> + id="g6656"> - + d="M50559 35913 L50571 35925" + id="path6658" /> + id="g6660"> + + + + + + + + + + + + - - - + + + + + + id="g6694"> - - + d="M46643 30552 L47290 30552" + id="path6696" /> - - - - - + + + + + id="g6718"> + id="ellipse6720" /> - - - - - - - - - - - - - - - + id="g6726"> + d="M46870 35157 L47030 35317" + id="path6728" /> + + + + + + + + id="g6740"> + id="ellipse6742" /> + id="g6744"> + + + - - + - - - + id="g6760"> + d="M52701 35150 L52705 35150" + id="path6762" /> + + + + + id="g6774"> + id="ellipse6776" /> + id="g6778"> - - - - - - - + d="M52530 31820 L52530 31844" + id="path6782" /> + + + + + + + + + + id="g6802"> - - - - + id="ellipse6804" /> + + id="g6812"> - - - + d="M47129 23858 L47129 23808" + id="path6814" /> + - - - - - + id="g6822"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="ellipse7056" /> + id="g7058"> + id="path7060" /> + id="g7062"> + id="path7064" /> + id="g7066"> + id="path7068" /> + id="path7070" /> + id="path7072" /> + id="g7074"> + id="ellipse7076" /> + id="g7078"> + id="path7080" /> + id="path7082" /> + id="g7084"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + - - + + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g8256"> + id="path8258" /> + id="path8260" /> + id="g8262"> + id="path8264" /> + id="path8266" /> + id="path8268" /> + id="path8270" /> + id="path8272" /> + id="path8274" /> + id="path8276" /> + id="path8278" /> + id="path8280" /> + id="g8282"> + id="path8284" /> + id="g8286"> + id="path8288" /> + id="g8290"> + id="path8292" /> + id="g8294"> + id="path8296" /> + id="path8298" /> + id="path8300" /> + id="path8302" /> + id="g8304"> + id="path8306" /> + id="g8308"> + id="path8310" /> + id="path8312" /> + id="path8314" /> + id="path8316" /> + id="path8318" /> + id="path8320" /> + id="path8322" /> + id="path8324" /> + id="g8326"> + id="polygon8328" /> + id="g8330"> + id="polygon8332" /> + id="g8334"> + id="polygon8336" /> + id="g8338"> + id="polygon8340" /> + id="g8342"> + id="polygon8344" /> + id="g8346"> + id="polygon8348" /> + id="g8350"> + id="polygon8352" /> + id="g8354"> + id="polygon8356" /> + id="g8358"> + id="polygon8360" /> + id="g8362"> + id="polygon8364" /> + id="g8366"> + id="polygon8368" /> + id="g8370"> + id="path8372" /> + id="path8374" /> + id="g8376"> + id="path8378" /> + id="path8380" /> + id="path8382" /> + id="path8384" /> + id="path8386" /> + id="path8388" /> + id="path8390" /> + id="path8392" /> + id="path8394" /> + id="g8396"> + id="path8398" /> + id="g8400"> + id="path8402" /> + id="g8404"> + id="path8406" /> + id="g8408"> + id="path8410" /> + id="path8412" /> + id="path8414" /> + id="path8416" /> + id="g8418"> + id="path8420" /> + id="g8422"> + id="path8424" /> + id="path8426" /> + id="path8428" /> + id="path8430" /> + id="path8432" /> + id="path8434" /> + id="path8436" /> + id="path8438" /> + id="path8440" /> + id="path8442" /> + id="path8444" /> + id="path8446" /> + id="path8448" /> + id="path8450" /> + id="path8452" /> + id="path8454" /> + id="path8456" /> + id="path8458" /> + id="path8460" /> + id="path8462" /> + id="g8464"> + id="ellipse8466" /> + id="path8468" /> + id="path8470" /> + id="path8472" /> + id="path8474" /> + id="g8476"> + id="polygon8478" /> + id="g8480"> + id="polygon8482" /> + id="g8484"> + id="path8486" /> + id="path8488" /> + id="g8490"> + id="path8492" /> + id="path8494" /> + id="path8496" /> + id="path8498" /> + id="path8500" /> + id="path8502" /> + id="path8504" /> + id="path8506" /> + id="path8508" /> + id="path8510" /> + id="path8512" /> + id="path8514" /> + id="path8516" /> + id="path8518" /> + id="path8520" /> + id="g8522"> + id="path8524" /> + id="g8526"> + id="path8528" /> + id="path8530" /> + id="g8532"> + id="path8534" /> + id="g8536"> + id="path8538" /> + id="path8540" /> + id="g8542"> + id="path8544" /> + id="g8546"> + id="path8548" /> + id="path8550" /> + id="path8552" /> + id="path8554" /> + id="g8556"> + id="polygon8558" /> + id="g8560"> + id="polygon8562" /> + id="g8564"> + id="path8566" /> + id="path8568" /> + id="g8570"> + id="path8572" /> + id="path8574" /> + id="path8576" /> + id="path8578" /> + id="path8580" /> + id="path8582" /> + id="path8584" /> + id="path8586" /> + id="path8588" /> + id="path8590" /> + id="path8592" /> + id="path8594" /> + id="path8596" /> + id="path8598" /> + id="path8600" /> + id="g8602"> + id="path8604" /> + id="g8606"> + id="path8608" /> + id="path8610" /> + id="g8612"> + id="path8614" /> + id="g8616"> + id="path8618" /> + id="path8620" /> + id="path8622" /> + id="path8624" /> + id="path8626" /> + id="path8628" /> + id="path8630" /> + id="path8632" /> + id="path8634" /> + id="path8636" /> + id="path8638" /> + id="path8640" /> + id="path8642" /> + id="path8644" /> + id="path8646" /> + id="path8648" /> + id="path8650" /> + id="path8652" /> + id="path8654" /> + id="path8656" /> + id="path8658" /> + id="g8660"> + id="path8662" /> + id="g8664"> + id="path8666" /> + id="path8668" /> + id="path8670" /> + id="path8672" /> + id="g8674"> + id="polygon8676" /> + id="g8678"> + id="polygon8680" /> + id="g8682"> + id="path8684" /> + id="path8686" /> + id="g8688"> + id="path8690" /> + id="g8692"> + id="path8694" /> + id="g8696"> + id="path8698" /> + id="path8700" /> + id="path8702" /> + id="path8704" /> + id="path8706" /> + id="path8708" /> + id="path8710" /> + id="path8712" /> + id="path8714" /> + id="path8716" /> + id="g8718"> + id="path8720" /> + id="g8722"> + id="path8724" /> + id="path8726" /> + id="path8728" /> + id="path8730" /> + id="path8732" /> + id="path8734" /> + id="path8736" /> + id="path8738" /> + id="path8740" /> + id="path8742" /> + id="g8744"> + id="path8746" /> + id="g8748"> + id="path8750" /> + id="path8752" /> + id="path8754" /> + id="path8756" /> + id="path8758" /> + id="path8760" /> + id="path8762" /> + id="path8764" /> + id="path8766" /> + id="path8768" /> + id="path8770" /> + id="path8772" /> + id="path8774" /> + id="g8776"> + id="path8778" /> + id="g8780"> + id="path8782" /> + id="path8784" /> + id="path8786" /> + id="path8788" /> + id="g8790"> + id="polygon8792" /> + id="g8794"> + id="polygon8796" /> + id="g8798"> + id="path8800" /> + id="path8802" /> + id="g8804"> + id="path8806" /> + id="g8808"> + id="path8810" /> + id="g8812"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + id="g8860"> + d="M48265 34970 L48265 34970" + id="path8862" /> + id="g8864"> - - + + + + + + + + + id="g8886"> + d="M48075 34970 L48075 34970" + id="path8888" /> + id="g8890"> + d="M48632 35207 L48632 34871" + id="path8892" /> + d="M48022 34871 L48632 34871" + id="path8894" /> + d="M48022 34871 L48022 35207" + id="path8896" /> - - - - - - - - - - - - + d="M48022 35207 L48632 35207" + id="path8898" /> - - - - - - + id="g8908"> + d="M48325 33118 L48329 33118" + id="path8910" /> + d="M48327 33116 L48327 33120" + id="path8912" /> + + + + + + - - - - - + + + - - - - + id="g8944"> + d="M48569 33104 L48569 33104" + id="path8946" /> + id="g8948"> + + + + + + + + + - - - + + id="g8988"> + d="M48065 33132 L48065 33132" + id="path8990" /> + id="g8992"> - - - - - - - - - - - - - - - - - - - - - + d="M48022 33436 L48632 33436" + id="path9000" /> + id="g9002"> + points="51488,33671 51252,33671 51252,33515 51488,33515 " + id="polygon9004" /> + id="g9006"> - - - - - - - - - - - - - - - - - - + points="51488,33319 51252,33319 51252,33163 51488,33163 " + id="polygon9008" /> + id="g9010"> + d="M51518 33417 L51522 33417" + id="path9012" /> + d="M51520 33415 L51520 33419" + id="path9014" /> + id="g9016"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - + id="g9094"> + d="M51458 33555 L51458 33555" + id="path9096" /> + id="g9098"> + + - - - - - - - - - - - + + + + + + + + + + + + + + + - - - - + + + + + + + - - + + + + id="g9150"> + d="M50027 30832 L50027 30832" + id="path9152" /> + id="g9154"> - - - - - + id="g9176"> + d="M50027 30785 L50027 30785" + id="path9178" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g9246"> + d="M49315 31634 L49866 31634" + id="path9248" /> + d="M49314 30846 L49867 30846" + id="path9250" /> + d="M49591 31594 L49591 30886" + id="path9252" /> + id="g9254"> + points="49343,32453 49499,32453 49499,32571 49343,32571 " + id="polygon9256" /> + id="g9258"> + points="49343,32256 49499,32256 49499,32374 49343,32374 " + id="polygon9260" /> + id="g9262"> + points="49343,32060 49499,32060 49499,32178 49343,32178 " + id="polygon9264" /> + id="g9266"> + points="49343,31863 49499,31863 49499,31981 49343,31981 " + id="polygon9268" /> + id="g9270"> + points="49853,31981 49697,31981 49697,31863 49853,31863 " + id="polygon9272" /> + id="g9274"> + points="49853,32178 49697,32178 49697,32060 49853,32060 " + id="polygon9276" /> + id="g9278"> + points="49853,32374 49697,32374 49697,32256 49853,32256 " + id="polygon9280" /> + id="g9282"> + points="49853,32571 49697,32571 49697,32453 49853,32453 " + id="polygon9284" /> + id="g9286"> + d="M50047 32100 L50051 32100" + id="path9288" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + d="M50049 32098 L50049 32102" + id="path9290" /> @@ -17345,332 +17366,332 @@ transform="translate(0 0) scale(1 1)" id="g9300"> + + + + + + + id="g9322"> + d="M50034 31762 L50034 31762" + id="path9324" /> + id="g9326"> - - - - - - - - - - - + id="g9348"> + d="M50034 31959 L50034 31959" + id="path9350" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g9410"> + d="M49322 32611 L49873 32611" + id="path9412" /> + d="M49321 31823 L49874 31823" + id="path9414" /> + d="M49598 32571 L49598 31863" + id="path9416" /> + id="g9418"> + points="49363,34157 49519,34157 49519,34275 49363,34275 " + id="polygon9420" /> + id="g9422"> + points="49363,33960 49519,33960 49519,34078 49363,34078 " + id="polygon9424" /> + id="g9426"> + points="49363,33764 49519,33764 49519,33882 49363,33882 " + id="polygon9428" /> + id="g9430"> + points="49363,33567 49519,33567 49519,33685 49363,33685 " + id="polygon9432" /> + id="g9434"> + points="49873,33685 49717,33685 49717,33567 49873,33567 " + id="polygon9436" /> + id="g9438"> + points="49873,33882 49717,33882 49717,33764 49873,33764 " + id="polygon9440" /> + id="g9442"> + points="49873,34078 49717,34078 49717,33960 49873,33960 " + id="polygon9444" /> + id="g9446"> + points="49873,34275 49717,34275 49717,34157 49873,34157 " + id="polygon9448" /> + id="g9450"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - + id="g9460"> + d="M50054 33513 L50054 33513" + id="path9462" /> + id="g9464"> + + - - - - - + + + @@ -17679,435 +17700,423 @@ transform="translate(0 0) scale(1 1)" id="g9490"> + + + + + + + + + id="g9512"> + d="M50054 33663 L50054 33663" + id="path9514" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g9586"> + d="M49342 34315 L49893 34315" + id="path9588" /> + d="M49341 33527 L49894 33527" + id="path9590" /> + d="M49618 34275 L49618 33567" + id="path9592" /> + id="g9594"> + points="48369,31004 48213,31004 48213,30886 48369,30886 " + id="polygon9596" /> + id="g9598"> + points="48369,31201 48213,31201 48213,31083 48369,31083 " + id="polygon9600" /> + id="g9602"> + points="48369,31397 48213,31397 48213,31279 48369,31279 " + id="polygon9604" /> + id="g9606"> + points="48369,31594 48213,31594 48213,31476 48369,31476 " + id="polygon9608" /> + id="g9610"> + points="47859,31476 48015,31476 48015,31594 47859,31594 " + id="polygon9612" /> + id="g9614"> + points="47859,31279 48015,31279 48015,31397 47859,31397 " + id="polygon9616" /> + id="g9618"> + points="47859,31083 48015,31083 48015,31201 47859,31201 " + id="polygon9620" /> + id="g9622"> + points="47859,30886 48015,30886 48015,31004 47859,31004 " + id="polygon9624" /> + id="g9626"> + d="M47661 31357 L47665 31357" + id="path9628" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - + + + + + - - - - - - - - - - - - - - - - - - + id="g9662"> + d="M47648 31019 L47648 31019" + id="path9664" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - + + + - - + - - - - + id="g9740"> + + id="g9744"> + + + + + + + + + + + + + + + - - - - - - - + + + d="M47746 32123 L47652 32058" + id="path9772" /> + id="g9774"> + d="M47652 32058 L47652 32058" + id="path9776" /> + id="g9778"> - + + id="g9800"> + d="M47652 32011 L47652 32011" + id="path9802" /> + id="g9804"> - - - - - + d="M47746 32208 L47549 32208" + id="path9806" /> + + + + + + id="g9826"> + d="M47652 32208 L47652 32208" + id="path9828" /> + id="g9830"> - - - - - - - - - + id="g9834"> + d="M47746 32396 L47746 32396" + id="path9836" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g9920"> + d="M47843 31838 L48394 31838" + id="path9922" /> + d="M47842 32626 L48395 32626" + id="path9924" /> + d="M48118 31878 L48118 32586" + id="path9926" /> + id="g9928"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon10084" /> + id="g10086"> + id="polygon10088" /> + id="g10090"> + id="polygon10092" /> + id="g10094"> + id="polygon10096" /> + id="g10098"> + id="polygon10100" /> + id="g10102"> + id="polygon10104" /> + id="g10106"> + id="polygon10108" /> + id="g10110"> + id="polygon10112" /> + id="g10114"> + id="path10116" /> + id="path10118" /> + id="g10120"> + id="path10122" /> + id="g10124"> + id="path10126" /> + id="g10128"> + id="path10130" /> + id="path10132" /> + id="path10134" /> + id="path10136" /> + id="path10138" /> + id="path10140" /> + id="path10142" /> + id="path10144" /> + id="path10146" /> + id="path10148" /> + id="g10150"> + id="path10152" /> + id="g10154"> + id="path10156" /> + id="path10158" /> + id="path10160" /> + id="path10162" /> + id="path10164" /> + id="path10166" /> + id="path10168" /> + id="path10170" /> + id="path10172" /> + id="path10174" /> + id="g10176"> + id="path10178" /> + id="g10180"> + id="path10182" /> + id="g10184"> + id="path10186" /> + id="g10188"> + id="path10190" /> + id="path10192" /> + id="path10194" /> + id="path10196" /> + id="g10198"> + id="path10200" /> + id="g10202"> + id="path10204" /> + id="path10206" /> + id="path10208" /> + id="path10210" /> + id="path10212" /> + id="path10214" /> + id="path10216" /> + id="path10218" /> + id="path10220" /> + id="path10222" /> + id="path10224" /> + id="path10226" /> + id="path10228" /> + id="g10230"> + id="path10232" /> + id="g10234"> + id="path10236" /> + id="path10238" /> + id="path10240" /> + id="g10242"> + id="polygon10244" /> + id="g10246"> + id="polygon10248" /> + id="g10250"> + id="polygon10252" /> + id="g10254"> + id="polygon10256" /> + id="g10258"> + id="polygon10260" /> + id="g10262"> + id="polygon10264" /> + id="g10266"> + id="polygon10268" /> + id="g10270"> + id="polygon10272" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - @@ -19109,1129 +19124,1141 @@ transform="translate(0 0) scale(1 1)" id="g10288"> + + + + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g10384"> + d="M47253 35901 L47804 35901" + id="path10386" /> + d="M47252 36689 L47805 36689" + id="path10388" /> + d="M47528 35941 L47528 36649" + id="path10390" /> + id="g10392"> + points="47794,37551 47638,37551 47638,37433 47794,37433 " + id="polygon10394" /> + id="g10396"> + points="47794,37748 47638,37748 47638,37630 47794,37630 " + id="polygon10398" /> + id="g10400"> + points="47794,37944 47638,37944 47638,37826 47794,37826 " + id="polygon10402" /> + id="g10404"> + points="47794,38141 47638,38141 47638,38023 47794,38023 " + id="polygon10406" /> + id="g10408"> + points="47284,38023 47440,38023 47440,38141 47284,38141 " + id="polygon10410" /> + id="g10412"> + points="47284,37826 47440,37826 47440,37944 47284,37944 " + id="polygon10414" /> + id="g10416"> + points="47284,37630 47440,37630 47440,37748 47284,37748 " + id="polygon10418" /> + id="g10420"> + points="47284,37433 47440,37433 47440,37551 47284,37551 " + id="polygon10422" /> - + id="g10424"> + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + id="g10438"> + d="M47167 37566 L46970 37566" + id="path10440" /> - - - + + + + + - - - + + + + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g10736"> + id="ellipse10738" /> + id="path10740" /> + id="path10742" /> + id="path10744" /> + id="path10746" /> + id="g10748"> + id="polygon10750" /> + id="g10752"> + id="polygon10754" /> + id="g10756"> + id="path10758" /> + id="path10760" /> + id="g10762"> + id="path10764" /> + id="g10766"> + id="path10768" /> + id="g10770"> + id="path10772" /> + id="path10774" /> + id="path10776" /> + id="path10778" /> + id="path10780" /> + id="path10782" /> + id="path10784" /> + id="path10786" /> + id="path10788" /> + id="path10790" /> + id="g10792"> + id="path10794" /> + id="g10796"> + id="path10798" /> + id="g10800"> + id="path10802" /> + id="g10804"> + id="path10806" /> + id="path10808" /> + id="path10810" /> + id="path10812" /> + id="g10814"> + id="path10816" /> + id="g10818"> + id="path10820" /> + id="path10822" /> + id="path10824" /> + id="path10826" /> + id="path10828" /> + id="path10830" /> + id="path10832" /> + id="path10834" /> + id="path10836" /> + id="path10838" /> + id="path10840" /> + id="path10842" /> + id="path10844" /> + id="path10846" /> + id="path10848" /> + id="path10850" /> + id="path10852" /> + id="path10854" /> + id="path10856" /> + id="path10858" /> + id="path10860" /> + id="g10862"> + id="path10864" /> + id="g10866"> + id="path10868" /> + id="path10870" /> + id="path10872" /> + id="path10874" /> + id="g10876"> + id="polygon10878" /> + id="g10880"> + id="polygon10882" /> + id="g10884"> + id="path10886" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path10888" /> - - - - - - - - - + id="g10894"> + d="M63018 32939 L63018 32939" + id="path10896" /> + id="g10898"> + + + + + + + + + @@ -20240,4338 +20267,4358 @@ transform="translate(0 0) scale(1 1)" id="g10924"> + + + + + + + id="g10946"> + d="M62761 33034 L62761 33034" + id="path10948" /> + id="g10950"> - - - - - - + + - + + + + + + + + + + + + + + id="g11020"> + d="M60860 32088 L60860 32088" + id="path11022" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g11082"> + d="M60856 31978 L61192 31978" + id="path11084" /> + d="M60856 31978 L60856 32588" + id="path11086" /> + d="M60856 32588 L61192 32588" + id="path11088" /> + d="M61192 32588 L61192 31978" + id="path11090" /> + id="g11092"> + points="61142,34506 60906,34506 60906,34350 61142,34350 " + id="polygon11094" /> + id="g11096"> - - - - - - - - - - - - - - - - - - + points="61142,34154 60906,34154 60906,33998 61142,33998 " + id="polygon11098" /> + id="g11100"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - + + + + + - - - + + + + + - - - + + + + + - - - - - - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - - - - + id="g11226"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + d="M61141 34466 L61141 34466" + id="path11228" /> + id="g11230"> + d="M60856 34557 L61192 34557" + id="path11232" /> + d="M61192 34557 L61192 33947" + id="path11234" /> + d="M60856 33947 L61192 33947" + id="path11236" /> + d="M60856 33947 L60856 34557" + id="path11238" /> + id="g11240"> + points="63318,37768 63162,37768 63162,37650 63318,37650 " + id="polygon11242" /> + id="g11244"> + points="63318,37965 63162,37965 63162,37847 63318,37847 " + id="polygon11246" /> + id="g11248"> + points="63318,38161 63162,38161 63162,38043 63318,38043 " + id="polygon11250" /> + id="g11252"> + points="63318,38358 63162,38358 63162,38240 63318,38240 " + id="polygon11254" /> + id="g11256"> + points="62808,38240 62964,38240 62964,38358 62808,38358 " + id="polygon11258" /> + id="g11260"> + points="62808,38043 62964,38043 62964,38161 62808,38161 " + id="polygon11262" /> + id="g11264"> + points="62808,37847 62964,37847 62964,37965 62808,37965 " + id="polygon11266" /> + id="g11268"> + points="62808,37650 62964,37650 62964,37768 62808,37768 " + id="polygon11270" /> + id="g11272"> + d="M62610 38121 L62614 38121" + id="path11274" /> + d="M62612 38119 L62612 38123" + id="path11276" /> + id="g11278"> + d="M62691 37989 L62597 37924" + id="path11280" /> + id="g11282"> + d="M62597 37924 L62597 37924" + id="path11284" /> + id="g11286"> + d="M62691 37877 L62494 37877" + id="path11288" /> + d="M62494 37877 L62494 37952" + id="path11290" /> + d="M62494 37952 L62504 37971" + id="path11292" /> + d="M62504 37971 L62513 37980" + id="path11294" /> + d="M62513 37980 L62532 37989" + id="path11296" /> + d="M62532 37989 L62560 37989" + id="path11298" /> + d="M62560 37989 L62579 37980" + id="path11300" /> + d="M62579 37980 L62588 37971" + id="path11302" /> + d="M62588 37971 L62597 37952" + id="path11304" /> + d="M62597 37952 L62597 37877" + id="path11306" /> + id="g11308"> + d="M62597 37877 L62597 37877" + id="path11310" /> + id="g11312"> + d="M62691 38074 L62494 38074" + id="path11314" /> + d="M62494 38074 L62494 38149" + id="path11316" /> + d="M62494 38149 L62504 38168" + id="path11318" /> + d="M62504 38168 L62513 38177" + id="path11320" /> + d="M62513 38177 L62532 38186" + id="path11322" /> + d="M62532 38186 L62560 38186" + id="path11324" /> + d="M62560 38186 L62579 38177" + id="path11326" /> + d="M62579 38177 L62588 38168" + id="path11328" /> + d="M62588 38168 L62597 38149" + id="path11330" /> + d="M62597 38149 L62597 38074" + id="path11332" /> + id="g11334"> + d="M62597 38074 L62597 38074" + id="path11336" /> + id="g11338"> + d="M62579 38299 L62569 38280" + id="path11340" /> + d="M62569 38280 L62560 38271" + id="path11342" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g11402"> + d="M62579 38337 L62579 38337" + id="path11404" /> + id="g11406"> + d="M62788 37610 L63339 37610" + id="path11408" /> + d="M62787 38398 L63340 38398" + id="path11410" /> + d="M63063 37650 L63063 38358" + id="path11412" /> + id="g11414"> + points="63259,36260 63103,36260 63103,36142 63259,36142 " + id="polygon11416" /> + id="g11418"> + points="63259,36457 63103,36457 63103,36339 63259,36339 " + id="polygon11420" /> + id="g11422"> + points="63259,36653 63103,36653 63103,36535 63259,36535 " + id="polygon11424" /> + id="g11426"> + points="63259,36850 63103,36850 63103,36732 63259,36732 " + id="polygon11428" /> + id="g11430"> + points="62749,36732 62905,36732 62905,36850 62749,36850 " + id="polygon11432" /> + id="g11434"> + points="62749,36535 62905,36535 62905,36653 62749,36653 " + id="polygon11436" /> + id="g11438"> + points="62749,36339 62905,36339 62905,36457 62749,36457 " + id="polygon11440" /> + id="g11442"> + points="62749,36142 62905,36142 62905,36260 62749,36260 " + id="polygon11444" /> + id="g11446"> + d="M62551 36613 L62555 36613" + id="path11448" /> + d="M62553 36611 L62553 36615" + id="path11450" /> + id="g11452"> + d="M62632 36481 L62538 36416" + id="path11454" /> + id="g11456"> + d="M62538 36416 L62538 36416" + id="path11458" /> + id="g11460"> + d="M62632 36369 L62435 36369" + id="path11462" /> + d="M62435 36369 L62435 36444" + id="path11464" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - + + + - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + d="M62557 31983 L62360 31983" + id="path11744" /> + d="M62360 31983 L62360 32058" + id="path11746" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g11812"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon11968" /> + id="g11970"> + id="polygon11972" /> + id="g11974"> + id="polygon11976" /> + id="g11978"> + id="polygon11980" /> + id="g11982"> + id="polygon11984" /> + id="g11986"> + id="polygon11988" /> + id="g11990"> + id="polygon11992" /> + id="g11994"> + id="polygon11996" /> + id="g11998"> + id="path12000" /> + id="path12002" /> + id="g12004"> + id="path12006" /> + id="g12008"> + id="path12010" /> + id="g12012"> + id="path12014" /> + id="path12016" /> + id="path12018" /> + id="path12020" /> + id="path12022" /> + id="path12024" /> + id="path12026" /> + id="path12028" /> + id="path12030" /> + id="path12032" /> + id="g12034"> + id="path12036" /> + id="g12038"> + id="path12040" /> + id="path12042" /> + id="path12044" /> + id="path12046" /> + id="path12048" /> + id="path12050" /> + id="path12052" /> + id="path12054" /> + id="path12056" /> + id="path12058" /> + id="g12060"> + id="path12062" /> + id="g12064"> + id="path12066" /> + id="path12068" /> + id="path12070" /> + id="path12072" /> + id="path12074" /> + id="path12076" /> + id="path12078" /> + id="path12080" /> + id="path12082" /> + id="path12084" /> + id="path12086" /> + id="path12088" /> + id="path12090" /> + id="path12092" /> + id="path12094" /> + id="g12096"> + id="path12098" /> + id="g12100"> + id="path12102" /> + id="path12104" /> + id="path12106" /> + id="g12108"> + id="polygon12110" /> + id="g12112"> + id="polygon12114" /> + id="g12116"> + id="polygon12118" /> + id="g12120"> + id="polygon12122" /> + id="g12124"> + id="polygon12126" /> + id="g12128"> + id="polygon12130" /> + id="g12132"> + id="polygon12134" /> + id="g12136"> + id="polygon12138" /> + id="g12140"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon12372" /> + id="g12374"> + id="polygon12376" /> + id="g12378"> + id="polygon12380" /> + id="g12382"> + id="polygon12384" /> + id="g12386"> + id="polygon12388" /> + id="g12390"> + id="polygon12392" /> + id="g12394"> + id="polygon12396" /> + id="g12398"> + id="polygon12400" /> + id="g12402"> + id="path12404" /> + id="path12406" /> + id="g12408"> + id="path12410" /> + id="g12412"> + id="path12414" /> + id="g12416"> + id="path12418" /> + id="path12420" /> + id="path12422" /> + id="path12424" /> + id="path12426" /> + id="path12428" /> + id="path12430" /> + id="path12432" /> + id="path12434" /> + id="path12436" /> + id="g12438"> + id="path12440" /> + id="g12442"> + id="path12444" /> + id="path12446" /> + id="path12448" /> + id="path12450" /> + id="path12452" /> + id="path12454" /> + id="path12456" /> + id="path12458" /> + id="path12460" /> + id="path12462" /> + id="g12464"> + id="path12466" /> + id="g12468"> + id="path12470" /> + id="path12472" /> + id="path12474" /> + id="path12476" /> + id="path12478" /> + id="path12480" /> + id="path12482" /> + id="path12484" /> + id="path12486" /> + id="path12488" /> + id="g12490"> + id="path12492" /> + id="g12494"> + id="path12496" /> + id="path12498" /> + id="path12500" /> + id="g12502"> + id="polygon12504" /> + id="g12506"> + id="polygon12508" /> + id="g12510"> + id="polygon12512" /> + id="g12514"> + id="polygon12516" /> + id="g12518"> + id="polygon12520" /> + id="g12522"> + id="polygon12524" /> + id="g12526"> + id="polygon12528" /> + id="g12530"> + id="polygon12532" /> + id="g12534"> + id="path12536" /> + id="path12538" /> + id="g12540"> + id="path12542" /> + id="g12544"> + id="path12546" /> + id="g12548"> + id="path12550" /> + id="path12552" /> + id="path12554" /> + id="path12556" /> + id="path12558" /> + id="path12560" /> + id="path12562" /> + id="path12564" /> + id="path12566" /> + id="path12568" /> + id="g12570"> + id="path12572" /> + id="g12574"> + id="path12576" /> + id="path12578" /> + id="path12580" /> + id="path12582" /> + id="path12584" /> + id="path12586" /> + id="path12588" /> + id="path12590" /> + id="path12592" /> + id="path12594" /> + id="g12596"> + id="path12598" /> + id="g12600"> + id="path12602" /> + id="g12604"> + id="path12606" /> + id="g12608"> + id="path12610" /> + id="path12612" /> + id="path12614" /> + id="path12616" /> + id="g12618"> + id="path12620" /> + id="g12622"> + id="path12624" /> + id="path12626" /> + id="path12628" /> + id="g12630"> + id="polygon12632" /> + id="g12634"> + id="polygon12636" /> + id="g12638"> + id="path12640" /> + id="path12642" /> + id="g12644"> + id="path12646" /> + id="path12648" /> + id="path12650" /> + id="path12652" /> + id="path12654" /> + id="path12656" /> + id="path12658" /> + id="path12660" /> + id="path12662" /> + id="path12664" /> + id="path12666" /> + id="path12668" /> + id="path12670" /> + id="path12672" /> + id="path12674" /> + id="g12676"> + id="path12678" /> + id="g12680"> + id="path12682" /> + id="path12684" /> + id="g12686"> + id="path12688" /> + id="g12690"> + id="path12692" /> + id="path12694" /> + id="path12696" /> + id="path12698" /> + id="path12700" /> + id="path12702" /> + id="path12704" /> + id="path12706" /> + id="path12708" /> + id="path12710" /> + id="path12712" /> + id="path12714" /> + id="path12716" /> + id="path12718" /> + id="path12720" /> + id="g12722"> + id="path12724" /> + id="g12726"> + id="path12728" /> + id="path12730" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + - - - - + + - + + + + + - - - - - - - - + + + - - - - - + + + - - - - - - - - - - - + id="g12788"> + d="M47676 26577 L47676 26577" + id="path12790" /> + id="g12792"> + d="M47996 26833 L47996 26497" + id="path12794" /> + d="M47386 26497 L47996 26497" + id="path12796" /> + d="M47386 26497 L47386 26833" + id="path12798" /> + d="M47386 26833 L47996 26833" + id="path12800" /> + id="g12802"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon13050" /> + id="g13052"> + id="polygon13054" /> + id="g13056"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - - - - + + + + + + + id="g13094"> + d="M53495 26045 L53495 26045" + id="path13096" /> + id="g13098"> - - - - - + d="M53476 26112 L53476 26245" + id="path13100" /> - - - + id="g13104"> + d="M53676 26159 L53676 26159" + id="path13106" /> + + + + + + + + + + + + + + + + id="g13134"> + d="M53277 26478 L53613 26478" + id="path13136" /> + d="M53613 26478 L53613 25868" + id="path13138" /> + d="M53277 25868 L53613 25868" + id="path13140" /> + d="M53277 25868 L53277 26478" + id="path13142" /> - - - - - - - - - - - - - - - - + + + id="g13158"> - - - + + + + + + id="g13190"> + d="M50142 34916 L50142 34916" + id="path13192" /> + id="g13194"> - - - - + + + + + - - - - - - - - + id="g13246"> + d="M50319 35334 L50319 34998" + id="path13248" /> + d="M49709 34998 L50319 34998" + id="path13250" /> + + + + + - - - - + + id="g13264"> - - - + d="M65352 30362 L65356 30362" + id="path13266" /> + + id="g13270"> + + + + + + id="g13302"> + d="M65482 30262 L65482 30262" + id="path13304" /> + id="g13306"> - - + + + + + - - - + + + + + - - - - - - + + + - - - - + id="g13348"> + + id="g13352"> + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + id="g13386"> + + + + + + + + @@ -24580,1149 +24627,1129 @@ transform="translate(0 0) scale(1 1)" id="g13410"> - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + id="g13474"> + d="M58791 39954 L58791 39954" + id="path13476" /> + + + + + + + + + + + id="g13492"> - - - - - - - - - - - - - - - - - + d="M57908 41323 L58258 41556" + id="path13494" /> + id="g13496"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="path13698" /> + id="g13700"> + id="path13702" /> + id="path13704" /> + id="path13706" /> + id="path13708" /> + id="path13710" /> + id="path13712" /> + id="path13714" /> + id="path13716" /> + id="g13718"> + id="polygon13720" /> + id="g13722"> + id="polygon13724" /> + id="g13726"> + id="path13728" /> + id="path13730" /> + id="g13732"> + id="path13734" /> + id="path13736" /> + id="path13738" /> + id="path13740" /> + id="path13742" /> + id="path13744" /> + id="path13746" /> + id="path13748" /> + id="path13750" /> + id="path13752" /> + id="path13754" /> + id="path13756" /> + id="path13758" /> + id="path13760" /> + id="path13762" /> + id="g13764"> + id="path13766" /> + id="g13768"> + id="path13770" /> + id="path13772" /> + id="path13774" /> + id="path13776" /> + id="path13778" /> + id="path13780" /> + id="path13782" /> + id="path13784" /> + id="path13786" /> + id="path13788" /> + id="path13790" /> + id="path13792" /> + id="path13794" /> + id="g13796"> + id="path13798" /> + id="g13800"> + id="path13802" /> + id="path13804" /> + id="path13806" /> + id="path13808" /> + id="path13810" /> + id="path13812" /> + id="path13814" /> + id="path13816" /> + id="path13818" /> + id="path13820" /> + id="path13822" /> + id="path13824" /> + id="path13826" /> + id="g13828"> + id="path13830" /> + id="g13832"> + id="path13834" /> + id="path13836" /> + id="path13838" /> + id="path13840" /> + id="g13842"> + id="polygon13844" /> + id="g13846"> + id="polygon13848" /> + id="g13850"> + id="path13852" /> + id="path13854" /> + id="g13856"> + id="path13858" /> + id="path13860" /> + id="path13862" /> + id="path13864" /> + id="path13866" /> + id="path13868" /> + id="path13870" /> + id="path13872" /> + id="path13874" /> + id="path13876" /> + id="path13878" /> + id="path13880" /> + id="path13882" /> + id="path13884" /> + id="path13886" /> + id="g13888"> + id="path13890" /> + id="g13892"> + id="path13894" /> + id="g13896"> + id="path13898" /> + id="g13900"> + id="path13902" /> + id="path13904" /> + id="g13906"> + id="path13908" /> + id="g13910"> + id="path13912" /> + id="path13914" /> + id="path13916" /> + id="path13918" /> + id="path13920" /> + id="path13922" /> + id="path13924" /> + id="path13926" /> + id="path13928" /> + id="path13930" /> + id="path13932" /> + id="path13934" /> + id="path13936" /> + id="path13938" /> + id="path13940" /> + id="g13942"> + id="path13944" /> + id="g13946"> + id="path13948" /> + id="path13950" /> + id="path13952" /> + id="path13954" /> + id="g13956"> + id="polygon13958" /> + id="g13960"> + id="polygon13962" /> + id="g13964"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - + - - - - - - - - + d="M54247 39650 L54266 39630" + id="path13992" /> + d="M54266 39630 L54295 39621" + id="path13994" /> + + + + + + - - + id="g14034"> + d="M54419 39802 L54419 39802" + id="path14036" /> + id="g14038"> + + - - - - - + + + - - - - - + + + - - - - - + + + + + + id="g14082"> + d="M54732 39707 L54732 39707" + id="path14084" /> + id="g14086"> - - - - - + + + + + + id="g14104"> + d="M64608 29346 L64612 29346" + id="path14106" /> + d="M64610 29344 L64610 29348" + id="path14108" /> + id="g14110"> - - + + + id="g14142"> + d="M64482 29246 L64482 29246" + id="path14144" /> + id="g14146"> - - + + + + + - - - + + + + + - - - - - - - - + + + - - - - - + + + - - - + + + + + + + - - - - - - - + + + + + id="g14216"> + points="62441,29672 61457,29672 61457,29318 62441,29318 " + id="polygon14218" /> + + + + id="g14224"> + d="M61797 28925 L61801 28925" + id="path14226" /> + d="M61799 28923 L61799 28927" + id="path14228" /> + id="g14230"> - - - - - + + + + + + id="g14262"> + d="M61699 29053 L61699 29053" + id="path14264" /> + id="g14266"> - - - - - + + + + + - - - + + + + + - - - @@ -26056,960 +26091,948 @@ transform="translate(0 0) scale(1 1)" id="g14292"> - - - - - - - - - - - + id="g14302"> + d="M61737 28787 L61737 28787" + id="path14304" /> + id="g14306"> + d="M61407 29723 L62491 29723" + id="path14308" /> + d="M61407 29723 L61407 28127" + id="path14310" /> + d="M61407 28127 L62491 28127" + id="path14312" /> + d="M62491 28127 L62491 29723" + id="path14314" /> + id="g14316"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon14564" /> + id="g14566"> + id="polygon14568" /> + id="g14570"> + id="path14572" /> + id="path14574" /> + id="g14576"> + id="path14578" /> + id="path14580" /> + id="path14582" /> + id="path14584" /> + id="path14586" /> + id="path14588" /> + id="path14590" /> + id="path14592" /> + id="path14594" /> + id="path14596" /> + id="path14598" /> + id="path14600" /> + id="path14602" /> + id="path14604" /> + id="path14606" /> + id="g14608"> + id="path14610" /> + id="g14612"> + id="path14614" /> + id="path14616" /> + id="path14618" /> + id="path14620" /> + id="path14622" /> + id="path14624" /> + id="path14626" /> + id="path14628" /> + id="path14630" /> + id="path14632" /> + id="path14634" /> + id="path14636" /> + id="path14638" /> + id="path14640" /> + id="path14642" /> + id="g14644"> + id="path14646" /> + id="g14648"> + id="path14650" /> + id="path14652" /> + id="path14654" /> + id="path14656" /> + id="path14658" /> + id="path14660" /> + id="path14662" /> + id="path14664" /> + id="path14666" /> + id="path14668" /> + id="path14670" /> + id="path14672" /> + id="path14674" /> + id="path14676" /> + id="path14678" /> + id="path14680" /> + id="path14682" /> + id="path14684" /> + id="path14686" /> + id="path14688" /> + id="path14690" /> + id="g14692"> + id="path14694" /> + id="g14696"> + id="path14698" /> + id="path14700" /> + id="path14702" /> + id="path14704" /> + id="g14706"> + id="polygon14708" /> + id="g14710"> + id="polygon14712" /> + id="g14714"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - - - - + + + + + id="g14752"> + d="M55262 39242 L55262 39242" + id="path14754" /> + id="g14756"> - + + id="g14770"> + d="M55376 39442 L55376 39442" + id="path14772" /> + id="g14774"> - - - - - - + + + + + + + + + + + + + + + id="g14810"> + points="60745,30090 61255,30090 61255,30364 60745,30364 " + id="polygon14812" /> + id="g14814"> + points="60745,30836 61255,30836 61255,31110 60745,31110 " + id="polygon14816" /> + id="g14818"> - - - - - - - - - - + + - - - - - - + + + - - - - - + + + @@ -27018,354 +27041,369 @@ transform="translate(0 0) scale(1 1)" id="g14860"> + + + + + - - - + + + + + - - - + + id="g14906"> + d="M60912 30729 L60912 30729" + id="path14908" /> + id="g14910"> - + + id="g14920"> + points="59892,29479 59892,28969 60166,28969 60166,29479 " + id="polygon14922" /> + id="g14924"> + points="60638,29479 60638,28969 60912,28969 60912,29479 " + id="polygon14926" /> + id="g14928"> - + + id="g14934"> - + + id="g14966"> + d="M60274 28974 L60274 28974" + id="path14968" /> + id="g14970"> - + + + + + - - - + + + + + - - - + + + + + - - - + + id="g15002"> + d="M60664 29088 L60664 29088" + id="path15004" /> + id="g15006"> - - - - - - + d="M59841 28919 L60963 28919" + id="path15010" /> + d="M60963 28919 L60963 29529" + id="path15012" /> - + d="M59841 29529 L60963 29529" + id="path15014" /> + id="g15016"> + points="51613,30892 51103,30892 51103,30618 51613,30618 " + id="polygon15018" /> + id="g15020"> + points="51613,30146 51103,30146 51103,29872 51613,29872 " + id="polygon15022" /> + id="g15024"> + d="M51506 30382 L51510 30382" + id="path15026" /> + d="M51508 30380 L51508 30384" + id="path15028" /> + id="g15030"> + + + + + + + + + - - - @@ -27374,908 +27412,905 @@ transform="translate(0 0) scale(1 1)" id="g15074"> - - - - - - - - - - - - - + id="g15080"> + d="M51522 30454 L51522 30454" + id="path15082" /> + id="g15084"> + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - + id="g15128"> + d="M51503 30520 L51503 30520" + id="path15130" /> + id="g15132"> + d="M51053 30943 L51663 30943" + id="path15134" /> + d="M51663 30943 L51663 29821" + id="path15136" /> + d="M51053 29821 L51663 29821" + id="path15138" /> + d="M51053 29821 L51053 30943" + id="path15140" /> + id="g15142"> + points="60745,38390 61255,38390 61255,38664 60745,38664 " + id="polygon15144" /> + id="g15146"> + points="60745,39136 61255,39136 61255,39410 60745,39410 " + id="polygon15148" /> + id="g15150"> + d="M60848 38900 L60852 38900" + id="path15152" /> + d="M60850 38898 L60850 38902" + id="path15154" /> + id="g15156"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - + + + + + + + + - - - - - + + - + + + + + + + + + + + + + id="g15294"> + d="M51350 38372 L51350 38372" + id="path15296" /> + id="g15298"> - - - - - - - - - - - - + + + + + + + id="g15330"> + d="M51512 38448 L51512 38448" + id="path15332" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g15402"> + d="M51295 37939 L51905 37939" + id="path15404" /> + d="M51295 37939 L51295 39061" + id="path15406" /> + d="M51295 39061 L51905 39061" + id="path15408" /> + d="M51905 39061 L51905 37939" + id="path15410" /> + id="g15412"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon15558" /> + id="g15560"> + id="polygon15562" /> + id="g15564"> + id="path15566" /> + id="path15568" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - + - - - - - + id="g15602"> + d="M53860 30192 L53860 30192" + id="path15604" /> + id="g15606"> + + + + + + + @@ -28284,3193 +28319,3212 @@ transform="translate(0 0) scale(1 1)" id="g15624"> + + + + + + + + - - - - - + + - + + + + + + + id="g15690"> + d="M56350 33172 L56350 33172" + id="path15692" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g15780"> + d="M56132 33605 L56468 33605" + id="path15782" /> + d="M56468 33605 L56468 32995" + id="path15784" /> + d="M56132 32995 L56468 32995" + id="path15786" /> + d="M56132 32995 L56132 33605" + id="path15788" /> + id="g15790"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon15918" /> + id="g15920"> + id="polygon15922" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - + + + + + + + + + + + + + + + id="g15962"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="path16160" /> + id="g16162"> + id="path16164" /> + id="path16166" /> + id="path16168" /> + id="path16170" /> + id="g16172"> + id="polygon16174" /> + id="g16176"> + id="polygon16178" /> + id="g16180"> + id="path16182" /> + id="path16184" /> + id="g16186"> + id="path16188" /> + id="path16190" /> + id="path16192" /> + id="path16194" /> + id="path16196" /> + id="path16198" /> + id="path16200" /> + id="path16202" /> + id="path16204" /> + id="path16206" /> + id="path16208" /> + id="path16210" /> + id="path16212" /> + id="path16214" /> + id="path16216" /> + id="g16218"> + id="path16220" /> + id="g16222"> + id="path16224" /> + id="path16226" /> + id="path16228" /> + id="path16230" /> + id="path16232" /> + id="path16234" /> + id="path16236" /> + id="path16238" /> + id="path16240" /> + id="path16242" /> + id="path16244" /> + id="path16246" /> + id="path16248" /> + id="path16250" /> + id="path16252" /> + id="g16254"> + id="path16256" /> + id="g16258"> + id="path16260" /> + id="path16262" /> + id="path16264" /> + id="path16266" /> + id="path16268" /> + id="path16270" /> + id="path16272" /> + id="path16274" /> + id="path16276" /> + id="path16278" /> + id="g16280"> + id="path16282" /> + id="g16284"> + id="path16286" /> + id="path16288" /> + id="path16290" /> + id="path16292" /> + id="g16294"> + id="polygon16296" /> + id="g16298"> + id="polygon16300" /> + id="g16302"> + id="path16304" /> + id="path16306" /> + id="g16308"> + id="path16310" /> + id="path16312" /> + id="path16314" /> + id="path16316" /> + id="path16318" /> + id="path16320" /> + id="path16322" /> + id="path16324" /> + id="path16326" /> + id="path16328" /> + id="path16330" /> + id="path16332" /> + id="path16334" /> + id="path16336" /> + id="path16338" /> + id="g16340"> + id="path16342" /> + id="g16344"> + id="path16346" /> + id="path16348" /> + id="path16350" /> + id="path16352" /> + id="path16354" /> + id="path16356" /> + id="path16358" /> + id="path16360" /> + id="path16362" /> + id="path16364" /> + id="path16366" /> + id="path16368" /> + id="path16370" /> + id="path16372" /> + id="path16374" /> + id="g16376"> + id="path16378" /> + id="g16380"> + id="path16382" /> + id="path16384" /> + id="path16386" /> + id="path16388" /> + id="path16390" /> + id="path16392" /> + id="path16394" /> + id="path16396" /> + id="path16398" /> + id="path16400" /> + id="path16402" /> + id="path16404" /> + id="path16406" /> + id="g16408"> + id="path16410" /> + id="g16412"> + id="path16414" /> + id="path16416" /> + id="path16418" /> + id="path16420" /> + id="g16422"> + id="polygon16424" /> + id="g16426"> + id="polygon16428" /> + id="g16430"> + id="path16432" /> + id="path16434" /> + id="g16436"> + id="path16438" /> + id="path16440" /> + id="path16442" /> + id="path16444" /> + id="path16446" /> + id="path16448" /> + id="path16450" /> + id="path16452" /> + id="path16454" /> + id="path16456" /> + id="path16458" /> + id="path16460" /> + id="path16462" /> + id="path16464" /> + id="path16466" /> + id="g16468"> + id="path16470" /> + id="g16472"> + id="path16474" /> + id="path16476" /> + id="path16478" /> + id="path16480" /> + id="path16482" /> + id="path16484" /> + id="path16486" /> + id="path16488" /> + id="path16490" /> + id="path16492" /> + id="path16494" /> + id="path16496" /> + id="path16498" /> + id="path16500" /> + id="path16502" /> + id="path16504" /> + id="path16506" /> + id="path16508" /> + id="path16510" /> + id="path16512" /> + id="path16514" /> + id="g16516"> + id="path16518" /> + id="g16520"> + id="path16522" /> + id="path16524" /> + id="path16526" /> + id="path16528" /> + id="path16530" /> + id="path16532" /> + id="path16534" /> + id="path16536" /> + id="path16538" /> + id="path16540" /> + id="path16542" /> + id="path16544" /> + id="path16546" /> + id="path16548" /> + id="path16550" /> + id="path16552" /> + id="path16554" /> + id="path16556" /> + id="path16558" /> + id="path16560" /> + id="g16562"> + id="path16564" /> + id="path16566" /> + id="path16568" /> + id="path16570" /> + id="g16572"> + id="polygon16574" /> + id="g16576"> + id="polygon16578" /> + id="g16580"> + id="path16582" /> + id="path16584" /> + id="g16586"> + id="path16588" /> + id="path16590" /> + id="path16592" /> + id="path16594" /> + id="path16596" /> + id="path16598" /> + id="path16600" /> + id="path16602" /> + id="path16604" /> + id="path16606" /> + id="path16608" /> + id="path16610" /> + id="path16612" /> + id="path16614" /> + id="path16616" /> + id="g16618"> + id="path16620" /> + id="g16622"> + id="path16624" /> + id="path16626" /> + id="path16628" /> + id="path16630" /> + id="path16632" /> + id="path16634" /> + id="path16636" /> + id="path16638" /> + id="path16640" /> + id="path16642" /> + id="path16644" /> + id="path16646" /> + id="path16648" /> + id="path16650" /> + id="path16652" /> + id="path16654" /> + id="path16656" /> + id="path16658" /> + id="path16660" /> + id="path16662" /> + id="path16664" /> + id="g16666"> + id="path16668" /> + id="g16670"> + id="path16672" /> + id="g16674"> + id="path16676" /> + id="g16678"> + id="path16680" /> + id="path16682" /> + id="path16684" /> + id="path16686" /> + id="g16688"> + id="path16690" /> + id="g16692"> + id="path16694" /> + id="path16696" /> + id="path16698" /> + id="path16700" /> + id="g16702"> + id="polygon16704" /> + id="g16706"> + id="polygon16708" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - + + + + + + id="g16748"> + d="M51928 30950 L51928 30950" + id="path16750" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g16826"> + d="M52105 31368 L52105 31032" + id="path16828" /> + d="M51495 31032 L52105 31032" + id="path16830" /> + d="M51495 31032 L51495 31368" + id="path16832" /> + d="M51495 31368 L52105 31368" + id="path16834" /> + id="g16836"> + points="57863,35396 57696,35563 57585,35452 57752,35285 " + id="polygon16838" /> + id="g16840"> + points="57615,35148 57448,35315 57337,35204 57504,35037 " + id="polygon16842" /> + id="g16844"> + d="M57704 35194 L57708 35194" + id="path16846" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + d="M57706 35192 L57706 35196" + id="path16848" /> + + + + + + + + + + + + + + + id="g16882"> + d="M57867 35214 L57867 35214" + id="path16884" /> - - - - - - - - - - - - - - - - - - - - - + + + + + id="g16930"> + d="M57746 35227 L57746 35227" + id="path16932" /> + id="g16934"> - - - - - - - - + id="g16962"> - - - - - - - - - - - - - - - - - - - - + d="M57571 35147 L57571 35147" + id="path16964" /> + id="g16966"> + d="M57697 35634 L57934 35397" + id="path16968" /> + d="M57503 34966 L57934 35397" + id="path16970" /> + d="M57266 35203 L57503 34966" + id="path16972" /> + d="M57266 35203 L57697 35634" + id="path16974" /> + id="g16976"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="polygon17248" /> + id="g17250"> + id="polygon17252" /> + id="g17254"> + id="path17256" /> + id="path17258" /> + id="g17260"> + id="path17262" /> + id="path17264" /> + id="path17266" /> + id="path17268" /> + id="path17270" /> + id="path17272" /> + id="path17274" /> + id="path17276" /> + id="path17278" /> + id="path17280" /> + id="path17282" /> + id="path17284" /> + id="path17286" /> + id="path17288" /> + id="path17290" /> + id="g17292"> + id="path17294" /> + id="g17296"> + id="path17298" /> + id="path17300" /> + id="path17302" /> + id="path17304" /> + id="path17306" /> + id="path17308" /> + id="path17310" /> + id="path17312" /> + id="path17314" /> + id="path17316" /> + id="path17318" /> + id="path17320" /> + id="path17322" /> + id="path17324" /> + id="path17326" /> + id="path17328" /> + id="path17330" /> + id="path17332" /> + id="path17334" /> + id="path17336" /> + id="path17338" /> + id="g17340"> + id="path17342" /> + id="g17344"> + id="path17346" /> + id="path17348" /> + id="path17350" /> + id="path17352" /> + id="path17354" /> + id="path17356" /> + id="path17358" /> + id="path17360" /> + id="path17362" /> + id="path17364" /> + id="path17366" /> + id="path17368" /> + id="path17370" /> + id="path17372" /> + id="path17374" /> + id="path17376" /> + id="path17378" /> + id="path17380" /> + id="path17382" /> + id="path17384" /> + id="path17386" /> + id="g17388"> + id="path17390" /> + id="g17392"> + id="path17394" /> + id="path17396" /> + id="path17398" /> + id="path17400" /> + id="g17402"> + id="polygon17404" /> + id="g17406"> + id="polygon17408" /> + id="g17410"> + id="path17412" /> + id="path17414" /> + id="g17416"> + id="path17418" /> + id="path17420" /> + id="path17422" /> + id="path17424" /> + id="path17426" /> + id="path17428" /> + id="path17430" /> + id="path17432" /> + id="path17434" /> + id="path17436" /> + id="path17438" /> + id="path17440" /> + id="path17442" /> + id="path17444" /> + id="path17446" /> + id="g17448"> + id="path17450" /> + id="g17452"> + id="path17454" /> + id="path17456" /> + id="path17458" /> + id="path17460" /> + id="path17462" /> + id="path17464" /> + id="path17466" /> + id="path17468" /> + id="path17470" /> + id="path17472" /> + id="path17474" /> + id="path17476" /> + id="path17478" /> + id="path17480" /> + id="path17482" /> + id="path17484" /> + id="path17486" /> + id="path17488" /> + id="path17490" /> + id="path17492" /> + id="path17494" /> + id="g17496"> + id="path17498" /> + id="g17500"> + id="path17502" /> + id="path17504" /> + id="g17506"> + id="path17508" /> + id="g17510"> + id="path17512" /> + id="path17514" /> + id="path17516" /> + id="path17518" /> + id="g17520"> + id="polygon17522" /> + id="g17524"> + id="polygon17526" /> + id="g17528"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - @@ -31479,1355 +31533,1355 @@ transform="translate(0 0) scale(1 1)" id="g17570"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g17686"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + - - - - - - - - + + + + + - - + + + + + + - - - - - - - - + + + + + + id="g17838"> + d="M56417 37252 L56417 37252" + id="path17840" /> + id="g17842"> - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g17852"> + id="polygon17854" /> + id="g17856"> + id="polygon17858" /> + id="g17860"> + id="path17862" /> + id="path17864" /> + id="g17866"> + id="path17868" /> + id="path17870" /> + id="g17872"> + id="path17874" /> + id="g17876"> + id="path17878" /> + id="path17880" /> + id="path17882" /> + id="path17884" /> + id="path17886" /> + id="path17888" /> + id="path17890" /> + id="path17892" /> + id="path17894" /> + id="path17896" /> + id="path17898" /> + id="path17900" /> + id="path17902" /> + id="g17904"> + id="path17906" /> + id="g17908"> + id="path17910" /> + id="path17912" /> + id="path17914" /> + id="path17916" /> + id="g17918"> + id="polygon17920" /> + id="g17922"> + id="polygon17924" /> + id="g17926"> + id="path17928" /> + id="path17930" /> + id="g17932"> + id="path17934" /> + id="path17936" /> + id="g17938"> + id="path17940" /> + id="g17942"> + id="path17944" /> + id="g17946"> + id="path17948" /> + id="g17950"> + id="path17952" /> + id="path17954" /> + id="g17956"> + id="path17958" /> + id="g17960"> + id="path17962" /> + id="path17964" /> + id="path17966" /> + id="path17968" /> + id="g17970"> + points="56693,26545 56457,26545 56457,26389 56693,26389 " + id="polygon17972" /> + id="g17974"> + points="56693,26193 56457,26193 56457,26037 56693,26037 " + id="polygon17976" /> + id="g17978"> + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + - - - - + id="g18058"> + d="M56806 26467 L56806 26467" + id="path18060" /> + id="g18062"> + - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g18072"> + id="polygon18074" /> + id="g18076"> + id="polygon18078" /> + id="g18080"> + id="path18082" /> + id="path18084" /> + id="g18086"> + id="path18088" /> + id="path18090" /> + id="path18092" /> + id="path18094" /> + id="path18096" /> + id="path18098" /> + id="path18100" /> + id="path18102" /> + id="path18104" /> + id="path18106" /> + id="path18108" /> + id="path18110" /> + id="path18112" /> + id="path18114" /> + id="path18116" /> + id="g18118"> + id="path18120" /> + id="g18122"> + id="path18124" /> + id="path18126" /> + id="path18128" /> + id="path18130" /> + id="path18132" /> + id="path18134" /> + id="path18136" /> + id="path18138" /> + id="path18140" /> + id="path18142" /> + id="path18144" /> + id="path18146" /> + id="path18148" /> + id="g18150"> + id="path18152" /> + id="g18154"> + id="path18156" /> + id="path18158" /> + id="path18160" /> + id="path18162" /> + id="path18164" /> + id="path18166" /> + id="path18168" /> + id="path18170" /> + id="path18172" /> + id="path18174" /> + id="path18176" /> + id="path18178" /> + id="path18180" /> + id="path18182" /> + id="path18184" /> + id="path18186" /> + id="path18188" /> + id="path18190" /> + id="path18192" /> + id="path18194" /> + id="path18196" /> + id="path18198" /> + id="path18200" /> + id="path18202" /> + id="path18204" /> + id="path18206" /> + id="path18208" /> + id="path18210" /> + id="path18212" /> + id="path18214" /> + id="path18216" /> + id="g18218"> + id="path18220" /> + id="g18222"> + id="path18224" /> + id="path18226" /> + id="path18228" /> + id="path18230" /> + id="g18232"> + id="polygon18234" /> + id="g18236"> + id="polygon18238" /> + id="g18240"> + id="path18242" /> + id="path18244" /> + id="g18246"> + id="path18248" /> + id="g18250"> + id="path18252" /> + id="g18254"> + id="path18256" /> + id="path18258" /> + id="path18260" /> + id="path18262" /> + id="path18264" /> + id="path18266" /> + id="path18268" /> + id="path18270" /> + id="path18272" /> + id="path18274" /> + id="g18276"> + id="path18278" /> + id="g18280"> + id="path18282" /> + id="g18284"> + id="path18286" /> + id="g18288"> + id="path18290" /> + id="path18292" /> + id="path18294" /> + id="path18296" /> + id="g18298"> + id="path18300" /> + id="g18302"> + id="path18304" /> + id="path18306" /> + id="path18308" /> + id="path18310" /> + id="path18312" /> + id="path18314" /> + id="path18316" /> + id="path18318" /> + id="path18320" /> + id="path18322" /> + id="path18324" /> + id="path18326" /> + id="path18328" /> + id="path18330" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -32836,159 +32890,159 @@ transform="translate(0 0) scale(1 1)" id="g18382"> - + id="g18420"> + d="M57125 21871 L57125 21871" + id="path18422" /> + id="g18424"> + + + + + + + d="M56667 22195 L57121 22195" + id="path18436" /> + d="M57121 22195 L57121 21309" + id="path18438" /> + d="M56667 21309 L57121 21309" + id="path18440" /> + + id="g18444"> + points="55126,19746 54772,19746 54772,19550 55126,19550 " + id="polygon18446" /> + id="g18448"> + points="55126,19158 54772,19158 54772,18962 55126,18962 " + id="polygon18450" /> + id="g18452"> - - - - - - - - + + id="g18458"> + @@ -32997,5746 +33051,5731 @@ transform="translate(0 0) scale(1 1)" id="g18468"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path18472" /> + id="path18474" /> + id="path18476" /> + id="path18478" /> + id="path18480" /> + id="path18482" /> + id="path18484" /> + id="path18486" /> + id="path18488" /> + id="path18490" /> + id="path18492" /> + id="path18494" /> + id="path18496" /> + id="path18498" /> + id="g18500"> + id="path18502" /> + id="g18504"> + id="path18506" /> + id="path18508" /> + id="path18510" /> + id="path18512" /> + id="g18514"> + id="polygon18516" /> + id="g18518"> + id="polygon18520" /> + id="g18522"> + id="path18524" /> + id="path18526" /> + id="g18528"> + id="path18530" /> + id="g18532"> + id="path18534" /> + id="g18536"> + id="path18538" /> + id="path18540" /> + id="path18542" /> + id="path18544" /> + id="path18546" /> + id="path18548" /> + id="path18550" /> + id="path18552" /> + id="path18554" /> + id="path18556" /> + id="g18558"> + id="path18560" /> + id="g18562"> + id="path18564" /> + id="g18566"> + id="path18568" /> + id="g18570"> + id="path18572" /> + id="path18574" /> + id="path18576" /> + id="path18578" /> + id="g18580"> + id="path18582" /> + id="g18584"> + id="path18586" /> + id="g18588"> + id="path18590" /> + id="g18592"> + id="path18594" /> + id="path18596" /> + id="g18598"> + id="path18600" /> + id="g18602"> + id="path18604" /> + id="path18606" /> + id="path18608" /> + id="path18610" /> + id="g18612"> + id="polygon18614" /> + id="g18616"> + id="polygon18618" /> + id="g18620"> + id="path18622" /> + id="path18624" /> + id="g18626"> + id="path18628" /> + id="path18630" /> + id="path18632" /> + id="path18634" /> + id="path18636" /> + id="path18638" /> + id="path18640" /> + id="path18642" /> + id="path18644" /> + id="path18646" /> + id="path18648" /> + id="path18650" /> + id="path18652" /> + id="path18654" /> + id="path18656" /> + id="g18658"> + id="path18660" /> + id="g18662"> + id="path18664" /> + id="path18666" /> + id="path18668" /> + id="path18670" /> + id="path18672" /> + id="path18674" /> + id="path18676" /> + id="path18678" /> + id="path18680" /> + id="path18682" /> + id="g18684"> + id="path18686" /> + id="g18688"> + id="path18690" /> + id="path18692" /> + id="g18694"> + id="path18696" /> + id="g18698"> + id="path18700" /> + id="path18702" /> + id="path18704" /> + id="path18706" /> + id="g18708"> + id="polygon18710" /> + id="g18712"> + id="polygon18714" /> + id="g18716"> + id="path18718" /> + id="path18720" /> + id="g18722"> + id="path18724" /> + id="path18726" /> + id="path18728" /> + id="path18730" /> + id="path18732" /> + id="path18734" /> + id="path18736" /> + id="path18738" /> + id="path18740" /> + id="path18742" /> + id="path18744" /> + id="path18746" /> + id="path18748" /> + id="path18750" /> + id="path18752" /> + id="g18754"> + id="path18756" /> + id="g18758"> + id="path18760" /> + id="path18762" /> + id="path18764" /> + id="path18766" /> + id="path18768" /> + id="path18770" /> + id="path18772" /> + id="path18774" /> + id="path18776" /> + id="path18778" /> + id="g18780"> + id="path18782" /> + id="g18784"> + id="path18786" /> + id="g18788"> + id="path18790" /> + id="g18792"> + id="path18794" /> + id="path18796" /> + id="path18798" /> + id="path18800" /> + id="g18802"> + id="path18804" /> + id="g18806"> + id="path18808" /> + id="path18810" /> + id="path18812" /> + id="path18814" /> + id="g18816"> + id="polygon18818" /> + id="g18820"> + id="polygon18822" /> + id="g18824"> + id="path18826" /> + id="path18828" /> + id="g18830"> + id="path18832" /> + id="path18834" /> + id="path18836" /> + id="path18838" /> + id="path18840" /> + id="path18842" /> + id="path18844" /> + id="path18846" /> + id="path18848" /> + id="path18850" /> + id="path18852" /> + id="path18854" /> + id="path18856" /> + id="path18858" /> + id="path18860" /> + id="g18862"> + id="path18864" /> + id="g18866"> + id="path18868" /> + id="path18870" /> + id="path18872" /> + id="path18874" /> + id="path18876" /> + id="path18878" /> + id="path18880" /> + id="path18882" /> + id="path18884" /> + id="path18886" /> + id="g18888"> + id="path18890" /> + id="g18892"> + id="path18894" /> + id="path18896" /> + id="path18898" /> + id="path18900" /> + id="path18902" /> + id="path18904" /> + id="path18906" /> + id="path18908" /> + id="path18910" /> + id="path18912" /> + id="path18914" /> + id="path18916" /> + id="path18918" /> + id="path18920" /> + id="path18922" /> + id="path18924" /> + id="path18926" /> + id="path18928" /> + id="path18930" /> + id="path18932" /> + id="path18934" /> + id="path18936" /> + id="path18938" /> + id="path18940" /> + id="path18942" /> + id="path18944" /> + id="path18946" /> + id="path18948" /> + id="path18950" /> + id="path18952" /> + id="path18954" /> + id="g18956"> + id="path18958" /> + id="g18960"> + id="path18962" /> + id="path18964" /> + id="path18966" /> + id="path18968" /> + id="g18970"> + id="polygon18972" /> + id="g18974"> + id="polygon18976" /> + id="g18978"> + id="path18980" /> + id="path18982" /> + id="g18984"> + id="path18986" /> + id="path18988" /> + id="path18990" /> + id="path18992" /> + id="path18994" /> + id="path18996" /> + id="path18998" /> + id="path19000" /> + id="path19002" /> + id="path19004" /> + id="path19006" /> + id="path19008" /> + id="path19010" /> + id="path19012" /> + id="path19014" /> + id="g19016"> + id="path19018" /> + id="g19020"> + id="path19022" /> + id="path19024" /> + id="path19026" /> + id="path19028" /> + id="path19030" /> + id="path19032" /> + id="path19034" /> + id="path19036" /> + id="path19038" /> + id="path19040" /> + id="g19042"> + id="path19044" /> + id="g19046"> + id="path19048" /> + id="path19050" /> + id="path19052" /> + id="path19054" /> + id="path19056" /> + id="path19058" /> + id="path19060" /> + id="path19062" /> + id="path19064" /> + id="path19066" /> + id="path19068" /> + id="path19070" /> + id="path19072" /> + id="path19074" /> + id="path19076" /> + id="path19078" /> + id="path19080" /> + id="path19082" /> + id="path19084" /> + id="path19086" /> + id="path19088" /> + id="g19090"> + id="path19092" /> + id="g19094"> + id="path19096" /> + id="path19098" /> + id="path19100" /> + id="path19102" /> + id="g19104"> + id="polygon19106" /> + id="g19108"> + id="polygon19110" /> + id="g19112"> + id="path19114" /> + id="path19116" /> + id="g19118"> + id="path19120" /> + id="path19122" /> + id="path19124" /> + id="path19126" /> + id="path19128" /> + id="path19130" /> + id="path19132" /> + id="path19134" /> + id="path19136" /> + id="path19138" /> + id="path19140" /> + id="path19142" /> + id="path19144" /> + id="path19146" /> + id="path19148" /> + id="g19150"> + id="path19152" /> + id="g19154"> + id="path19156" /> + id="path19158" /> + id="path19160" /> + id="path19162" /> + id="path19164" /> + id="path19166" /> + id="path19168" /> + id="path19170" /> + id="path19172" /> + id="path19174" /> + id="path19176" /> + id="path19178" /> + id="path19180" /> + id="g19182"> + id="path19184" /> + id="g19186"> + id="path19188" /> + id="g19190"> + id="path19192" /> + id="g19194"> + id="path19196" /> + id="path19198" /> + id="path19200" /> + id="path19202" /> + id="g19204"> + id="path19206" /> + id="g19208"> + id="path19210" /> + id="path19212" /> + id="path19214" /> + id="path19216" /> + id="g19218"> + id="polygon19220" /> + id="g19222"> + id="polygon19224" /> + id="g19226"> + id="path19228" /> + id="path19230" /> + id="g19232"> + id="path19234" /> + id="path19236" /> + id="path19238" /> + id="path19240" /> + id="path19242" /> + id="path19244" /> + id="path19246" /> + id="path19248" /> + id="path19250" /> + id="path19252" /> + id="path19254" /> + id="path19256" /> + id="path19258" /> + id="path19260" /> + id="path19262" /> + id="g19264"> + id="path19266" /> + id="g19268"> + id="path19270" /> + id="path19272" /> + id="path19274" /> + id="path19276" /> + id="path19278" /> + id="path19280" /> + id="path19282" /> + id="path19284" /> + id="path19286" /> + id="path19288" /> + id="path19290" /> + id="path19292" /> + id="path19294" /> + id="g19296"> + id="path19298" /> + id="g19300"> + id="path19302" /> + id="path19304" /> + id="path19306" /> + id="path19308" /> + id="path19310" /> + id="path19312" /> + id="path19314" /> + id="path19316" /> + id="path19318" /> + id="path19320" /> + id="path19322" /> + id="path19324" /> + id="path19326" /> + id="path19328" /> + id="path19330" /> + id="path19332" /> + id="path19334" /> + id="path19336" /> + id="path19338" /> + id="path19340" /> + id="g19342"> + id="path19344" /> + id="path19346" /> + id="path19348" /> + id="path19350" /> + id="g19352"> + id="polygon19354" /> + id="g19356"> + id="polygon19358" /> + id="g19360"> + id="path19362" /> + id="path19364" /> + id="g19366"> + id="path19368" /> + id="path19370" /> + id="path19372" /> + id="path19374" /> + id="path19376" /> + id="path19378" /> + id="path19380" /> + id="path19382" /> + id="path19384" /> + id="path19386" /> + id="path19388" /> + id="path19390" /> + id="path19392" /> + id="path19394" /> + id="path19396" /> + id="g19398"> + id="path19400" /> + id="g19402"> + id="path19404" /> + id="path19406" /> + id="path19408" /> + id="path19410" /> + id="path19412" /> + id="path19414" /> + id="path19416" /> + id="path19418" /> + id="path19420" /> + id="path19422" /> + id="path19424" /> + id="path19426" /> + id="path19428" /> + id="g19430"> + id="path19432" /> + id="g19434"> + id="path19436" /> + id="path19438" /> + id="path19440" /> + id="path19442" /> + id="path19444" /> + id="path19446" /> + id="path19448" /> + id="path19450" /> + id="path19452" /> + id="path19454" /> + id="g19456"> + id="path19458" /> + id="g19460"> + id="path19462" /> + id="path19464" /> + id="path19466" /> + id="path19468" /> + id="g19470"> + id="polygon19472" /> + id="g19474"> + id="polygon19476" /> + id="g19478"> + id="path19480" /> + id="path19482" /> + id="g19484"> + id="path19486" /> + id="path19488" /> + id="path19490" /> + id="path19492" /> + id="path19494" /> + id="path19496" /> + id="path19498" /> + id="path19500" /> + id="path19502" /> + id="path19504" /> + id="path19506" /> + id="path19508" /> + id="path19510" /> + id="path19512" /> + id="path19514" /> + id="g19516"> + id="path19518" /> + id="g19520"> + id="path19522" /> + id="path19524" /> + id="path19526" /> + id="path19528" /> + id="path19530" /> + id="path19532" /> + id="path19534" /> + id="path19536" /> + id="path19538" /> + id="path19540" /> + id="g19542"> + id="path19544" /> + id="g19546"> + id="path19548" /> + id="path19550" /> + id="path19552" /> + id="path19554" /> + id="path19556" /> + id="path19558" /> + id="path19560" /> + id="path19562" /> + id="path19564" /> + id="path19566" /> + id="path19568" /> + id="path19570" /> + id="path19572" /> + id="path19574" /> + id="path19576" /> + id="path19578" /> + id="path19580" /> + id="path19582" /> + id="path19584" /> + id="path19586" /> + id="path19588" /> + id="g19590"> + id="path19592" /> + id="g19594"> + id="path19596" /> + id="path19598" /> + id="path19600" /> + id="path19602" /> + id="g19604"> + id="polygon19606" /> + id="g19608"> + id="polygon19610" /> + id="g19612"> + id="path19614" /> + id="path19616" /> + id="g19618"> + id="path19620" /> + id="path19622" /> + id="path19624" /> + id="path19626" /> + id="path19628" /> + id="path19630" /> + id="path19632" /> + id="path19634" /> + id="path19636" /> + id="path19638" /> + id="path19640" /> + id="path19642" /> + id="path19644" /> + id="path19646" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path19706" /> + id="g19708"> + id="polygon19710" /> + id="g19712"> + id="polygon19714" /> + id="g19716"> + id="path19718" /> + id="path19720" /> + id="g19722"> + id="path19724" /> + id="path19726" /> + id="path19728" /> + id="path19730" /> + id="path19732" /> + id="path19734" /> + id="path19736" /> + id="path19738" /> + id="path19740" /> + id="path19742" /> + id="path19744" /> + id="path19746" /> + id="path19748" /> + id="path19750" /> + id="path19752" /> + id="g19754"> + id="path19756" /> + id="g19758"> + id="path19760" /> + id="path19762" /> + id="path19764" /> + id="path19766" /> + id="path19768" /> + id="path19770" /> + id="path19772" /> + id="path19774" /> + id="path19776" /> + id="path19778" /> + id="g19780"> + id="path19782" /> + id="g19784"> + id="path19786" /> + id="path19788" /> + id="path19790" /> + id="path19792" /> + id="path19794" /> + id="path19796" /> + id="path19798" /> + id="path19800" /> + id="path19802" /> + id="path19804" /> + id="path19806" /> + id="path19808" /> + id="path19810" /> + id="path19812" /> + id="path19814" /> + id="g19816"> + id="path19818" /> + id="g19820"> + id="path19822" /> + id="path19824" /> + id="path19826" /> + id="path19828" /> + id="g19830"> + id="polygon19832" /> + id="g19834"> + id="polygon19836" /> + id="g19838"> + id="path19840" /> + id="path19842" /> + id="g19844"> + id="path19846" /> + id="path19848" /> + id="path19850" /> + id="path19852" /> + id="path19854" /> + id="path19856" /> + id="path19858" /> + id="path19860" /> + id="path19862" /> + id="path19864" /> + id="path19866" /> + id="path19868" /> + id="path19870" /> + id="path19872" /> + id="path19874" /> + id="g19876"> + id="path19878" /> + id="g19880"> + id="path19882" /> + id="path19884" /> + id="path19886" /> + id="path19888" /> + id="path19890" /> + id="path19892" /> + id="path19894" /> + id="path19896" /> + id="path19898" /> + id="path19900" /> + id="g19902"> + id="path19904" /> + id="g19906"> + id="path19908" /> + id="path19910" /> + id="path19912" /> + id="path19914" /> + id="path19916" /> + id="path19918" /> + id="path19920" /> + id="path19922" /> + id="path19924" /> + id="path19926" /> + id="path19928" /> + id="path19930" /> + id="path19932" /> + id="g19934"> + id="path19936" /> + id="g19938"> + id="path19940" /> + id="path19942" /> + id="path19944" /> + id="path19946" /> + id="g19948"> + id="polygon19950" /> + id="g19952"> + id="polygon19954" /> + id="g19956"> + id="path19958" /> + id="path19960" /> + id="g19962"> + id="path19964" /> + id="path19966" /> + id="path19968" /> + id="path19970" /> + id="path19972" /> + id="path19974" /> + id="path19976" /> + id="path19978" /> + id="path19980" /> + id="path19982" /> + id="path19984" /> + id="path19986" /> + id="path19988" /> + id="path19990" /> + id="path19992" /> + id="g19994"> + id="path19996" /> + id="g19998"> + id="path20000" /> + id="path20002" /> + id="path20004" /> + id="path20006" /> + id="path20008" /> + id="path20010" /> + id="path20012" /> + id="path20014" /> + id="path20016" /> + id="path20018" /> + id="g20020"> + id="path20022" /> + id="g20024"> + id="path20026" /> + id="path20028" /> + id="path20030" /> + id="path20032" /> + id="path20034" /> + id="path20036" /> + id="path20038" /> + id="path20040" /> + id="path20042" /> + id="path20044" /> + id="g20046"> + id="path20048" /> + id="g20050"> + id="path20052" /> + id="path20054" /> + id="path20056" /> + id="path20058" /> + id="g20060"> + id="polygon20062" /> + id="g20064"> + id="polygon20066" /> + id="g20068"> + id="path20070" /> + id="path20072" /> + id="g20074"> + id="path20076" /> + id="g20078"> + id="path20080" /> + id="g20082"> + id="path20084" /> + id="path20086" /> + id="path20088" /> + id="path20090" /> + id="path20092" /> + id="path20094" /> + id="path20096" /> + id="path20098" /> + id="path20100" /> + id="path20102" /> + id="g20104"> + id="path20106" /> + id="g20108"> + id="path20110" /> + id="g20112"> + id="path20114" /> + id="g20116"> + id="path20118" /> + id="path20120" /> + id="path20122" /> + id="path20124" /> + id="g20126"> + id="path20128" /> + id="g20130"> + id="path20132" /> + id="path20134" /> + id="path20136" /> + id="path20138" /> + id="path20140" /> + id="path20142" /> + id="path20144" /> + id="path20146" /> + id="path20148" /> + id="path20150" /> + id="path20152" /> + id="path20154" /> + id="path20156" /> + id="g20158"> + id="path20160" /> + id="g20162"> + id="path20164" /> + id="path20166" /> + id="path20168" /> + id="path20170" /> + id="g20172"> + id="polygon20174" /> + id="g20176"> + id="polygon20178" /> + id="g20180"> + id="path20182" /> + id="path20184" /> + id="g20186"> + id="path20188" /> + id="g20190"> + id="path20192" /> + id="g20194"> + id="path20196" /> + id="path20198" /> + id="path20200" /> + id="path20202" /> + id="path20204" /> + id="path20206" /> + id="path20208" /> + id="path20210" /> + id="path20212" /> + id="path20214" /> + id="g20216"> + id="path20218" /> + id="g20220"> + id="path20222" /> + id="g20224"> + id="path20226" /> + id="g20228"> + id="path20230" /> + id="path20232" /> + id="path20234" /> + id="path20236" /> + id="g20238"> + id="path20240" /> + id="g20242"> + id="path20244" /> + id="path20246" /> + id="path20248" /> + id="path20250" /> + id="path20252" /> + id="path20254" /> + id="path20256" /> + id="path20258" /> + id="path20260" /> + id="path20262" /> + id="g20264"> + id="path20266" /> + id="g20268"> + id="path20270" /> + id="path20272" /> + id="path20274" /> + id="path20276" /> + id="g20278"> + id="polygon20280" /> + id="g20282"> + id="polygon20284" /> + id="g20286"> + id="path20288" /> + id="path20290" /> + id="g20292"> + id="path20294" /> + id="g20296"> + id="path20298" /> + id="g20300"> + id="path20302" /> + id="path20304" /> + id="path20306" /> + id="path20308" /> + id="path20310" /> + id="path20312" /> + id="path20314" /> + id="path20316" /> + id="path20318" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path20368" /> + id="g20370"> + id="path20372" /> + id="path20374" /> + id="path20376" /> + id="path20378" /> + id="g20380"> + id="polygon20382" /> + id="g20384"> + id="polygon20386" /> + id="g20388"> + id="path20390" /> + id="path20392" /> + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path20446" /> + id="g20448"> + id="path20450" /> + id="g20452"> + id="path20454" /> + id="path20456" /> + id="path20458" /> + id="path20460" /> + id="path20462" /> + id="path20464" /> + id="path20466" /> + id="path20468" /> + id="path20470" /> + id="path20472" /> + id="path20474" /> + id="path20476" /> + id="path20478" /> + id="path20480" /> + id="path20482" /> + id="path20484" /> + id="path20486" /> + id="path20488" /> + id="path20490" /> + id="path20492" /> + id="path20494" /> + id="g20496"> + id="path20498" /> + id="g20500"> + id="path20502" /> + id="path20504" /> + id="path20506" /> + id="path20508" /> + id="g20510"> + id="polygon20512" /> + id="g20514"> + id="polygon20516" /> + id="g20518"> + id="path20520" /> + id="path20522" /> + id="g20524"> + id="path20526" /> + id="path20528" /> + id="path20530" /> + id="path20532" /> + id="path20534" /> + id="path20536" /> + id="path20538" /> + id="path20540" /> + id="path20542" /> + id="path20544" /> + id="path20546" /> + id="path20548" /> + id="path20550" /> + id="path20552" /> + id="path20554" /> + id="g20556"> + id="path20558" /> + id="g20560"> + id="path20562" /> + id="path20564" /> + id="path20566" /> + id="path20568" /> + id="path20570" /> + id="path20572" /> + id="path20574" /> + id="path20576" /> + id="path20578" /> + id="path20580" /> + id="g20582"> + id="path20584" /> + id="g20586"> + id="path20588" /> + id="path20590" /> + id="path20592" /> + id="path20594" /> + id="path20596" /> + id="path20598" /> + id="path20600" /> + id="path20602" /> + id="path20604" /> + id="path20606" /> + id="path20608" /> + id="path20610" /> + id="path20612" /> + id="path20614" /> + id="path20616" /> + id="path20618" /> + id="path20620" /> + id="path20622" /> + id="path20624" /> + id="path20626" /> + id="g20628"> + id="path20630" /> + id="path20632" /> + id="path20634" /> + id="path20636" /> + id="g20638"> + id="polygon20640" /> + id="g20642"> + id="polygon20644" /> + id="g20646"> + id="path20648" /> + id="path20650" /> + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path20704" /> + id="g20706"> + id="path20708" /> + id="g20710"> + id="path20712" /> + id="path20714" /> + id="path20716" /> + id="path20718" /> + id="path20720" /> + id="path20722" /> + id="path20724" /> + id="path20726" /> + id="path20728" /> + id="path20730" /> + id="path20732" /> + id="path20734" /> + id="path20736" /> + id="path20738" /> + id="path20740" /> + id="path20742" /> + id="path20744" /> + id="path20746" /> + id="path20748" /> + id="path20750" /> + id="path20752" /> + id="path20754" /> + id="path20756" /> + id="path20758" /> + id="path20760" /> + id="path20762" /> + id="path20764" /> + id="path20766" /> + id="path20768" /> + id="path20770" /> + id="path20772" /> + id="g20774"> + id="path20776" /> + id="g20778"> + id="path20780" /> + id="path20782" /> + id="path20784" /> + id="path20786" /> + id="g20788"> + id="polygon20790" /> + id="g20792"> + id="polygon20794" /> + id="g20796"> + id="path20798" /> + id="path20800" /> + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path20854" /> + id="g20856"> + id="path20858" /> + id="g20860"> + id="path20862" /> + id="path20864" /> + id="g20866"> + id="path20868" /> + id="g20870"> + id="path20872" /> + id="path20874" /> + id="path20876" /> + id="path20878" /> + id="g20880"> + id="polygon20882" /> + id="g20884"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g20944"> + id="path20946" /> + id="path20948" /> + id="g20950"> + id="path20952" /> + id="path20954" /> + id="path20956" /> + id="path20958" /> + id="path20960" /> + id="g20962"> + id="path20964" /> + id="g20966"> + id="path20968" /> + id="path20970" /> + id="path20972" /> + id="path20974" /> + id="path20976" /> + id="path20978" /> + id="path20980" /> + id="path20982" /> + id="path20984" /> + id="path20986" /> + id="path20988" /> + id="path20990" /> + id="path20992" /> + id="path20994" /> + id="path20996" /> + id="g20998"> + id="path21000" /> + id="g21002"> + id="path21004" /> + id="path21006" /> + id="path21008" /> + id="path21010" /> + id="path21012" /> + id="path21014" /> + id="path21016" /> + id="path21018" /> + id="path21020" /> + id="path21022" /> + id="g21024"> + id="polygon21026" /> + id="g21028"> + id="polygon21030" /> + id="g21032"> + id="path21034" /> + id="path21036" /> + id="g21038"> + id="path21040" /> + id="g21042"> + id="path21044" /> + id="g21046"> + id="path21048" /> + id="path21050" /> + id="g21052"> + id="path21054" /> + id="g21056"> + id="path21058" /> + id="g21060"> + id="path21062" /> + id="g21064"> + id="path21066" /> + id="path21068" /> + id="path21070" /> + id="path21072" /> + id="g21074"> + id="path21076" /> + id="g21078"> + id="path21080" /> + id="path21082" /> + id="path21084" /> + id="path21086" /> + id="g21088"> + id="polygon21090" /> + id="g21092"> + id="polygon21094" /> + id="g21096"> + id="path21098" /> + id="path21100" /> + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path21154" /> + id="g21156"> + id="path21158" /> + id="g21160"> + id="path21162" /> + id="path21164" /> + id="path21166" /> + id="path21168" /> + id="g21170"> + id="polygon21172" /> + id="g21174"> + id="polygon21176" /> + id="g21178"> + id="path21180" /> + id="path21182" /> + id="g21184"> + id="path21186" /> + id="path21188" /> + id="path21190" /> + id="path21192" /> + id="path21194" /> + id="path21196" /> + id="path21198" /> + id="path21200" /> + id="path21202" /> + id="path21204" /> + id="path21206" /> + id="path21208" /> + id="path21210" /> + id="path21212" /> + id="path21214" /> + id="g21216"> + id="path21218" /> + id="g21220"> + id="path21222" /> + id="path21224" /> + id="g21226"> + id="path21228" /> + id="g21230"> + id="path21232" /> + id="path21234" /> + id="path21236" /> + id="path21238" /> + id="g21240"> + points="54773,25862 54773,25508 54969,25508 54969,25862 " + id="polygon21242" /> + id="g21244"> + points="55361,25862 55361,25508 55557,25508 55557,25862 " + id="polygon21246" /> + id="g21248"> + d="M55163 25835 L55167 25835" + id="path21250" /> + d="M55165 25833 L55165 25837" + id="path21252" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - + + + + + id="g21340"> - - - - - - - - - - - - - - - - - - - - - - - - - - - + d="M55036 25897 L55036 25897" + id="path21342" /> + id="g21344"> + d="M54722 25458 L54722 25912" + id="path21346" /> + d="M54722 25912 L55608 25912" + id="path21348" /> + d="M55608 25912 L55608 25458" + id="path21350" /> + d="M54722 25458 L55608 25458" + id="path21352" /> + id="g21354"> + points="55744,18974 56098,18974 56098,19170 55744,19170 " + id="polygon21356" /> + id="g21358"> + points="55744,19562 56098,19562 56098,19758 55744,19758 " + id="polygon21360" /> + id="g21362"> + d="M55769 19366 L55773 19366" + id="path21364" /> + d="M55771 19364 L55771 19368" + id="path21366" /> + id="g21368"> + d="M55652 19205 L55852 19272" + id="path21370" /> + d="M55852 19272 L55652 19338" + id="path21372" /> + + + + + + d="M55852 19509 L55852 19395" + id="path21380" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + - - - - - - - - + + + + + + id="g21456"> + d="M58069 19497 L58069 19497" + id="path21458" /> + id="g21460"> - - - - - + + - - - - + + + + + + + + + + + + + + + + + + + + + + id="g21516"> + d="M49787 27211 L49787 27211" + id="path21518" /> + + + + + + + + + + + + + + + + id="g21546"> + d="M50059 27629 L50059 27293" + id="path21548" /> + d="M49449 27293 L50059 27293" + id="path21550" /> + d="M49449 27293 L49449 27629" + id="path21552" /> + d="M49449 27629 L50059 27629" + id="path21554" /> + id="g21556"> + points="46711,23563 46711,23327 46867,23327 46867,23563 " + id="polygon21558" /> + id="g21560"> + points="47063,23563 47063,23327 47219,23327 47219,23563 " + id="polygon21562" /> + id="g21564"> + d="M46963 23595 L46967 23595" + id="path21566" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + d="M46965 23593 L46965 23597" + id="path21568" /> - - - - - - - - + + + + + - - + - + id="g21634"> - - - - - - - - - - - - - - - + d="M46931 23657 L46931 23657" + id="path21636" /> + + + + + + + + + + + + + + + + - - - - - - - - + + + + + - - + + + id="g21694"> + d="M48708 26022 L48708 26022" + id="path21696" /> + id="g21698"> - - - - - - - - - + id="g21702"> + d="M48537 26203 L48537 26203" + id="path21704" /> + + + + + + + + id="g21716"> + + + - - - + id="g21726"> + points="46699,23976 46699,23740 46855,23740 46855,23976 " + id="polygon21728" /> + id="g21730"> + points="47051,23976 47051,23740 47207,23740 47207,23976 " + id="polygon21732" /> + + + + - - + - - - + id="g21772"> + d="M46986 23908 L46986 23908" + id="path21774" /> + id="g21776"> + + + + + + id="g21808"> + d="M46910 24070 L46910 24070" + id="path21810" /> + id="g21812"> - - - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="polygon21828" /> + id="g21830"> + id="path21832" /> + id="path21834" /> + id="g21836"> + id="path21838" /> + id="path21840" /> + id="path21842" /> + id="path21844" /> + id="path21846" /> + id="path21848" /> + id="path21850" /> + id="path21852" /> + id="path21854" /> + id="path21856" /> + id="path21858" /> + id="path21860" /> + id="path21862" /> + id="path21864" /> + id="path21866" /> + id="g21868"> + id="path21870" /> + id="g21872"> + id="path21874" /> + id="path21876" /> + id="path21878" /> + id="path21880" /> + id="path21882" /> + id="path21884" /> + id="path21886" /> + id="path21888" /> + id="path21890" /> + id="path21892" /> + id="path21894" /> + id="path21896" /> + id="path21898" /> + id="path21900" /> + id="path21902" /> + id="path21904" /> + id="path21906" /> + id="path21908" /> + id="path21910" /> + id="path21912" /> + id="path21914" /> + id="g21916"> + id="path21918" /> + id="g21920"> + id="path21922" /> + id="path21924" /> + id="path21926" /> + id="path21928" /> + id="g21930"> + id="polygon21932" /> + id="g21934"> + id="polygon21936" /> + id="g21938"> + id="path21940" /> + id="path21942" /> + id="g21944"> + id="path21946" /> + id="path21948" /> + id="path21950" /> + id="path21952" /> + id="path21954" /> + id="path21956" /> + id="path21958" /> + id="path21960" /> + id="path21962" /> + id="path21964" /> + id="path21966" /> + id="path21968" /> + id="path21970" /> + id="path21972" /> + id="path21974" /> + id="g21976"> + id="path21978" /> + id="g21980"> + id="path21982" /> + id="path21984" /> + id="path21986" /> + id="path21988" /> + id="path21990" /> + id="path21992" /> + id="path21994" /> + id="path21996" /> + id="path21998" /> + id="path22000" /> + id="path22002" /> + id="path22004" /> + id="path22006" /> + id="path22008" /> + id="path22010" /> + id="path22012" /> + id="path22014" /> + id="path22016" /> + id="path22018" /> + id="path22020" /> + id="path22022" /> + id="path22024" /> + id="path22026" /> + id="path22028" /> + id="path22030" /> + id="path22032" /> + id="path22034" /> + id="path22036" /> + id="path22038" /> + id="path22040" /> + id="path22042" /> + id="g22044"> + id="path22046" /> + id="g22048"> + id="path22050" /> + id="path22052" /> + id="path22054" /> + id="path22056" /> + id="g22058"> + id="polygon22060" /> + id="g22062"> + id="polygon22064" /> + id="g22066"> + id="path22068" /> + id="path22070" /> + id="g22072"> + id="path22074" /> + id="path22076" /> + id="path22078" /> + id="path22080" /> + id="path22082" /> + id="path22084" /> + id="path22086" /> + id="path22088" /> + id="path22090" /> + id="path22092" /> + id="path22094" /> + id="path22096" /> + id="path22098" /> + id="path22100" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -39656,965 +39693,982 @@ transform="translate(0 0) scale(1 1)" id="g22370"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path22378" /> + id="g22380"> + id="path22382" /> + id="g22384"> + id="path22386" /> + id="path22388" /> + id="path22390" /> + id="path22392" /> + id="g22394"> + id="polygon22396" /> + id="g22398"> + id="polygon22400" /> + id="g22402"> + id="path22404" /> + id="path22406" /> + id="g22408"> + id="path22410" /> + id="path22412" /> + id="path22414" /> + id="path22416" /> + id="path22418" /> + id="path22420" /> + id="path22422" /> + id="path22424" /> + id="path22426" /> + id="path22428" /> + id="path22430" /> + id="path22432" /> + id="path22434" /> + id="path22436" /> + id="path22438" /> + id="g22440"> + id="path22442" /> + id="g22444"> + id="path22446" /> + id="g22448"> + id="path22450" /> + id="g22452"> + id="path22454" /> + id="path22456" /> + id="path22458" /> + id="path22460" /> + id="g22462"> + id="path22464" /> + id="g22466"> + id="path22468" /> + id="path22470" /> + id="path22472" /> + id="path22474" /> + id="path22476" /> + id="path22478" /> + id="path22480" /> + id="path22482" /> + id="path22484" /> + id="path22486" /> + id="g22488"> + id="path22490" /> + id="g22492"> + id="path22494" /> + id="path22496" /> + id="path22498" /> + id="path22500" /> + id="g22502"> + id="polygon22504" /> + id="g22506"> + id="polygon22508" /> + id="g22510"> + id="path22512" /> + id="path22514" /> + id="g22516"> + id="path22518" /> + id="path22520" /> + id="path22522" /> + id="path22524" /> + id="path22526" /> + id="path22528" /> + id="path22530" /> + id="path22532" /> + id="path22534" /> + id="path22536" /> + id="path22538" /> + id="path22540" /> + id="path22542" /> + id="path22544" /> + id="path22546" /> + id="g22548"> + id="path22550" /> + id="g22552"> + id="path22554" /> + id="g22556"> + id="path22558" /> + id="g22560"> + id="path22562" /> + id="path22564" /> + id="path22566" /> + id="path22568" /> + id="g22570"> + id="path22572" /> + id="g22574"> + id="path22576" /> + id="path22578" /> + id="path22580" /> + id="path22582" /> + id="path22584" /> + id="path22586" /> + id="path22588" /> + id="path22590" /> + id="path22592" /> + id="path22594" /> + id="path22596" /> + id="path22598" /> + id="path22600" /> + id="path22602" /> + id="path22604" /> + id="path22606" /> + id="path22608" /> + id="path22610" /> + id="path22612" /> + id="path22614" /> + id="path22616" /> + id="g22618"> + id="path22620" /> + id="g22622"> + id="path22624" /> + id="path22626" /> + id="path22628" /> + id="path22630" /> + id="g22632"> + id="polygon22634" /> + id="g22636"> + id="polygon22638" /> + id="g22640"> + id="path22642" /> + id="path22644" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g22930"> + d="M53135 20699 L53135 20699" + id="path22932" /> + id="g22934"> - - - - - - - - - @@ -40622,4433 +40676,4477 @@ style="fill:#0000C0; fill-opacity:0.0; stroke:#0000C0; stroke-linecap:round; stroke-linejoin:round; stroke-opacity:1.0; stroke-opacity:1.0; stroke-width:40" transform="translate(0 0) scale(1 1)" id="g22942"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path22944" /> + id="path22946" /> + id="g22948"> + id="path22950" /> + id="g22952"> + id="path22954" /> + id="path22956" /> + id="path22958" /> + id="path22960" /> + id="g22962"> + id="polygon22964" /> + id="g22966"> + id="polygon22968" /> + id="g22970"> + id="path22972" /> + id="path22974" /> + id="g22976"> + id="path22978" /> + id="g22980"> + id="path22982" /> + id="g22984"> + id="path22986" /> + id="path22988" /> + id="path22990" /> + id="path22992" /> + id="path22994" /> + id="path22996" /> + id="path22998" /> + id="path23000" /> + id="path23002" /> + id="path23004" /> + id="g23006"> + id="path23008" /> + id="g23010"> + id="path23012" /> + id="path23014" /> + id="path23016" /> + id="path23018" /> + id="path23020" /> + id="path23022" /> + id="path23024" /> + id="path23026" /> + id="path23028" /> + id="path23030" /> + id="path23032" /> + id="path23034" /> + id="path23036" /> + id="path23038" /> + id="path23040" /> + id="g23042"> + id="path23044" /> + id="g23046"> + id="path23048" /> + id="path23050" /> + id="path23052" /> + id="path23054" /> + id="g23056"> + id="polygon23058" /> + id="g23060"> + id="polygon23062" /> + id="g23064"> + id="path23066" /> + id="path23068" /> + id="g23070"> + id="path23072" /> + id="g23074"> + id="path23076" /> + id="g23078"> + id="path23080" /> + id="path23082" /> + id="path23084" /> + id="path23086" /> + id="path23088" /> + id="path23090" /> + id="path23092" /> + id="path23094" /> + id="path23096" /> + id="path23098" /> + id="g23100"> + id="path23102" /> + id="g23104"> + id="path23106" /> + id="path23108" /> + id="path23110" /> + id="path23112" /> + id="path23114" /> + id="path23116" /> + id="path23118" /> + id="path23120" /> + id="path23122" /> + id="path23124" /> + id="path23126" /> + id="path23128" /> + id="path23130" /> + id="path23132" /> + id="path23134" /> + id="path23136" /> + id="path23138" /> + id="path23140" /> + id="path23142" /> + id="path23144" /> + id="path23146" /> + id="g23148"> + id="path23150" /> + id="g23152"> + id="path23154" /> + id="path23156" /> + id="path23158" /> + id="path23160" /> + id="g23162"> + id="polygon23164" /> + id="g23166"> + id="polygon23168" /> + id="g23170"> + id="path23172" /> + id="path23174" /> + id="g23176"> + id="path23178" /> + id="g23180"> + id="path23182" /> + id="g23184"> + id="path23186" /> + id="path23188" /> + id="path23190" /> + id="path23192" /> + id="path23194" /> + id="path23196" /> + id="path23198" /> + id="path23200" /> + id="path23202" /> + id="path23204" /> + id="g23206"> + id="path23208" /> + id="g23210"> + id="path23212" /> + id="path23214" /> + id="g23216"> + id="path23218" /> + id="g23220"> + id="path23222" /> + id="path23224" /> + id="path23226" /> + id="path23228" /> + id="g23230"> + id="polygon23232" /> + id="g23234"> + id="polygon23236" /> + id="g23238"> + id="path23240" /> + id="path23242" /> + id="g23244"> + id="path23246" /> + id="g23248"> + id="path23250" /> + id="g23252"> + id="path23254" /> + id="path23256" /> + id="path23258" /> + id="path23260" /> + id="path23262" /> + id="path23264" /> + id="path23266" /> + id="path23268" /> + id="path23270" /> + id="path23272" /> + id="g23274"> + id="path23276" /> + id="g23278"> + id="path23280" /> + id="path23282" /> + id="path23284" /> + id="path23286" /> + id="path23288" /> + id="path23290" /> + id="path23292" /> + id="path23294" /> + id="path23296" /> + id="path23298" /> + id="path23300" /> + id="path23302" /> + id="path23304" /> + id="path23306" /> + id="path23308" /> + id="path23310" /> + id="path23312" /> + id="path23314" /> + id="path23316" /> + id="path23318" /> + id="path23320" /> + id="path23322" /> + id="path23324" /> + id="path23326" /> + id="path23328" /> + id="path23330" /> + id="path23332" /> + id="path23334" /> + id="path23336" /> + id="path23338" /> + id="path23340" /> + id="g23342"> + id="path23344" /> + id="g23346"> + id="path23348" /> + id="path23350" /> + id="path23352" /> + id="path23354" /> + id="g23356"> + id="polygon23358" /> + id="g23360"> + id="polygon23362" /> + id="g23364"> + id="path23366" /> + id="path23368" /> + id="g23370"> + id="path23372" /> + id="g23374"> + id="path23376" /> + id="g23378"> + id="path23380" /> + id="path23382" /> + id="path23384" /> + id="path23386" /> + id="path23388" /> + id="path23390" /> + id="path23392" /> + id="path23394" /> + id="path23396" /> + id="path23398" /> + id="g23400"> + id="path23402" /> + id="g23404"> + id="path23406" /> + id="path23408" /> + id="path23410" /> + id="path23412" /> + id="path23414" /> + id="path23416" /> + id="path23418" /> + id="path23420" /> + id="path23422" /> + id="path23424" /> + id="path23426" /> + id="path23428" /> + id="path23430" /> + id="path23432" /> + id="path23434" /> + id="path23436" /> + id="path23438" /> + id="path23440" /> + id="path23442" /> + id="path23444" /> + id="path23446" /> + id="g23448"> + id="path23450" /> + id="g23452"> + id="path23454" /> + id="path23456" /> + id="path23458" /> + id="path23460" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="polygon23734" /> + id="g23736"> + id="polygon23738" /> + id="g23740"> + id="polygon23742" /> + id="g23744"> + id="polygon23746" /> + id="g23748"> + id="polygon23750" /> + id="g23752"> + id="polygon23754" /> + id="g23756"> + id="polygon23758" /> + id="g23760"> + id="polygon23762" /> + id="g23764"> + id="polygon23766" /> + id="g23768"> + id="polygon23770" /> + id="g23772"> + id="polygon23774" /> + id="g23776"> + id="polygon23778" /> + id="g23780"> + id="polygon23782" /> + id="g23784"> + id="polygon23786" /> + id="g23788"> + id="polygon23790" /> + id="g23792"> + id="polygon23794" /> + id="g23796"> + id="polygon23798" /> + id="g23800"> + id="polygon23802" /> + id="g23804"> + id="polygon23806" /> + id="g23808"> + id="polygon23810" /> + id="g23812"> + id="polygon23814" /> + id="g23816"> + id="polygon23818" /> + id="g23820"> + id="polygon23822" /> + id="g23824"> + id="polygon23826" /> + id="g23828"> + id="polygon23830" /> + id="g23832"> + id="polygon23834" /> + id="g23836"> + id="polygon23838" /> + id="g23840"> + id="polygon23842" /> + id="g23844"> + id="polygon23846" /> + id="g23848"> + id="path23850" /> + id="path23852" /> + id="g23854"> + id="path23856" /> + id="path23858" /> + id="path23860" /> + id="path23862" /> + id="path23864" /> + id="path23866" /> + id="path23868" /> + id="path23870" /> + id="path23872" /> + id="g23874"> + id="path23876" /> + id="g23878"> + id="path23880" /> + id="path23882" /> + id="path23884" /> + id="path23886" /> + id="path23888" /> + id="path23890" /> + id="path23892" /> + id="path23894" /> + id="path23896" /> + id="path23898" /> + id="g23900"> + id="path23902" /> + id="g23904"> + id="ellipse23906" /> + id="path23908" /> + id="path23910" /> + id="path23912" /> + id="path23914" /> + id="g23916"> + id="polygon23918" /> + id="g23920"> + id="polygon23922" /> + id="g23924"> + id="polygon23926" /> + id="g23928"> + id="polygon23930" /> + id="g23932"> + id="polygon23934" /> + id="g23936"> + id="polygon23938" /> + id="g23940"> + id="polygon23942" /> + id="g23944"> + id="polygon23946" /> + id="g23948"> + id="polygon23950" /> + id="g23952"> + id="polygon23954" /> + id="g23956"> + id="polygon23958" /> + id="g23960"> + id="polygon23962" /> + id="g23964"> + id="polygon23966" /> + id="g23968"> + id="polygon23970" /> + id="g23972"> + id="polygon23974" /> + id="g23976"> + id="polygon23978" /> + id="g23980"> + id="polygon23982" /> + id="g23984"> + id="polygon23986" /> + id="g23988"> + id="polygon23990" /> + id="g23992"> + id="polygon23994" /> + id="g23996"> + id="polygon23998" /> + id="g24000"> + id="polygon24002" /> + id="g24004"> + id="polygon24006" /> + id="g24008"> + id="polygon24010" /> + id="g24012"> + id="polygon24014" /> + id="g24016"> + id="polygon24018" /> + id="g24020"> + id="polygon24022" /> + id="g24024"> + id="polygon24026" /> + id="g24028"> + id="polygon24030" /> + id="g24032"> + id="polygon24034" /> + id="g24036"> + id="polygon24038" /> + id="g24040"> + id="polygon24042" /> + id="g24044"> + id="polygon24046" /> + id="g24048"> + id="polygon24050" /> + id="g24052"> + id="polygon24054" /> + id="g24056"> + id="polygon24058" /> + id="g24060"> + id="polygon24062" /> + id="g24064"> + id="polygon24066" /> + id="g24068"> + id="polygon24070" /> + id="g24072"> + id="polygon24074" /> + id="g24076"> + id="polygon24078" /> + id="g24080"> + id="polygon24082" /> + id="g24084"> + id="polygon24086" /> + id="g24088"> + id="polygon24090" /> + id="g24092"> + id="polygon24094" /> + id="g24096"> + id="polygon24098" /> + id="g24100"> + id="polygon24102" /> + id="g24104"> + id="polygon24106" /> + id="g24108"> + id="polygon24110" /> + id="g24112"> + id="polygon24114" /> + id="g24116"> + id="polygon24118" /> + id="g24120"> + id="polygon24122" /> + id="g24124"> + id="polygon24126" /> + id="g24128"> + id="polygon24130" /> + id="g24132"> + id="polygon24134" /> + id="g24136"> + id="polygon24138" /> + id="g24140"> + id="polygon24142" /> + id="g24144"> + id="polygon24146" /> + id="g24148"> + id="polygon24150" /> + id="g24152"> + id="polygon24154" /> + id="g24156"> + id="polygon24158" /> + id="g24160"> + id="polygon24162" /> + id="g24164"> + id="polygon24166" /> + id="g24168"> + id="polygon24170" /> + id="g24172"> + id="polygon24174" /> + id="g24176"> + id="polygon24178" /> + id="g24180"> + id="path24182" /> + id="path24184" /> + id="g24186"> + id="path24188" /> + id="path24190" /> + id="path24192" /> + id="path24194" /> + id="path24196" /> + id="path24198" /> + id="path24200" /> + id="path24202" /> + id="path24204" /> + id="g24206"> + id="path24208" /> + id="g24210"> + id="path24212" /> + id="path24214" /> + id="path24216" /> + id="path24218" /> + id="path24220" /> + id="path24222" /> + id="path24224" /> + id="path24226" /> + id="path24228" /> + id="path24230" /> + id="path24232" /> + id="path24234" /> + id="path24236" /> + id="g24238"> + id="path24240" /> + id="g24242"> + id="ellipse24244" /> + id="path24246" /> + id="path24248" /> + id="path24250" /> + id="path24252" /> + id="g24254"> + id="ellipse24256" /> + id="g24258"> + id="ellipse24260" /> + id="g24262"> + id="ellipse24264" /> + id="g24266"> + id="ellipse24268" /> + id="g24270"> + id="ellipse24272" /> + id="g24274"> + id="ellipse24276" /> + id="g24278"> + id="ellipse24280" /> + id="g24282"> + id="ellipse24284" /> + id="g24286"> + id="polygon24288" /> + id="g24290"> + id="ellipse24292" /> + id="g24294"> + id="ellipse24296" /> + id="g24298"> + id="ellipse24300" /> + id="g24302"> + id="ellipse24304" /> + id="g24306"> + id="ellipse24308" /> + id="g24310"> + id="ellipse24312" /> + id="g24314"> + id="ellipse24316" /> + id="g24318"> + id="ellipse24320" /> + id="g24322"> + id="ellipse24324" /> + id="g24326"> + id="ellipse24328" /> + id="g24330"> + id="ellipse24332" /> + id="g24334"> + id="ellipse24336" /> + id="g24338"> + id="ellipse24340" /> + id="g24342"> + id="ellipse24344" /> + id="g24346"> + id="ellipse24348" /> + id="g24350"> + id="ellipse24352" /> + id="g24354"> + id="ellipse24356" /> + id="g24358"> + id="ellipse24360" /> + id="g24362"> + id="ellipse24364" /> + id="g24366"> + id="ellipse24368" /> + id="g24370"> + id="ellipse24372" /> + id="g24374"> + id="ellipse24376" /> + id="g24378"> + id="ellipse24380" /> + id="g24382"> + id="path24384" /> + id="path24386" /> + id="g24388"> + id="path24390" /> + id="path24392" /> + id="path24394" /> + id="path24396" /> + id="path24398" /> + id="g24400"> + id="path24402" /> + id="g24404"> + id="path24406" /> + id="g24408"> + id="path24410" /> + id="g24412"> + id="path24414" /> + id="path24416" /> + id="g24418"> + id="path24420" /> + id="g24422"> + id="path24424" /> + id="path24426" /> + id="path24428" /> + id="path24430" /> + id="g24432"> + id="polygon24434" /> + id="g24436"> + id="polygon24438" /> + id="g24440"> + id="polygon24442" /> + id="g24444"> + id="polygon24446" /> + id="g24448"> + id="polygon24450" /> + id="g24452"> + id="polygon24454" /> + id="g24456"> + id="polygon24458" /> + id="g24460"> + id="polygon24462" /> + id="g24464"> + id="polygon24466" /> + id="g24468"> + id="polygon24470" /> + id="g24472"> + id="polygon24474" /> + id="g24476"> + id="polygon24478" /> + id="g24480"> + id="path24482" /> + id="path24484" /> + id="g24486"> + id="path24488" /> + id="path24490" /> + id="path24492" /> + id="path24494" /> + id="path24496" /> + id="g24498"> + id="path24500" /> + id="g24502"> + id="path24504" /> + id="g24506"> + id="path24508" /> + id="g24510"> + id="path24512" /> + id="path24514" /> + id="path24516" /> + id="path24518" /> + id="g24520"> + id="path24522" /> + id="g24524"> + id="path24526" /> + id="path24528" /> + id="path24530" /> + id="path24532" /> + id="path24534" /> + id="path24536" /> + id="path24538" /> + id="path24540" /> + id="path24542" /> + id="g24544"> + id="polygon24546" /> + id="g24548"> + id="polygon24550" /> + id="g24552"> + id="polygon24554" /> + id="g24556"> + id="polygon24558" /> + id="g24560"> + id="polygon24562" /> + id="g24564"> + id="polygon24566" /> + id="g24568"> + id="polygon24570" /> + id="g24572"> + id="polygon24574" /> + id="g24576"> + id="polygon24578" /> + id="g24580"> + id="polygon24582" /> + id="g24584"> + id="polygon24586" /> + id="g24588"> + id="polygon24590" /> + id="g24592"> + id="polygon24594" /> + id="g24596"> + id="polygon24598" /> + id="g24600"> + id="polygon24602" /> + id="g24604"> + id="polygon24606" /> + id="g24608"> + id="polygon24610" /> + id="g24612"> + id="polygon24614" /> + id="g24616"> + id="polygon24618" /> + id="g24620"> + id="polygon24622" /> + id="g24624"> + id="polygon24626" /> + id="g24628"> + id="polygon24630" /> + id="g24632"> + id="polygon24634" /> + id="g24636"> + id="polygon24638" /> + id="g24640"> + id="polygon24642" /> + id="g24644"> + id="polygon24646" /> + id="g24648"> + id="polygon24650" /> + id="g24652"> + id="polygon24654" /> + id="g24656"> + id="polygon24658" /> + id="g24660"> + id="polygon24662" /> + id="g24664"> + id="polygon24666" /> + id="g24668"> + id="polygon24670" /> + id="g24672"> + id="polygon24674" /> + id="g24676"> + id="polygon24678" /> + id="g24680"> + id="polygon24682" /> + id="g24684"> + id="polygon24686" /> + id="g24688"> + id="polygon24690" /> + id="g24692"> + id="polygon24694" /> + id="g24696"> + id="polygon24698" /> + id="g24700"> + id="polygon24702" /> + id="g24704"> + id="polygon24706" /> + id="g24708"> + id="polygon24710" /> + id="g24712"> + id="polygon24714" /> + id="g24716"> + id="polygon24718" /> + id="g24720"> + id="polygon24722" /> + id="g24724"> + id="polygon24726" /> + id="g24728"> + id="polygon24730" /> + id="g24732"> + id="polygon24734" /> + id="g24736"> + id="path24738" /> + id="path24740" /> + id="g24742"> + id="path24744" /> + id="path24746" /> + id="path24748" /> + id="path24750" /> + id="path24752" /> + id="path24754" /> + id="path24756" /> + id="path24758" /> + id="path24760" /> + id="g24762"> + id="path24764" /> + id="g24766"> + id="path24768" /> + id="path24770" /> + id="path24772" /> + id="path24774" /> + id="path24776" /> + id="path24778" /> + id="path24780" /> + id="path24782" /> + id="path24784" /> + id="path24786" /> + id="path24788" /> + id="path24790" /> + id="path24792" /> + id="path24794" /> + id="path24796" /> + id="g24798"> + id="path24800" /> + id="g24802"> + id="path24804" /> + id="path24806" /> + id="path24808" /> + id="path24810" /> + id="g24812"> + id="path24814" /> + id="path24816" /> + id="path24818" /> + id="path24820" /> + id="path24822" /> + id="path24824" /> + id="path24826" /> + id="g24828"> + id="polygon24830" /> + id="g24832"> + id="polygon24834" /> + id="g24836"> + id="polygon24838" /> + id="g24840"> + id="polygon24842" /> + id="g24844"> + id="polygon24846" /> + id="g24848"> + id="polygon24850" /> + id="g24852"> + id="polygon24854" /> + id="g24856"> + id="polygon24858" /> + id="g24860"> + id="polygon24862" /> + id="g24864"> + id="polygon24866" /> + id="g24868"> + id="polygon24870" /> + id="g24872"> + id="polygon24874" /> + id="g24876"> + id="polygon24878" /> + id="g24880"> + id="polygon24882" /> + id="g24884"> + id="polygon24886" /> + id="g24888"> + id="polygon24890" /> + id="g24892"> + id="polygon24894" /> + id="g24896"> + id="polygon24898" /> + id="g24900"> + id="polygon24902" /> + id="g24904"> + id="polygon24906" /> + id="g24908"> + id="polygon24910" /> + id="g24912"> + id="polygon24914" /> + id="g24916"> + id="polygon24918" /> + id="g24920"> + id="polygon24922" /> + id="g24924"> + id="polygon24926" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + - + id="g25216"> + + + + + + + + + + + + - + id="g25240"> + + + + - + id="g25248"> + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + - - - - + + + + + + + + + + + + + + id="g25294"> + points="61477,46341 61177,46341 61177,46141 61477,46141 " + id="polygon25296" /> + id="g25298"> + points="61477,45591 61177,45591 61177,45391 61477,45391 " + id="polygon25300" /> + + + + + + + + + + id="g25314"> + d="M60675 45866 L60679 45866" + id="path25316" /> + d="M60677 45864 L60677 45868" + id="path25318" /> - - - - - - - - - - - - - - - - - - - - + + + + + + + + id="g25340"> + d="M60498 46033 L60498 46033" + id="path25342" /> - + id="g25344"> - - - + d="M60798 45783 L60798 45925" + id="path25346" /> + + + - - - - - - + id="g25352"> - - - + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g25392"> + d="M60477 45266 L61177 45266" + id="path25394" /> + d="M61177 45266 L61177 46466" + id="path25396" /> + d="M60477 46466 L61177 46466" + id="path25398" /> + d="M60477 46466 L60477 45266" + id="path25400" /> + id="g25402"> + points="72913,17301 73149,17301 73149,17457 72913,17457 " + id="polygon25404" /> + id="g25406"> - - - - - - - - - + points="72913,17653 73149,17653 73149,17809 72913,17809 " + id="polygon25408" /> + id="g25410"> + d="M73179 17555 L73183 17555" + id="path25412" /> + d="M73181 17553 L73181 17557" + id="path25414" /> + id="g25416"> + d="M73243 17683 L73252 17693" + id="path25418" /> + d="M73252 17693 L73262 17721" + id="path25420" /> + d="M73262 17721 L73262 17740" + id="path25422" /> + d="M73262 17740 L73252 17769" + id="path25424" /> + d="M73252 17769 L73233 17788" + id="path25426" /> + d="M73233 17788 L73214 17797" + id="path25428" /> + d="M73214 17797 L73176 17807" + id="path25430" /> + d="M73176 17807 L73148 17807" + id="path25432" /> + d="M73148 17807 L73110 17797" + id="path25434" /> + + + + + + + id="g25448"> + d="M73081 17683 L73081 17683" + id="path25450" /> + id="g25452"> + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + points="71968,17457 71732,17457 71732,17301 71968,17301 " + id="polygon25526" /> + id="g25528"> + d="M71698 17555 L71702 17555" + id="path25530" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + d="M71700 17553 L71700 17557" + id="path25532" /> + id="g25534"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - @@ -46024,736 +46062,730 @@ transform="translate(0 0) scale(1 1)" id="g25714"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path25718" /> + id="path25720" /> + id="path25722" /> + id="path25724" /> + id="path25726" /> + id="path25728" /> + id="path25730" /> + id="path25732" /> + id="path25734" /> + id="path25736" /> + id="path25738" /> + id="path25740" /> + id="path25742" /> + id="path25744" /> + id="path25746" /> + id="path25748" /> + id="path25750" /> + id="path25752" /> + id="path25754" /> + id="path25756" /> + id="g25758"> + id="path25760" /> + id="g25762"> + id="path25764" /> + id="path25766" /> + id="path25768" /> + id="path25770" /> + id="g25772"> + id="polygon25774" /> + id="g25776"> + id="polygon25778" /> + id="g25780"> + id="path25782" /> + id="path25784" /> + id="g25786"> + id="path25788" /> + id="path25790" /> + id="path25792" /> + id="path25794" /> + id="path25796" /> + id="path25798" /> + id="path25800" /> + id="path25802" /> + id="path25804" /> + id="path25806" /> + id="path25808" /> + id="path25810" /> + id="path25812" /> + id="path25814" /> + id="path25816" /> + id="g25818"> + id="path25820" /> + id="g25822"> + id="path25824" /> + id="path25826" /> + id="path25828" /> + id="path25830" /> + id="path25832" /> + id="path25834" /> + id="path25836" /> + id="path25838" /> + id="path25840" /> + id="path25842" /> + id="path25844" /> + id="path25846" /> + id="path25848" /> + id="path25850" /> + id="path25852" /> + id="path25854" /> + id="path25856" /> + id="path25858" /> + id="path25860" /> + id="path25862" /> + id="path25864" /> + id="path25866" /> + id="path25868" /> + id="path25870" /> + id="path25872" /> + id="path25874" /> + id="path25876" /> + id="path25878" /> + id="path25880" /> + id="path25882" /> + id="path25884" /> + id="g25886"> + id="path25888" /> + id="g25890"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -46762,5421 +46794,5418 @@ transform="translate(0 0) scale(1 1)" id="g26160"> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path26168" /> + id="path26170" /> + id="path26172" /> + id="path26174" /> + id="path26176" /> + id="path26178" /> + id="path26180" /> + id="path26182" /> + id="path26184" /> + id="path26186" /> + id="path26188" /> + id="path26190" /> + id="path26192" /> + id="path26194" /> + id="path26196" /> + id="path26198" /> + id="path26200" /> + id="path26202" /> + id="path26204" /> + id="path26206" /> + id="path26208" /> + id="path26210" /> + id="path26212" /> + id="path26214" /> + id="path26216" /> + id="path26218" /> + id="path26220" /> + id="path26222" /> + id="g26224"> + id="path26226" /> + id="g26228"> + id="path26230" /> + id="path26232" /> + id="path26234" /> + id="path26236" /> + id="g26238"> + id="polygon26240" /> + id="g26242"> + id="polygon26244" /> + id="g26246"> + id="path26248" /> + id="path26250" /> + id="g26252"> + id="path26254" /> + id="g26256"> + id="path26258" /> + id="g26260"> + id="path26262" /> + id="path26264" /> + id="path26266" /> + id="path26268" /> + id="path26270" /> + id="path26272" /> + id="path26274" /> + id="path26276" /> + id="path26278" /> + id="path26280" /> + id="g26282"> + id="path26284" /> + id="g26286"> + id="path26288" /> + id="path26290" /> + id="path26292" /> + id="path26294" /> + id="path26296" /> + id="path26298" /> + id="path26300" /> + id="path26302" /> + id="path26304" /> + id="path26306" /> + id="g26308"> + id="path26310" /> + id="g26312"> + id="path26314" /> + id="path26316" /> + id="g26318"> + id="path26320" /> + id="g26322"> + id="path26324" /> + id="path26326" /> + id="path26328" /> + id="path26330" /> + id="g26332"> + id="polygon26334" /> + id="g26336"> + id="polygon26338" /> + id="g26340"> + id="path26342" /> + id="path26344" /> + id="g26346"> + id="path26348" /> + id="path26350" /> + id="path26352" /> + id="path26354" /> + id="path26356" /> + id="path26358" /> + id="path26360" /> + id="path26362" /> + id="path26364" /> + id="path26366" /> + id="path26368" /> + id="path26370" /> + id="path26372" /> + id="path26374" /> + id="path26376" /> + id="g26378"> + id="path26380" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path26674" /> + id="g26676"> + id="path26678" /> + id="g26680"> + id="path26682" /> + id="path26684" /> + id="path26686" /> + id="path26688" /> + id="path26690" /> + id="path26692" /> + id="path26694" /> + id="path26696" /> + id="path26698" /> + id="path26700" /> + id="path26702" /> + id="path26704" /> + id="path26706" /> + id="path26708" /> + id="path26710" /> + id="path26712" /> + id="path26714" /> + id="path26716" /> + id="path26718" /> + id="path26720" /> + id="path26722" /> + id="path26724" /> + id="path26726" /> + id="path26728" /> + id="path26730" /> + id="path26732" /> + id="path26734" /> + id="path26736" /> + id="path26738" /> + id="path26740" /> + id="path26742" /> + id="g26744"> + id="path26746" /> + id="g26748"> + id="path26750" /> + id="path26752" /> + id="path26754" /> + id="path26756" /> + id="path26758" /> + id="path26760" /> + id="path26762" /> + id="path26764" /> + id="path26766" /> + id="path26768" /> + id="g26770"> + id="path26772" /> + id="g26774"> + id="path26776" /> + id="path26778" /> + id="path26780" /> + id="path26782" /> + id="g26784"> + id="polygon26786" /> + id="g26788"> + id="polygon26790" /> + id="g26792"> + id="path26794" /> + id="path26796" /> + id="g26798"> + id="path26800" /> + id="path26802" /> + id="path26804" /> + id="path26806" /> + id="path26808" /> + id="path26810" /> + id="path26812" /> + id="path26814" /> + id="path26816" /> + id="path26818" /> + id="path26820" /> + id="path26822" /> + id="path26824" /> + id="path26826" /> + id="path26828" /> + id="g26830"> + id="path26832" /> + id="g26834"> + id="path26836" /> + id="path26838" /> + id="path26840" /> + id="path26842" /> + id="path26844" /> + id="path26846" /> + id="path26848" /> + id="path26850" /> + id="path26852" /> + id="path26854" /> + id="path26856" /> + id="path26858" /> + id="path26860" /> + id="path26862" /> + id="path26864" /> + id="path26866" /> + id="path26868" /> + id="path26870" /> + id="path26872" /> + id="path26874" /> + id="path26876" /> + id="path26878" /> + id="path26880" /> + id="path26882" /> + id="path26884" /> + id="path26886" /> + id="path26888" /> + id="path26890" /> + id="path26892" /> + id="path26894" /> + id="path26896" /> + id="g26898"> + id="path26900" /> + id="g26902"> + id="path26904" /> + id="path26906" /> + id="path26908" /> + id="path26910" /> + id="path26912" /> + id="path26914" /> + id="path26916" /> + id="path26918" /> + id="path26920" /> + id="path26922" /> + id="path26924" /> + id="path26926" /> + id="path26928" /> + id="path26930" /> + id="path26932" /> + id="path26934" /> + id="path26936" /> + id="path26938" /> + id="path26940" /> + id="path26942" /> + id="g26944"> + id="path26946" /> + id="path26948" /> + id="path26950" /> + id="path26952" /> + id="g26954"> + id="polygon26956" /> + id="g26958"> + id="polygon26960" /> + id="g26962"> + id="path26964" /> + id="path26966" /> + id="g26968"> + id="path26970" /> + id="path26972" /> + id="path26974" /> + id="path26976" /> + id="path26978" /> + id="path26980" /> + id="path26982" /> + id="path26984" /> + id="path26986" /> + id="path26988" /> + id="path26990" /> + id="path26992" /> + id="path26994" /> + id="path26996" /> + id="path26998" /> + id="g27000"> + id="path27002" /> + id="g27004"> + id="path27006" /> + id="path27008" /> + id="path27010" /> + id="path27012" /> + id="path27014" /> + id="path27016" /> + id="path27018" /> + id="path27020" /> + id="path27022" /> + id="path27024" /> + id="path27026" /> + id="path27028" /> + id="path27030" /> + id="path27032" /> + id="path27034" /> + id="path27036" /> + id="path27038" /> + id="path27040" /> + id="path27042" /> + id="path27044" /> + id="path27046" /> + id="path27048" /> + id="path27050" /> + id="path27052" /> + id="path27054" /> + id="path27056" /> + id="path27058" /> + id="path27060" /> + id="path27062" /> + id="path27064" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path27336" /> + id="path27338" /> + id="path27340" /> + id="path27342" /> + id="g27344"> + id="polygon27346" /> + id="g27348"> + id="polygon27350" /> + id="g27352"> + id="path27354" /> + id="path27356" /> + id="g27358"> + id="path27360" /> + id="g27362"> + id="path27364" /> + id="g27366"> + id="path27368" /> + id="path27370" /> + id="path27372" /> + id="path27374" /> + id="path27376" /> + id="path27378" /> + id="path27380" /> + id="path27382" /> + id="path27384" /> + id="path27386" /> + id="g27388"> + id="path27390" /> + id="g27392"> + id="path27394" /> + id="path27396" /> + id="path27398" /> + id="path27400" /> + id="path27402" /> + id="path27404" /> + id="path27406" /> + id="path27408" /> + id="path27410" /> + id="path27412" /> + id="path27414" /> + id="path27416" /> + id="path27418" /> + id="g27420"> + id="path27422" /> + id="g27424"> + id="path27426" /> + id="path27428" /> + id="path27430" /> + id="path27432" /> + id="path27434" /> + id="path27436" /> + id="path27438" /> + id="path27440" /> + id="path27442" /> + id="path27444" /> + id="path27446" /> + id="path27448" /> + id="path27450" /> + id="path27452" /> + id="path27454" /> + id="path27456" /> + id="path27458" /> + id="path27460" /> + id="path27462" /> + id="path27464" /> + id="g27466"> + id="path27468" /> + id="path27470" /> + id="path27472" /> + id="path27474" /> + id="g27476"> + id="polygon27478" /> + id="g27480"> + id="polygon27482" /> + id="g27484"> + id="path27486" /> + id="path27488" /> + id="g27490"> + id="path27492" /> + id="g27494"> + id="path27496" /> + id="g27498"> + id="path27500" /> + id="path27502" /> + id="path27504" /> + id="path27506" /> + id="path27508" /> + id="path27510" /> + id="path27512" /> + id="path27514" /> + id="path27516" /> + id="path27518" /> + id="g27520"> + id="path27522" /> + id="g27524"> + id="path27526" /> + id="path27528" /> + id="path27530" /> + id="path27532" /> + id="path27534" /> + id="path27536" /> + id="path27538" /> + id="path27540" /> + id="path27542" /> + id="path27544" /> + id="g27546"> + id="path27548" /> + id="g27550"> + id="path27552" /> + id="path27554" /> + id="path27556" /> + id="path27558" /> + id="path27560" /> + id="path27562" /> + id="path27564" /> + id="path27566" /> + id="path27568" /> + id="path27570" /> + id="path27572" /> + id="path27574" /> + id="path27576" /> + id="path27578" /> + id="path27580" /> + id="path27582" /> + id="path27584" /> + id="path27586" /> + id="path27588" /> + id="path27590" /> + id="path27592" /> + id="g27594"> + id="path27596" /> + id="g27598"> + id="path27600" /> + id="path27602" /> + id="path27604" /> + id="path27606" /> + id="g27608"> + id="polygon27610" /> + id="g27612"> + id="ellipse27614" /> + id="g27616"> + id="ellipse27618" /> + id="g27620"> + id="ellipse27622" /> + id="g27624"> + id="ellipse27626" /> + id="g27628"> + id="ellipse27630" /> + id="g27632"> + id="ellipse27634" /> + id="g27636"> + id="ellipse27638" /> + id="g27640"> + id="ellipse27642" /> + id="g27644"> + id="ellipse27646" /> + id="g27648"> + id="ellipse27650" /> + id="g27652"> + id="ellipse27654" /> + id="g27656"> + id="ellipse27658" /> + id="g27660"> + id="ellipse27662" /> + id="g27664"> + id="ellipse27666" /> + id="g27668"> + id="ellipse27670" /> + id="g27672"> + id="ellipse27674" /> + id="g27676"> + id="ellipse27678" /> + id="g27680"> + id="ellipse27682" /> + id="g27684"> + id="ellipse27686" /> + id="g27688"> + id="ellipse27690" /> + id="g27692"> + id="ellipse27694" /> + id="g27696"> + id="ellipse27698" /> + id="g27700"> + id="ellipse27702" /> + id="g27704"> + id="ellipse27706" /> + id="g27708"> + id="ellipse27710" /> + id="g27712"> + id="ellipse27714" /> + id="g27716"> + id="ellipse27718" /> + id="g27720"> + id="ellipse27722" /> + id="g27724"> + id="ellipse27726" /> + id="g27728"> + id="ellipse27730" /> + id="g27732"> + id="ellipse27734" /> + id="g27736"> + id="path27738" /> + id="path27740" /> + id="g27742"> + id="path27744" /> + id="path27746" /> + id="path27748" /> + id="path27750" /> + id="path27752" /> + id="g27754"> + id="path27756" /> + id="g27758"> + id="path27760" /> + id="path27762" /> + id="path27764" /> + id="path27766" /> + id="path27768" /> + id="path27770" /> + id="path27772" /> + id="path27774" /> + id="path27776" /> + id="path27778" /> + id="path27780" /> + id="path27782" /> + id="path27784" /> + id="path27786" /> + id="path27788" /> + id="path27790" /> + id="path27792" /> + id="path27794" /> + id="path27796" /> + id="path27798" /> + id="path27800" /> + id="g27802"> + id="path27804" /> + id="g27806"> + id="path27808" /> + id="path27810" /> + id="g27812"> + id="path27814" /> + id="path27816" /> + id="path27818" /> + id="path27820" /> + id="path27822" /> + id="path27824" /> + id="path27826" /> + id="path27828" /> + id="path27830" /> + id="path27832" /> + id="path27834" /> + id="path27836" /> + id="path27838" /> + id="path27840" /> + id="path27842" /> + id="g27844"> + id="path27846" /> + id="g27848"> + id="path27850" /> + id="path27852" /> + id="path27854" /> + id="path27856" /> + id="path27858" /> + id="path27860" /> + id="path27862" /> + id="path27864" /> + id="path27866" /> + id="path27868" /> + id="path27870" /> + id="path27872" /> + id="path27874" /> + id="path27876" /> + id="path27878" /> + id="path27880" /> + id="g27882"> + id="path27884" /> + id="path27886" /> + id="path27888" /> + id="g27890"> + id="path27892" /> + id="g27894"> + id="path27896" /> + id="path27898" /> + id="path27900" /> + id="g27902"> + id="path27904" /> + id="g27906"> + id="path27908" /> + id="g27910"> + id="path27912" /> + id="g27914"> + id="path27916" /> + id="path27918" /> + id="path27920" /> + id="path27922" /> + id="path27924" /> + id="path27926" /> + id="path27928" /> + id="path27930" /> + id="path27932" /> + id="path27934" /> + id="path27936" /> + id="path27938" /> + id="path27940" /> + id="path27942" /> + id="path27944" /> + id="path27946" /> + id="path27948" /> + id="path27950" /> + id="path27952" /> + id="path27954" /> + id="path27956" /> + id="path27958" /> + id="path27960" /> + id="path27962" /> + id="path27964" /> + id="path27966" /> + id="path27968" /> + id="path27970" /> + id="path27972" /> + id="path27974" /> + id="path27976" /> + id="g27978"> + id="path27980" /> + id="g27982"> + id="path27984" /> + id="g27986"> + id="path27988" /> + id="g27990"> + id="path27992" /> + id="g27994"> + id="path27996" /> + id="g27998"> + id="path28000" /> + id="path28002" /> + id="path28004" /> + id="path28006" /> + id="path28008" /> + id="path28010" /> + id="path28012" /> + id="path28014" /> + id="path28016" /> + id="path28018" /> + id="g28020"> + id="path28022" /> + id="g28024"> + id="path28026" /> + id="path28028" /> + id="path28030" /> + id="path28032" /> + id="g28034"> + id="polygon28036" /> + id="g28038"> + id="polygon28040" /> + id="g28042"> + id="polygon28044" /> + id="g28046"> + id="polygon28048" /> + id="g28050"> + id="polygon28052" /> + id="g28054"> + id="polygon28056" /> + id="g28058"> + id="polygon28060" /> + id="g28062"> + id="polygon28064" /> + id="g28066"> + id="polygon28068" /> + id="g28070"> + id="polygon28072" /> + id="g28074"> + id="polygon28076" /> + id="g28078"> + id="polygon28080" /> + id="g28082"> + id="polygon28084" /> + id="g28086"> + id="polygon28088" /> + id="g28090"> + id="polygon28092" /> + id="g28094"> + id="polygon28096" /> + id="g28098"> + id="polygon28100" /> + id="g28102"> + d="M57427 27422 L57431 27422" + id="path28104" /> + d="M57429 27420 L57429 27424" + id="path28106" /> + id="g28108"> + d="M57581 27303 L57581 27465" + id="path28110" /> + d="M57581 27465 L57572 27484" + id="path28112" /> + d="M57572 27484 L57562 27493" + id="path28114" /> + d="M57562 27493 L57543 27503" + id="path28116" /> + d="M57543 27503 L57505 27503" + id="path28118" /> + d="M57505 27503 L57486 27493" + id="path28120" /> + d="M57486 27493 L57477 27484" + id="path28122" /> + d="M57477 27484 L57467 27465" + id="path28124" /> + d="M57467 27465 L57467 27303" + id="path28126" /> + id="g28128"> + d="M57467 27303 L57467 27303" + id="path28130" /> + id="g28132"> + d="M57390 27303 L57257 27303" + id="path28134" /> + d="M57257 27303 L57343 27503" + id="path28136" /> + id="g28138"> + d="M57343 27503 L57343 27503" + id="path28140" /> + id="g28142"> + id="ellipse28144" /> + id="path28146" /> + id="path28148" /> + id="path28150" /> + id="path28152" /> + id="g28154"> + id="polygon28156" /> + id="g28158"> + id="polygon28160" /> + id="g28162"> + id="polygon28164" /> + id="g28166"> + id="polygon28168" /> + id="g28170"> + id="polygon28172" /> + id="g28174"> + id="polygon28176" /> + id="g28178"> + id="polygon28180" /> + id="g28182"> + id="polygon28184" /> + id="g28186"> + id="polygon28188" /> + id="g28190"> + id="polygon28192" /> + id="g28194"> + id="polygon28196" /> + id="g28198"> + id="polygon28200" /> + id="g28202"> + id="polygon28204" /> + id="g28206"> + id="polygon28208" /> + id="g28210"> + id="polygon28212" /> + id="g28214"> + id="polygon28216" /> + id="g28218"> + id="polygon28220" /> + id="g28222"> + id="path28224" /> + id="path28226" /> + id="g28228"> + id="path28230" /> + id="path28232" /> + id="path28234" /> + id="path28236" /> + id="path28238" /> + id="path28240" /> + id="path28242" /> + id="path28244" /> + id="path28246" /> + id="g28248"> + id="path28250" /> + id="g28252"> + id="path28254" /> + id="path28256" /> + id="path28258" /> + id="path28260" /> + id="path28262" /> + id="path28264" /> + id="path28266" /> + id="path28268" /> + id="path28270" /> + id="path28272" /> + id="path28274" /> + id="path28276" /> + id="path28278" /> + id="path28280" /> + id="path28282" /> + id="path28284" /> + id="path28286" /> + id="path28288" /> + id="path28290" /> + id="path28292" /> + id="path28294" /> + id="g28296"> + id="path28298" /> + id="g28300"> + id="ellipse28302" /> + id="path28304" /> + id="path28306" /> + id="path28308" /> + id="path28310" /> + id="g28312"> + id="polygon28314" /> + id="g28316"> + id="polygon28318" /> + id="g28320"> + id="path28322" /> + id="path28324" /> + id="g28326"> + id="path28328" /> + id="g28330"> + id="path28332" /> + id="g28334"> + id="path28336" /> + id="path28338" /> + id="path28340" /> + id="path28342" /> + id="path28344" /> + id="path28346" /> + id="path28348" /> + id="path28350" /> + id="path28352" /> + id="path28354" /> + id="g28356"> + id="path28358" /> + id="g28360"> + id="path28362" /> + id="path28364" /> + id="path28366" /> + id="path28368" /> + id="path28370" /> + id="path28372" /> + id="path28374" /> + id="path28376" /> + id="path28378" /> + id="path28380" /> + id="path28382" /> + id="path28384" /> + id="path28386" /> + id="g28388"> + id="path28390" /> + id="g28392"> + id="path28394" /> + id="g28396"> + id="path28398" /> + id="g28400"> + id="path28402" /> + id="path28404" /> + id="path28406" /> + id="path28408" /> + id="g28410"> + id="path28412" /> + id="g28414"> + id="path28416" /> + id="path28418" /> + id="path28420" /> + id="path28422" /> + id="g28424"> + id="polygon28426" /> + id="g28428"> + id="polygon28430" /> + id="g28432"> + id="path28434" /> + id="path28436" /> + id="g28438"> + id="path28440" /> + id="path28442" /> + id="path28444" /> + id="path28446" /> + id="path28448" /> + id="path28450" /> + id="path28452" /> + id="path28454" /> + id="path28456" /> + id="path28458" /> + id="path28460" /> + id="path28462" /> + id="path28464" /> + id="path28466" /> + id="path28468" /> + id="g28470"> + id="path28472" /> + id="g28474"> + id="path28476" /> + id="path28478" /> + id="path28480" /> + id="path28482" /> + id="path28484" /> + id="path28486" /> + id="path28488" /> + id="path28490" /> + id="path28492" /> + id="path28494" /> + id="path28496" /> + id="path28498" /> + id="path28500" /> + id="path28502" /> + id="path28504" /> + id="path28506" /> + id="path28508" /> + id="path28510" /> + id="path28512" /> + id="path28514" /> + id="path28516" /> + id="path28518" /> + id="path28520" /> + id="path28522" /> + id="path28524" /> + id="path28526" /> + id="path28528" /> + id="path28530" /> + id="path28532" /> + id="path28534" /> + id="path28536" /> + id="g28538"> + id="path28540" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - + id="g28816"> + d="M47157 42048 L47157 42048" + id="path28818" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + id="g28962"> + d="M46937 42544 L46937 42090" + id="path28964" /> + d="M46937 42090 L47823 42090" + id="path28966" /> + d="M47823 42090 L47823 42544" + id="path28968" /> + d="M46937 42544 L47823 42544" + id="path28970" /> + id="g28972"> + points="46988,42494 46988,42140 47184,42140 47184,42494 " + id="polygon28974" /> + id="g28976"> + points="47576,42494 47576,42140 47772,42140 47772,42494 " + id="polygon28978" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="g28992"> + d="M47352 42048 L47352 42048" + id="path28994" /> + + + + + + + + + + + + + + + + + + + + + id="g29032"> + d="M46937 42544 L46937 42090" + id="path29034" /> + d="M46937 42090 L47823 42090" + id="path29036" /> + d="M47823 42090 L47823 42544" + id="path29038" /> + d="M46937 42544 L47823 42544" + id="path29040" /> + id="g29042"> + points="46667,41464 46667,41110 46863,41110 46863,41464 " + id="polygon29044" /> + id="g29046"> + points="47255,41464 47255,41110 47451,41110 47451,41464 " + id="polygon29048" /> + + + + + + + + + + + - - + - - - - - + + + - - - - - + + + - - - - - + + + + + + + + - - - + + + + + + + + - - - - - + + - - - + - - - - - - - - + + + + + - - - - + id="g29170"> + d="M47388 42734 L47388 42734" + id="path29172" /> + id="g29174"> + + + - - - - - + + + - - - - - + + + - - - - - + + + + + + + + + + + + + id="g29238"> + d="M47540 42801 L47540 42801" + id="path29240" /> - - - - - - - - - - - - - - + - - - - - + + + - - + id="g29286"> + d="M47654 42829 L47654 42829" + id="path29288" /> + id="g29290"> + + - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + id="path29310" /> + id="path29312" /> + id="g29314"> + id="path29316" /> + id="g29318"> + id="path29320" /> + id="g29322"> + id="path29324" /> + id="path29326" /> + id="g29328"> + id="path29330" /> + id="g29332"> + id="path29334" /> + id="path29336" /> + id="path29338" /> + id="path29340" /> + id="path29342" /> + id="path29344" /> + id="path29346" /> + id="path29348" /> + id="path29350" /> + id="path29352" /> + id="g29354"> + id="path29356" /> + id="g29358"> + id="path29360" /> + id="path29362" /> + id="path29364" /> + id="path29366" /> + id="g29368"> + id="polygon29370" /> + id="g29372"> + id="polygon29374" /> + id="g29376"> + id="polygon29378" /> + id="g29380"> + id="polygon29382" /> + id="g29384"> + id="polygon29386" /> + id="g29388"> + id="polygon29390" /> + id="g29392"> + id="polygon29394" /> + id="g29396"> + id="polygon29398" /> + id="g29400"> + id="polygon29402" /> + id="g29404"> + id="polygon29406" /> + id="g29408"> + id="polygon29410" /> + id="g29412"> + id="polygon29414" /> + id="g29416"> + id="polygon29418" /> + id="g29420"> + id="polygon29422" /> + id="g29424"> + id="polygon29426" /> + id="g29428"> + id="polygon29430" /> + id="g29432"> + id="polygon29434" /> + id="g29436"> + id="path29438" /> + id="path29440" /> + id="g29442"> + id="path29444" /> + id="path29446" /> + id="path29448" /> + id="path29450" /> + id="path29452" /> + id="path29454" /> + id="path29456" /> + id="path29458" /> + id="path29460" /> + id="g29462"> + id="path29464" /> + id="g29466"> + id="path29468" /> + id="g29470"> + id="path29472" /> + id="g29474"> + id="path29476" /> + id="path29478" /> + id="path29480" /> + id="path29482" /> + id="g29484"> + id="path29486" /> + id="g29488"> + id="path29490" /> + id="path29492" /> + id="path29494" /> + id="path29496" /> + id="path29498" /> + id="path29500" /> + id="path29502" /> + id="path29504" /> + id="path29506" /> + id="path29508" /> + id="path29510" /> + id="path29512" /> + id="path29514" /> + id="g29516"> + id="path29518" /> + id="g29520"> + id="ellipse29522" /> + id="path29524" /> + id="path29526" /> + id="path29528" /> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - + + + + - + id="g30018"> + - + id="g30022"> + - + id="g30026"> + + - - - - - - - + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + - - - - - - - - - - + id="g30146"> + + + + @@ -53895,8 +53691,8 @@ transform="translate(0 0) scale(1 1)" id="g30160"> @@ -53906,8 +53702,8 @@ transform="translate(0 0) scale(1 1)" id="g30164"> @@ -53917,8 +53713,8 @@ transform="translate(0 0) scale(1 1)" id="g30168"> @@ -53928,8 +53724,8 @@ transform="translate(0 0) scale(1 1)" id="g30172"> @@ -53939,8 +53735,8 @@ transform="translate(0 0) scale(1 1)" id="g30176"> @@ -53950,8 +53746,8 @@ transform="translate(0 0) scale(1 1)" id="g30180"> @@ -53961,8 +53757,8 @@ transform="translate(0 0) scale(1 1)" id="g30184"> @@ -53972,8 +53768,8 @@ transform="translate(0 0) scale(1 1)" id="g30188"> @@ -53983,8 +53779,8 @@ transform="translate(0 0) scale(1 1)" id="g30192"> @@ -53994,8 +53790,8 @@ transform="translate(0 0) scale(1 1)" id="g30196"> @@ -54005,8 +53801,8 @@ transform="translate(0 0) scale(1 1)" id="g30200"> @@ -54016,8 +53812,8 @@ transform="translate(0 0) scale(1 1)" id="g30204"> @@ -54027,8 +53823,8 @@ transform="translate(0 0) scale(1 1)" id="g30208"> @@ -54038,8 +53834,8 @@ transform="translate(0 0) scale(1 1)" id="g30212"> @@ -54049,8 +53845,8 @@ transform="translate(0 0) scale(1 1)" id="g30216"> @@ -54060,8 +53856,8 @@ transform="translate(0 0) scale(1 1)" id="g30220"> @@ -54071,8 +53867,8 @@ transform="translate(0 0) scale(1 1)" id="g30224"> @@ -54082,8 +53878,8 @@ transform="translate(0 0) scale(1 1)" id="g30228"> @@ -54093,8 +53889,8 @@ transform="translate(0 0) scale(1 1)" id="g30232"> @@ -54104,8 +53900,8 @@ transform="translate(0 0) scale(1 1)" id="g30236"> @@ -54115,8 +53911,8 @@ transform="translate(0 0) scale(1 1)" id="g30240"> @@ -54126,8 +53922,8 @@ transform="translate(0 0) scale(1 1)" id="g30244"> @@ -54137,8 +53933,8 @@ transform="translate(0 0) scale(1 1)" id="g30248"> @@ -54148,8 +53944,8 @@ transform="translate(0 0) scale(1 1)" id="g30252"> @@ -54159,8 +53955,8 @@ transform="translate(0 0) scale(1 1)" id="g30256"> @@ -54170,8 +53966,8 @@ transform="translate(0 0) scale(1 1)" id="g30260"> @@ -54181,8 +53977,8 @@ transform="translate(0 0) scale(1 1)" id="g30264"> @@ -54192,8 +53988,8 @@ transform="translate(0 0) scale(1 1)" id="g30268"> @@ -54203,8 +53999,8 @@ transform="translate(0 0) scale(1 1)" id="g30272"> @@ -54214,8 +54010,8 @@ transform="translate(0 0) scale(1 1)" id="g30276"> @@ -54225,8 +54021,8 @@ transform="translate(0 0) scale(1 1)" id="g30280"> @@ -54236,8 +54032,8 @@ transform="translate(0 0) scale(1 1)" id="g30284"> @@ -54247,8 +54043,8 @@ transform="translate(0 0) scale(1 1)" id="g30288"> @@ -54258,8 +54054,8 @@ transform="translate(0 0) scale(1 1)" id="g30292"> @@ -54269,8 +54065,8 @@ transform="translate(0 0) scale(1 1)" id="g30296"> @@ -54280,8 +54076,8 @@ transform="translate(0 0) scale(1 1)" id="g30300"> @@ -54291,8 +54087,8 @@ transform="translate(0 0) scale(1 1)" id="g30304"> @@ -54302,8 +54098,8 @@ transform="translate(0 0) scale(1 1)" id="g30308"> @@ -54313,8 +54109,8 @@ transform="translate(0 0) scale(1 1)" id="g30312"> @@ -54324,8 +54120,8 @@ transform="translate(0 0) scale(1 1)" id="g30316"> @@ -54335,8 +54131,8 @@ transform="translate(0 0) scale(1 1)" id="g30320"> @@ -54346,8 +54142,8 @@ transform="translate(0 0) scale(1 1)" id="g30324"> @@ -54357,8 +54153,8 @@ transform="translate(0 0) scale(1 1)" id="g30328"> @@ -54368,8 +54164,8 @@ transform="translate(0 0) scale(1 1)" id="g30332"> @@ -54379,8 +54175,8 @@ transform="translate(0 0) scale(1 1)" id="g30336"> @@ -54390,8 +54186,8 @@ transform="translate(0 0) scale(1 1)" id="g30340"> @@ -54401,8 +54197,8 @@ transform="translate(0 0) scale(1 1)" id="g30344"> @@ -54412,8 +54208,8 @@ transform="translate(0 0) scale(1 1)" id="g30348"> @@ -54423,8 +54219,8 @@ transform="translate(0 0) scale(1 1)" id="g30352"> @@ -54434,8 +54230,8 @@ transform="translate(0 0) scale(1 1)" id="g30356"> @@ -54445,8 +54241,8 @@ transform="translate(0 0) scale(1 1)" id="g30360"> @@ -54456,8 +54252,8 @@ transform="translate(0 0) scale(1 1)" id="g30364"> @@ -54467,8 +54263,8 @@ transform="translate(0 0) scale(1 1)" id="g30368"> @@ -54478,8 +54274,8 @@ transform="translate(0 0) scale(1 1)" id="g30372"> @@ -54489,8 +54285,8 @@ transform="translate(0 0) scale(1 1)" id="g30376"> @@ -54500,8 +54296,8 @@ transform="translate(0 0) scale(1 1)" id="g30380"> @@ -54511,8 +54307,8 @@ transform="translate(0 0) scale(1 1)" id="g30384"> @@ -54522,8 +54318,8 @@ transform="translate(0 0) scale(1 1)" id="g30388"> @@ -54533,8 +54329,8 @@ transform="translate(0 0) scale(1 1)" id="g30392"> @@ -54544,8 +54340,8 @@ transform="translate(0 0) scale(1 1)" id="g30396"> @@ -54555,8 +54351,8 @@ transform="translate(0 0) scale(1 1)" id="g30400"> @@ -54566,8 +54362,8 @@ transform="translate(0 0) scale(1 1)" id="g30404"> @@ -54577,8 +54373,8 @@ transform="translate(0 0) scale(1 1)" id="g30408"> @@ -54588,8 +54384,8 @@ transform="translate(0 0) scale(1 1)" id="g30412"> @@ -54599,8 +54395,8 @@ transform="translate(0 0) scale(1 1)" id="g30416"> @@ -54610,8 +54406,8 @@ transform="translate(0 0) scale(1 1)" id="g30420"> @@ -54621,8 +54417,8 @@ transform="translate(0 0) scale(1 1)" id="g30424"> @@ -54632,8 +54428,8 @@ transform="translate(0 0) scale(1 1)" id="g30428"> @@ -54643,8 +54439,8 @@ transform="translate(0 0) scale(1 1)" id="g30432"> @@ -54654,8 +54450,8 @@ transform="translate(0 0) scale(1 1)" id="g30436"> @@ -54665,8 +54461,8 @@ transform="translate(0 0) scale(1 1)" id="g30440"> @@ -54676,8 +54472,8 @@ transform="translate(0 0) scale(1 1)" id="g30444"> @@ -54687,8 +54483,8 @@ transform="translate(0 0) scale(1 1)" id="g30448"> @@ -54698,8 +54494,8 @@ transform="translate(0 0) scale(1 1)" id="g30452"> @@ -54709,8 +54505,8 @@ transform="translate(0 0) scale(1 1)" id="g30456"> @@ -54720,8 +54516,8 @@ transform="translate(0 0) scale(1 1)" id="g30460"> @@ -54731,8 +54527,8 @@ transform="translate(0 0) scale(1 1)" id="g30464"> @@ -54742,8 +54538,8 @@ transform="translate(0 0) scale(1 1)" id="g30468"> @@ -54753,8 +54549,8 @@ transform="translate(0 0) scale(1 1)" id="g30472"> @@ -54764,8 +54560,8 @@ transform="translate(0 0) scale(1 1)" id="g30476"> @@ -54775,8 +54571,8 @@ transform="translate(0 0) scale(1 1)" id="g30480"> @@ -54786,8 +54582,8 @@ transform="translate(0 0) scale(1 1)" id="g30484"> @@ -54797,8 +54593,8 @@ transform="translate(0 0) scale(1 1)" id="g30488"> @@ -54808,8 +54604,8 @@ transform="translate(0 0) scale(1 1)" id="g30492"> @@ -54819,8 +54615,8 @@ transform="translate(0 0) scale(1 1)" id="g30496"> @@ -54830,8 +54626,8 @@ transform="translate(0 0) scale(1 1)" id="g30500"> @@ -54841,8 +54637,8 @@ transform="translate(0 0) scale(1 1)" id="g30504"> @@ -54852,8 +54648,8 @@ transform="translate(0 0) scale(1 1)" id="g30508"> @@ -54863,8 +54659,8 @@ transform="translate(0 0) scale(1 1)" id="g30512"> @@ -54874,8 +54670,8 @@ transform="translate(0 0) scale(1 1)" id="g30516"> @@ -54885,8 +54681,8 @@ transform="translate(0 0) scale(1 1)" id="g30520"> @@ -54896,8 +54692,8 @@ transform="translate(0 0) scale(1 1)" id="g30524"> @@ -54907,8 +54703,8 @@ transform="translate(0 0) scale(1 1)" id="g30528"> @@ -54918,8 +54714,8 @@ transform="translate(0 0) scale(1 1)" id="g30532"> @@ -54929,8 +54725,8 @@ transform="translate(0 0) scale(1 1)" id="g30536"> @@ -54940,8 +54736,8 @@ transform="translate(0 0) scale(1 1)" id="g30540"> @@ -54951,8 +54747,8 @@ transform="translate(0 0) scale(1 1)" id="g30544"> @@ -54962,8 +54758,8 @@ transform="translate(0 0) scale(1 1)" id="g30548"> @@ -54973,8 +54769,8 @@ transform="translate(0 0) scale(1 1)" id="g30552"> @@ -54984,8 +54780,8 @@ transform="translate(0 0) scale(1 1)" id="g30556"> @@ -54995,8 +54791,8 @@ transform="translate(0 0) scale(1 1)" id="g30560"> @@ -55006,8 +54802,8 @@ transform="translate(0 0) scale(1 1)" id="g30564"> @@ -55017,8 +54813,8 @@ transform="translate(0 0) scale(1 1)" id="g30568"> @@ -55028,8 +54824,8 @@ transform="translate(0 0) scale(1 1)" id="g30572"> @@ -55039,8 +54835,8 @@ transform="translate(0 0) scale(1 1)" id="g30576"> @@ -55050,8 +54846,8 @@ transform="translate(0 0) scale(1 1)" id="g30580"> @@ -55061,8 +54857,8 @@ transform="translate(0 0) scale(1 1)" id="g30584"> @@ -55072,8 +54868,8 @@ transform="translate(0 0) scale(1 1)" id="g30588"> @@ -55083,8 +54879,8 @@ transform="translate(0 0) scale(1 1)" id="g30592"> @@ -55094,8 +54890,8 @@ transform="translate(0 0) scale(1 1)" id="g30596"> @@ -55105,8 +54901,8 @@ transform="translate(0 0) scale(1 1)" id="g30600"> @@ -55116,8 +54912,8 @@ transform="translate(0 0) scale(1 1)" id="g30604"> @@ -55127,8 +54923,8 @@ transform="translate(0 0) scale(1 1)" id="g30608"> @@ -55138,8 +54934,8 @@ transform="translate(0 0) scale(1 1)" id="g30612"> @@ -55149,8 +54945,8 @@ transform="translate(0 0) scale(1 1)" id="g30616"> @@ -55160,8 +54956,8 @@ transform="translate(0 0) scale(1 1)" id="g30620"> @@ -55171,8 +54967,8 @@ transform="translate(0 0) scale(1 1)" id="g30624"> @@ -55182,8 +54978,8 @@ transform="translate(0 0) scale(1 1)" id="g30628"> @@ -55193,8 +54989,8 @@ transform="translate(0 0) scale(1 1)" id="g30632"> @@ -55204,8 +55000,8 @@ transform="translate(0 0) scale(1 1)" id="g30636"> @@ -55215,8 +55011,8 @@ transform="translate(0 0) scale(1 1)" id="g30640"> @@ -55226,8 +55022,8 @@ transform="translate(0 0) scale(1 1)" id="g30644"> @@ -55237,8 +55033,8 @@ transform="translate(0 0) scale(1 1)" id="g30648"> @@ -55248,8 +55044,8 @@ transform="translate(0 0) scale(1 1)" id="g30652"> @@ -55259,8 +55055,8 @@ transform="translate(0 0) scale(1 1)" id="g30656"> @@ -55270,8 +55066,8 @@ transform="translate(0 0) scale(1 1)" id="g30660"> @@ -55281,8 +55077,8 @@ transform="translate(0 0) scale(1 1)" id="g30664"> @@ -55292,8 +55088,8 @@ transform="translate(0 0) scale(1 1)" id="g30668"> @@ -55303,8 +55099,8 @@ transform="translate(0 0) scale(1 1)" id="g30672"> @@ -55314,8 +55110,8 @@ transform="translate(0 0) scale(1 1)" id="g30676"> @@ -55325,8 +55121,8 @@ transform="translate(0 0) scale(1 1)" id="g30680"> @@ -55336,8 +55132,8 @@ transform="translate(0 0) scale(1 1)" id="g30684"> @@ -55347,8 +55143,8 @@ transform="translate(0 0) scale(1 1)" id="g30688"> @@ -55358,8 +55154,8 @@ transform="translate(0 0) scale(1 1)" id="g30692"> @@ -55369,8 +55165,8 @@ transform="translate(0 0) scale(1 1)" id="g30696"> @@ -55380,8 +55176,8 @@ transform="translate(0 0) scale(1 1)" id="g30700"> @@ -55391,8 +55187,8 @@ transform="translate(0 0) scale(1 1)" id="g30704"> @@ -55402,8 +55198,8 @@ transform="translate(0 0) scale(1 1)" id="g30708"> @@ -55413,8 +55209,8 @@ transform="translate(0 0) scale(1 1)" id="g30712"> @@ -55424,8 +55220,8 @@ transform="translate(0 0) scale(1 1)" id="g30716"> @@ -55435,8 +55231,8 @@ transform="translate(0 0) scale(1 1)" id="g30720"> @@ -55446,8 +55242,8 @@ transform="translate(0 0) scale(1 1)" id="g30724"> @@ -55457,8 +55253,8 @@ transform="translate(0 0) scale(1 1)" id="g30728"> @@ -55468,8 +55264,8 @@ transform="translate(0 0) scale(1 1)" id="g30732"> @@ -55479,8 +55275,8 @@ transform="translate(0 0) scale(1 1)" id="g30736"> @@ -55490,8 +55286,8 @@ transform="translate(0 0) scale(1 1)" id="g30740"> @@ -55501,8 +55297,8 @@ transform="translate(0 0) scale(1 1)" id="g30744"> @@ -55512,8 +55308,8 @@ transform="translate(0 0) scale(1 1)" id="g30748"> @@ -55523,8 +55319,8 @@ transform="translate(0 0) scale(1 1)" id="g30752"> @@ -55534,8 +55330,8 @@ transform="translate(0 0) scale(1 1)" id="g30756"> @@ -55545,8 +55341,8 @@ transform="translate(0 0) scale(1 1)" id="g30760"> @@ -55556,8 +55352,8 @@ transform="translate(0 0) scale(1 1)" id="g30764"> @@ -55567,8 +55363,8 @@ transform="translate(0 0) scale(1 1)" id="g30768"> @@ -55578,8 +55374,8 @@ transform="translate(0 0) scale(1 1)" id="g30772"> @@ -55589,8 +55385,8 @@ transform="translate(0 0) scale(1 1)" id="g30776"> @@ -55600,8 +55396,8 @@ transform="translate(0 0) scale(1 1)" id="g30780"> @@ -55611,8 +55407,8 @@ transform="translate(0 0) scale(1 1)" id="g30784"> @@ -55622,8 +55418,8 @@ transform="translate(0 0) scale(1 1)" id="g30788"> @@ -55633,8 +55429,8 @@ transform="translate(0 0) scale(1 1)" id="g30792"> @@ -55644,8 +55440,8 @@ transform="translate(0 0) scale(1 1)" id="g30796"> @@ -55655,8 +55451,8 @@ transform="translate(0 0) scale(1 1)" id="g30800"> @@ -55666,8 +55462,8 @@ transform="translate(0 0) scale(1 1)" id="g30804"> @@ -55677,8 +55473,8 @@ transform="translate(0 0) scale(1 1)" id="g30808"> @@ -55688,8 +55484,8 @@ transform="translate(0 0) scale(1 1)" id="g30812"> @@ -55699,8 +55495,8 @@ transform="translate(0 0) scale(1 1)" id="g30816"> @@ -55710,8 +55506,8 @@ transform="translate(0 0) scale(1 1)" id="g30820"> @@ -55721,8 +55517,8 @@ transform="translate(0 0) scale(1 1)" id="g30824"> @@ -55732,7 +55528,7 @@ transform="translate(0 0) scale(1 1)" id="g30828"> @@ -55754,8 +55550,8 @@ transform="translate(0 0) scale(1 1)" id="g30836"> @@ -55765,8 +55561,8 @@ transform="translate(0 0) scale(1 1)" id="g30840"> @@ -55776,8 +55572,8 @@ transform="translate(0 0) scale(1 1)" id="g30844"> @@ -55787,8 +55583,8 @@ transform="translate(0 0) scale(1 1)" id="g30848"> @@ -55798,8 +55594,8 @@ transform="translate(0 0) scale(1 1)" id="g30852"> @@ -55809,8 +55605,8 @@ transform="translate(0 0) scale(1 1)" id="g30856"> @@ -55820,8 +55616,8 @@ transform="translate(0 0) scale(1 1)" id="g30860"> @@ -55831,8 +55627,8 @@ transform="translate(0 0) scale(1 1)" id="g30864"> @@ -55842,8 +55638,8 @@ transform="translate(0 0) scale(1 1)" id="g30868"> @@ -55853,8 +55649,8 @@ transform="translate(0 0) scale(1 1)" id="g30872"> @@ -55864,8 +55660,8 @@ transform="translate(0 0) scale(1 1)" id="g30876"> @@ -55875,8 +55671,8 @@ transform="translate(0 0) scale(1 1)" id="g30880"> @@ -55886,8 +55682,8 @@ transform="translate(0 0) scale(1 1)" id="g30884"> @@ -55897,8 +55693,8 @@ transform="translate(0 0) scale(1 1)" id="g30888"> @@ -55908,8 +55704,8 @@ transform="translate(0 0) scale(1 1)" id="g30892"> @@ -55919,8 +55715,8 @@ transform="translate(0 0) scale(1 1)" id="g30896"> @@ -55930,8 +55726,8 @@ transform="translate(0 0) scale(1 1)" id="g30900"> @@ -55941,8 +55737,8 @@ transform="translate(0 0) scale(1 1)" id="g30904"> @@ -55952,8 +55748,8 @@ transform="translate(0 0) scale(1 1)" id="g30908"> @@ -55963,8 +55759,8 @@ transform="translate(0 0) scale(1 1)" id="g30912"> @@ -55974,8 +55770,8 @@ transform="translate(0 0) scale(1 1)" id="g30916"> @@ -55985,8 +55781,8 @@ transform="translate(0 0) scale(1 1)" id="g30920"> @@ -55996,8 +55792,8 @@ transform="translate(0 0) scale(1 1)" id="g30924"> @@ -56007,8 +55803,8 @@ transform="translate(0 0) scale(1 1)" id="g30928"> @@ -56018,8 +55814,8 @@ transform="translate(0 0) scale(1 1)" id="g30932"> @@ -56029,8 +55825,8 @@ transform="translate(0 0) scale(1 1)" id="g30936"> @@ -56040,8 +55836,8 @@ transform="translate(0 0) scale(1 1)" id="g30940"> @@ -56051,8 +55847,8 @@ transform="translate(0 0) scale(1 1)" id="g30944"> @@ -56062,8 +55858,8 @@ transform="translate(0 0) scale(1 1)" id="g30948"> @@ -56073,8 +55869,8 @@ transform="translate(0 0) scale(1 1)" id="g30952"> @@ -56084,8 +55880,8 @@ transform="translate(0 0) scale(1 1)" id="g30956"> @@ -56095,8 +55891,8 @@ transform="translate(0 0) scale(1 1)" id="g30960"> @@ -56106,8 +55902,8 @@ transform="translate(0 0) scale(1 1)" id="g30964"> @@ -56117,8 +55913,8 @@ transform="translate(0 0) scale(1 1)" id="g30968"> @@ -56128,8 +55924,8 @@ transform="translate(0 0) scale(1 1)" id="g30972"> @@ -56139,8 +55935,8 @@ transform="translate(0 0) scale(1 1)" id="g30976"> @@ -56150,8 +55946,8 @@ transform="translate(0 0) scale(1 1)" id="g30980"> @@ -56161,8 +55957,8 @@ transform="translate(0 0) scale(1 1)" id="g30984"> @@ -56172,8 +55968,8 @@ transform="translate(0 0) scale(1 1)" id="g30988"> @@ -56183,8 +55979,8 @@ transform="translate(0 0) scale(1 1)" id="g30992"> @@ -56194,8 +55990,8 @@ transform="translate(0 0) scale(1 1)" id="g30996"> @@ -56205,8 +56001,8 @@ transform="translate(0 0) scale(1 1)" id="g31000"> @@ -56216,10 +56012,736 @@ transform="translate(0 0) scale(1 1)" id="g31004"> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kicad/xue-rnc/xue-rnc-cache.lib b/kicad/xue-rnc/xue-rnc-cache.lib index 4be8c10..03970cb 100644 --- a/kicad/xue-rnc/xue-rnc-cache.lib +++ b/kicad/xue-rnc/xue-rnc-cache.lib @@ -1,4 +1,4 @@ -EESchema-LIBRARY Version 2.3 Date: Mon 23 Aug 2010 10:36:19 PM COT +EESchema-LIBRARY Version 2.3 Date: Tue 24 Aug 2010 06:53:07 AM COT # # +1.2V # diff --git a/kicad/xue-rnc/xue-rnc.brd b/kicad/xue-rnc/xue-rnc.brd index 1d767dc..8b00e4f 100644 --- a/kicad/xue-rnc/xue-rnc.brd +++ b/kicad/xue-rnc/xue-rnc.brd @@ -1,4 +1,4 @@ -PCBNEW-BOARD Version 1 date Mon 23 Aug 2010 10:56:46 PM COT +PCBNEW-BOARD Version 1 date Tue 24 Aug 2010 06:56:28 AM COT # Created by Pcbnew(2010-07-15 BZR 2414)-unstable @@ -7,10 +7,10 @@ LayerCount 6 Ly 1FFF801F EnabledLayers 13FF801F Links 709 -NoConn 479 +NoConn 466 Di 45200 12863 75973 50668 Ndraw 7 -Ntrack 2168 +Ntrack 2257 Nzone 0 BoardThickness 630 Nmodule 173 @@ -106,23 +106,23 @@ Na 9 "/DBG_PRG/FPGA_TMS" St ~ $EndEQUIPOT $EQUIPOT -Na 10 "/DDR_Banks/M0_A0" +Na 10 "/DDR_Banks/M0_A12" St ~ $EndEQUIPOT $EQUIPOT -Na 11 "/DDR_Banks/M0_A11" +Na 11 "/DDR_Banks/M0_A5" St ~ $EndEQUIPOT $EQUIPOT -Na 12 "/DDR_Banks/M0_A3" +Na 12 "/DDR_Banks/M0_A6" St ~ $EndEQUIPOT $EQUIPOT -Na 13 "/DDR_Banks/M0_A4" +Na 13 "/DDR_Banks/M0_A8" St ~ $EndEQUIPOT $EQUIPOT -Na 14 "/DDR_Banks/M0_A9" +Na 14 "/DDR_Banks/M0_BA0" St ~ $EndEQUIPOT $EQUIPOT @@ -130,415 +130,415 @@ Na 15 "/DDR_Banks/M0_CKE" St ~ $EndEQUIPOT $EQUIPOT -Na 16 "/DDR_Banks/M0_DQ10" +Na 16 "/DDR_Banks/M0_CLK" St ~ $EndEQUIPOT $EQUIPOT -Na 17 "/DDR_Banks/M0_DQ14" +Na 17 "/DDR_Banks/M0_DQ0" St ~ $EndEQUIPOT $EQUIPOT -Na 18 "/DDR_Banks/M0_DQ4" +Na 18 "/DDR_Banks/M0_DQ1" St ~ $EndEQUIPOT $EQUIPOT -Na 19 "/DDR_Banks/M0_DQ5" +Na 19 "/DDR_Banks/M0_DQ15" St ~ $EndEQUIPOT $EQUIPOT -Na 20 "/DDR_Banks/M0_DQ7" +Na 20 "/DDR_Banks/M0_DQ2" St ~ $EndEQUIPOT $EQUIPOT -Na 21 "/DDR_Banks/M0_LDM" +Na 21 "/DDR_Banks/M0_DQ5" St ~ $EndEQUIPOT $EQUIPOT -Na 22 "/DDR_Banks/M0_LDQS" +Na 22 "/DDR_Banks/M0_DQ8" St ~ $EndEQUIPOT $EQUIPOT -Na 23 "/DDR_Banks/M0_VREF" +Na 23 "/DDR_Banks/M0_RAS#" St ~ $EndEQUIPOT $EQUIPOT -Na 24 "/DDR_Banks/M1_A1" +Na 24 "/DDR_Banks/M0_UDM" St ~ $EndEQUIPOT $EQUIPOT -Na 25 "/DDR_Banks/M1_A11" +Na 25 "/DDR_Banks/M0_UDQS" St ~ $EndEQUIPOT $EQUIPOT -Na 26 "/DDR_Banks/M1_A6" +Na 26 "/DDR_Banks/M0_VREF" St ~ $EndEQUIPOT $EQUIPOT -Na 27 "/DDR_Banks/M1_BA0" +Na 27 "/DDR_Banks/M0_WE#" St ~ $EndEQUIPOT $EQUIPOT -Na 28 "/DDR_Banks/M1_BA1" +Na 28 "/DDR_Banks/M1_A0" St ~ $EndEQUIPOT $EQUIPOT -Na 29 "/DDR_Banks/M1_CLK#" +Na 29 "/DDR_Banks/M1_A12" St ~ $EndEQUIPOT $EQUIPOT -Na 30 "/DDR_Banks/M1_CS#" +Na 30 "/DDR_Banks/M1_A5" St ~ $EndEQUIPOT $EQUIPOT -Na 31 "/DDR_Banks/M1_DQ0" +Na 31 "/DDR_Banks/M1_A8" St ~ $EndEQUIPOT $EQUIPOT -Na 32 "/DDR_Banks/M1_DQ4" +Na 32 "/DDR_Banks/M1_A9" St ~ $EndEQUIPOT $EQUIPOT -Na 33 "/DDR_Banks/M1_DQ9" +Na 33 "/DDR_Banks/M1_BA0" St ~ $EndEQUIPOT $EQUIPOT -Na 34 "/DDR_Banks/M1_VREF" +Na 34 "/DDR_Banks/M1_CKE" St ~ $EndEQUIPOT $EQUIPOT -Na 35 "/DDR_Banks/M1_WE#" +Na 35 "/DDR_Banks/M1_CLK" St ~ $EndEQUIPOT $EQUIPOT -Na 36 "/Ethernet_Phy/ETH_A1.8V" +Na 36 "/DDR_Banks/M1_DQ10" St ~ $EndEQUIPOT $EQUIPOT -Na 37 "/Ethernet_Phy/ETH_A3.3V" +Na 37 "/DDR_Banks/M1_DQ12" St ~ $EndEQUIPOT $EQUIPOT -Na 38 "/Ethernet_Phy/ETH_COL" +Na 38 "/DDR_Banks/M1_DQ3" St ~ $EndEQUIPOT $EQUIPOT -Na 39 "/Ethernet_Phy/ETH_LED0" +Na 39 "/DDR_Banks/M1_DQ5" St ~ $EndEQUIPOT $EQUIPOT -Na 40 "/Ethernet_Phy/ETH_LED1" +Na 40 "/DDR_Banks/M1_DQ6" St ~ $EndEQUIPOT $EQUIPOT -Na 41 "/Ethernet_Phy/ETH_MDIO" +Na 41 "/DDR_Banks/M1_LDM" St ~ $EndEQUIPOT $EQUIPOT -Na 42 "/Ethernet_Phy/ETH_PLL1.8V" +Na 42 "/DDR_Banks/M1_UDQS" St ~ $EndEQUIPOT $EQUIPOT -Na 43 "/Ethernet_Phy/ETH_RXD0" +Na 43 "/DDR_Banks/M1_VREF" St ~ $EndEQUIPOT $EQUIPOT -Na 44 "/Ethernet_Phy/ETH_RXD2" +Na 44 "/Ethernet_Phy/ETH_A1.8V" St ~ $EndEQUIPOT $EQUIPOT -Na 45 "/Ethernet_Phy/ETH_RXER" +Na 45 "/Ethernet_Phy/ETH_A3.3V" St ~ $EndEQUIPOT $EQUIPOT -Na 46 "/Ethernet_Phy/ETH_TXD1" +Na 46 "/Ethernet_Phy/ETH_CLK" St ~ $EndEQUIPOT $EQUIPOT -Na 47 "/Ethernet_Phy/ETH_TXD3" +Na 47 "/Ethernet_Phy/ETH_COL" St ~ $EndEQUIPOT $EQUIPOT -Na 48 "/Ethernet_Phy/MAG_RX+" +Na 48 "/Ethernet_Phy/ETH_INT" St ~ $EndEQUIPOT $EQUIPOT -Na 49 "/Ethernet_Phy/MAG_RX-" +Na 49 "/Ethernet_Phy/ETH_LED0" St ~ $EndEQUIPOT $EQUIPOT -Na 50 "/Ethernet_Phy/MAG_SHIELD" +Na 50 "/Ethernet_Phy/ETH_LED1" St ~ $EndEQUIPOT $EQUIPOT -Na 51 "/Ethernet_Phy/MAG_TX+" +Na 51 "/Ethernet_Phy/ETH_MDIO" St ~ $EndEQUIPOT $EQUIPOT -Na 52 "/Ethernet_Phy/MAG_TX-" +Na 52 "/Ethernet_Phy/ETH_PLL1.8V" St ~ $EndEQUIPOT $EQUIPOT -Na 53 "/FPGA_Spartan6/ETH_CLK" +Na 53 "/Ethernet_Phy/ETH_RXD1" St ~ $EndEQUIPOT $EQUIPOT -Na 54 "/FPGA_Spartan6/ETH_CRS" +Na 54 "/Ethernet_Phy/ETH_RXD3" St ~ $EndEQUIPOT $EQUIPOT -Na 55 "/FPGA_Spartan6/ETH_INT" +Na 55 "/Ethernet_Phy/ETH_TXD0" St ~ $EndEQUIPOT $EQUIPOT -Na 56 "/FPGA_Spartan6/ETH_MDC" +Na 56 "/Ethernet_Phy/ETH_TXD1" St ~ $EndEQUIPOT $EQUIPOT -Na 57 "/FPGA_Spartan6/ETH_RESET_N" +Na 57 "/Ethernet_Phy/ETH_TXEN" St ~ $EndEQUIPOT $EQUIPOT -Na 58 "/FPGA_Spartan6/ETH_RXC" +Na 58 "/Ethernet_Phy/MAG_RX+" St ~ $EndEQUIPOT $EQUIPOT -Na 59 "/FPGA_Spartan6/ETH_RXD1" +Na 59 "/Ethernet_Phy/MAG_RX-" St ~ $EndEQUIPOT $EQUIPOT -Na 60 "/FPGA_Spartan6/ETH_RXD3" +Na 60 "/Ethernet_Phy/MAG_SHIELD" St ~ $EndEQUIPOT $EQUIPOT -Na 61 "/FPGA_Spartan6/ETH_RXDV" +Na 61 "/Ethernet_Phy/MAG_TX+" St ~ $EndEQUIPOT $EQUIPOT -Na 62 "/FPGA_Spartan6/ETH_TXC" +Na 62 "/Ethernet_Phy/MAG_TX-" St ~ $EndEQUIPOT $EQUIPOT -Na 63 "/FPGA_Spartan6/ETH_TXD0" +Na 63 "/FPGA_Spartan6/ETH_CRS" St ~ $EndEQUIPOT $EQUIPOT -Na 64 "/FPGA_Spartan6/ETH_TXD2" +Na 64 "/FPGA_Spartan6/ETH_MDC" St ~ $EndEQUIPOT $EQUIPOT -Na 65 "/FPGA_Spartan6/ETH_TXEN" +Na 65 "/FPGA_Spartan6/ETH_RESET_N" St ~ $EndEQUIPOT $EQUIPOT -Na 66 "/FPGA_Spartan6/ETH_TXER" +Na 66 "/FPGA_Spartan6/ETH_RXC" St ~ $EndEQUIPOT $EQUIPOT -Na 67 "/FPGA_Spartan6/M0_A1" +Na 67 "/FPGA_Spartan6/ETH_RXD0" St ~ $EndEQUIPOT $EQUIPOT -Na 68 "/FPGA_Spartan6/M0_A10" +Na 68 "/FPGA_Spartan6/ETH_RXD2" St ~ $EndEQUIPOT $EQUIPOT -Na 69 "/FPGA_Spartan6/M0_A12" +Na 69 "/FPGA_Spartan6/ETH_RXDV" St ~ $EndEQUIPOT $EQUIPOT -Na 70 "/FPGA_Spartan6/M0_A2" +Na 70 "/FPGA_Spartan6/ETH_RXER" St ~ $EndEQUIPOT $EQUIPOT -Na 71 "/FPGA_Spartan6/M0_A5" +Na 71 "/FPGA_Spartan6/ETH_TXC" St ~ $EndEQUIPOT $EQUIPOT -Na 72 "/FPGA_Spartan6/M0_A6" +Na 72 "/FPGA_Spartan6/ETH_TXD2" St ~ $EndEQUIPOT $EQUIPOT -Na 73 "/FPGA_Spartan6/M0_A7" +Na 73 "/FPGA_Spartan6/ETH_TXD3" St ~ $EndEQUIPOT $EQUIPOT -Na 74 "/FPGA_Spartan6/M0_A8" +Na 74 "/FPGA_Spartan6/ETH_TXER" St ~ $EndEQUIPOT $EQUIPOT -Na 75 "/FPGA_Spartan6/M0_BA0" +Na 75 "/FPGA_Spartan6/M0_A0" St ~ $EndEQUIPOT $EQUIPOT -Na 76 "/FPGA_Spartan6/M0_BA1" +Na 76 "/FPGA_Spartan6/M0_A1" St ~ $EndEQUIPOT $EQUIPOT -Na 77 "/FPGA_Spartan6/M0_CAS#" +Na 77 "/FPGA_Spartan6/M0_A10" St ~ $EndEQUIPOT $EQUIPOT -Na 78 "/FPGA_Spartan6/M0_CLK" +Na 78 "/FPGA_Spartan6/M0_A11" St ~ $EndEQUIPOT $EQUIPOT -Na 79 "/FPGA_Spartan6/M0_CLK#" +Na 79 "/FPGA_Spartan6/M0_A2" St ~ $EndEQUIPOT $EQUIPOT -Na 80 "/FPGA_Spartan6/M0_DQ0" +Na 80 "/FPGA_Spartan6/M0_A3" St ~ $EndEQUIPOT $EQUIPOT -Na 81 "/FPGA_Spartan6/M0_DQ1" +Na 81 "/FPGA_Spartan6/M0_A4" St ~ $EndEQUIPOT $EQUIPOT -Na 82 "/FPGA_Spartan6/M0_DQ11" +Na 82 "/FPGA_Spartan6/M0_A7" St ~ $EndEQUIPOT $EQUIPOT -Na 83 "/FPGA_Spartan6/M0_DQ12" +Na 83 "/FPGA_Spartan6/M0_A9" St ~ $EndEQUIPOT $EQUIPOT -Na 84 "/FPGA_Spartan6/M0_DQ13" +Na 84 "/FPGA_Spartan6/M0_BA1" St ~ $EndEQUIPOT $EQUIPOT -Na 85 "/FPGA_Spartan6/M0_DQ15" +Na 85 "/FPGA_Spartan6/M0_CAS#" St ~ $EndEQUIPOT $EQUIPOT -Na 86 "/FPGA_Spartan6/M0_DQ2" +Na 86 "/FPGA_Spartan6/M0_CLK#" St ~ $EndEQUIPOT $EQUIPOT -Na 87 "/FPGA_Spartan6/M0_DQ3" +Na 87 "/FPGA_Spartan6/M0_DQ10" St ~ $EndEQUIPOT $EQUIPOT -Na 88 "/FPGA_Spartan6/M0_DQ6" +Na 88 "/FPGA_Spartan6/M0_DQ11" St ~ $EndEQUIPOT $EQUIPOT -Na 89 "/FPGA_Spartan6/M0_DQ8" +Na 89 "/FPGA_Spartan6/M0_DQ12" St ~ $EndEQUIPOT $EQUIPOT -Na 90 "/FPGA_Spartan6/M0_DQ9" +Na 90 "/FPGA_Spartan6/M0_DQ13" St ~ $EndEQUIPOT $EQUIPOT -Na 91 "/FPGA_Spartan6/M0_RAS#" +Na 91 "/FPGA_Spartan6/M0_DQ14" St ~ $EndEQUIPOT $EQUIPOT -Na 92 "/FPGA_Spartan6/M0_UDM" +Na 92 "/FPGA_Spartan6/M0_DQ3" St ~ $EndEQUIPOT $EQUIPOT -Na 93 "/FPGA_Spartan6/M0_UDQS" +Na 93 "/FPGA_Spartan6/M0_DQ4" St ~ $EndEQUIPOT $EQUIPOT -Na 94 "/FPGA_Spartan6/M0_WE#" +Na 94 "/FPGA_Spartan6/M0_DQ6" St ~ $EndEQUIPOT $EQUIPOT -Na 95 "/FPGA_Spartan6/M1_A0" +Na 95 "/FPGA_Spartan6/M0_DQ7" St ~ $EndEQUIPOT $EQUIPOT -Na 96 "/FPGA_Spartan6/M1_A10" +Na 96 "/FPGA_Spartan6/M0_DQ9" St ~ $EndEQUIPOT $EQUIPOT -Na 97 "/FPGA_Spartan6/M1_A12" +Na 97 "/FPGA_Spartan6/M0_LDM" St ~ $EndEQUIPOT $EQUIPOT -Na 98 "/FPGA_Spartan6/M1_A2" +Na 98 "/FPGA_Spartan6/M0_LDQS" St ~ $EndEQUIPOT $EQUIPOT -Na 99 "/FPGA_Spartan6/M1_A3" +Na 99 "/FPGA_Spartan6/M1_A1" St ~ $EndEQUIPOT $EQUIPOT -Na 100 "/FPGA_Spartan6/M1_A4" +Na 100 "/FPGA_Spartan6/M1_A10" St ~ $EndEQUIPOT $EQUIPOT -Na 101 "/FPGA_Spartan6/M1_A5" +Na 101 "/FPGA_Spartan6/M1_A11" St ~ $EndEQUIPOT $EQUIPOT -Na 102 "/FPGA_Spartan6/M1_A7" +Na 102 "/FPGA_Spartan6/M1_A2" St ~ $EndEQUIPOT $EQUIPOT -Na 103 "/FPGA_Spartan6/M1_A8" +Na 103 "/FPGA_Spartan6/M1_A3" St ~ $EndEQUIPOT $EQUIPOT -Na 104 "/FPGA_Spartan6/M1_A9" +Na 104 "/FPGA_Spartan6/M1_A4" St ~ $EndEQUIPOT $EQUIPOT -Na 105 "/FPGA_Spartan6/M1_CAS#" +Na 105 "/FPGA_Spartan6/M1_A6" St ~ $EndEQUIPOT $EQUIPOT -Na 106 "/FPGA_Spartan6/M1_CKE" +Na 106 "/FPGA_Spartan6/M1_A7" St ~ $EndEQUIPOT $EQUIPOT -Na 107 "/FPGA_Spartan6/M1_CLK" +Na 107 "/FPGA_Spartan6/M1_BA1" St ~ $EndEQUIPOT $EQUIPOT -Na 108 "/FPGA_Spartan6/M1_DQ1" +Na 108 "/FPGA_Spartan6/M1_CAS#" St ~ $EndEQUIPOT $EQUIPOT -Na 109 "/FPGA_Spartan6/M1_DQ10" +Na 109 "/FPGA_Spartan6/M1_CLK#" St ~ $EndEQUIPOT $EQUIPOT -Na 110 "/FPGA_Spartan6/M1_DQ11" +Na 110 "/FPGA_Spartan6/M1_CS#" St ~ $EndEQUIPOT $EQUIPOT -Na 111 "/FPGA_Spartan6/M1_DQ12" +Na 111 "/FPGA_Spartan6/M1_DQ0" St ~ $EndEQUIPOT $EQUIPOT -Na 112 "/FPGA_Spartan6/M1_DQ13" +Na 112 "/FPGA_Spartan6/M1_DQ1" St ~ $EndEQUIPOT $EQUIPOT -Na 113 "/FPGA_Spartan6/M1_DQ14" +Na 113 "/FPGA_Spartan6/M1_DQ11" St ~ $EndEQUIPOT $EQUIPOT -Na 114 "/FPGA_Spartan6/M1_DQ15" +Na 114 "/FPGA_Spartan6/M1_DQ13" St ~ $EndEQUIPOT $EQUIPOT -Na 115 "/FPGA_Spartan6/M1_DQ2" +Na 115 "/FPGA_Spartan6/M1_DQ14" St ~ $EndEQUIPOT $EQUIPOT -Na 116 "/FPGA_Spartan6/M1_DQ3" +Na 116 "/FPGA_Spartan6/M1_DQ15" St ~ $EndEQUIPOT $EQUIPOT -Na 117 "/FPGA_Spartan6/M1_DQ5" +Na 117 "/FPGA_Spartan6/M1_DQ2" St ~ $EndEQUIPOT $EQUIPOT -Na 118 "/FPGA_Spartan6/M1_DQ6" +Na 118 "/FPGA_Spartan6/M1_DQ4" St ~ $EndEQUIPOT $EQUIPOT @@ -550,7 +550,7 @@ Na 120 "/FPGA_Spartan6/M1_DQ8" St ~ $EndEQUIPOT $EQUIPOT -Na 121 "/FPGA_Spartan6/M1_LDM" +Na 121 "/FPGA_Spartan6/M1_DQ9" St ~ $EndEQUIPOT $EQUIPOT @@ -566,7 +566,7 @@ Na 124 "/FPGA_Spartan6/M1_UDM" St ~ $EndEQUIPOT $EQUIPOT -Na 125 "/FPGA_Spartan6/M1_UDQS" +Na 125 "/FPGA_Spartan6/M1_WE#" St ~ $EndEQUIPOT $EQUIPOT @@ -582,11 +582,11 @@ Na 128 "/FPGA_Spartan6/NF_D1" St ~ $EndEQUIPOT $EQUIPOT -Na 129 "/FPGA_Spartan6/NF_D4" +Na 129 "/FPGA_Spartan6/NF_D3" St ~ $EndEQUIPOT $EQUIPOT -Na 130 "/FPGA_Spartan6/NF_D5" +Na 130 "/FPGA_Spartan6/NF_D4" St ~ $EndEQUIPOT $EQUIPOT @@ -598,399 +598,399 @@ Na 132 "/FPGA_Spartan6/NF_D7" St ~ $EndEQUIPOT $EQUIPOT -Na 133 "/FPGA_Spartan6/NF_RE_N" +Na 133 "/FPGA_Spartan6/PROG_CCLK" St ~ $EndEQUIPOT $EQUIPOT -Na 134 "/FPGA_Spartan6/PROG_CCLK" +Na 134 "/FPGA_Spartan6/PROG_CSO" St ~ $EndEQUIPOT $EQUIPOT -Na 135 "/FPGA_Spartan6/PROG_CSO" +Na 135 "/FPGA_Spartan6/PROG_MISO0" St ~ $EndEQUIPOT $EQUIPOT -Na 136 "/FPGA_Spartan6/PROG_MISO0" +Na 136 "/FPGA_Spartan6/PROG_MISO1" St ~ $EndEQUIPOT $EQUIPOT -Na 137 "/FPGA_Spartan6/PROG_MISO1" +Na 137 "/FPGA_Spartan6/PROG_MISO2" St ~ $EndEQUIPOT $EQUIPOT -Na 138 "/FPGA_Spartan6/PROG_MISO2" +Na 138 "/FPGA_Spartan6/PROG_MISO3" St ~ $EndEQUIPOT $EQUIPOT -Na 139 "/FPGA_Spartan6/PROG_MISO3" +Na 139 "/FPGA_Spartan6/R_M0_A0" St ~ $EndEQUIPOT $EQUIPOT -Na 140 "/FPGA_Spartan6/R_M0_A0" +Na 140 "/FPGA_Spartan6/R_M0_A1" St ~ $EndEQUIPOT $EQUIPOT -Na 141 "/FPGA_Spartan6/R_M0_A1" +Na 141 "/FPGA_Spartan6/R_M0_A10" St ~ $EndEQUIPOT $EQUIPOT -Na 142 "/FPGA_Spartan6/R_M0_A10" +Na 142 "/FPGA_Spartan6/R_M0_A11" St ~ $EndEQUIPOT $EQUIPOT -Na 143 "/FPGA_Spartan6/R_M0_A11" +Na 143 "/FPGA_Spartan6/R_M0_A12" St ~ $EndEQUIPOT $EQUIPOT -Na 144 "/FPGA_Spartan6/R_M0_A12" +Na 144 "/FPGA_Spartan6/R_M0_A2" St ~ $EndEQUIPOT $EQUIPOT -Na 145 "/FPGA_Spartan6/R_M0_A2" +Na 145 "/FPGA_Spartan6/R_M0_A3" St ~ $EndEQUIPOT $EQUIPOT -Na 146 "/FPGA_Spartan6/R_M0_A3" +Na 146 "/FPGA_Spartan6/R_M0_A4" St ~ $EndEQUIPOT $EQUIPOT -Na 147 "/FPGA_Spartan6/R_M0_A4" +Na 147 "/FPGA_Spartan6/R_M0_A5" St ~ $EndEQUIPOT $EQUIPOT -Na 148 "/FPGA_Spartan6/R_M0_A5" +Na 148 "/FPGA_Spartan6/R_M0_A6" St ~ $EndEQUIPOT $EQUIPOT -Na 149 "/FPGA_Spartan6/R_M0_A6" +Na 149 "/FPGA_Spartan6/R_M0_A7" St ~ $EndEQUIPOT $EQUIPOT -Na 150 "/FPGA_Spartan6/R_M0_A7" +Na 150 "/FPGA_Spartan6/R_M0_A8" St ~ $EndEQUIPOT $EQUIPOT -Na 151 "/FPGA_Spartan6/R_M0_A8" +Na 151 "/FPGA_Spartan6/R_M0_A9" St ~ $EndEQUIPOT $EQUIPOT -Na 152 "/FPGA_Spartan6/R_M0_A9" +Na 152 "/FPGA_Spartan6/R_M0_BA0" St ~ $EndEQUIPOT $EQUIPOT -Na 153 "/FPGA_Spartan6/R_M0_BA0" +Na 153 "/FPGA_Spartan6/R_M0_BA1" St ~ $EndEQUIPOT $EQUIPOT -Na 154 "/FPGA_Spartan6/R_M0_BA1" +Na 154 "/FPGA_Spartan6/R_M0_CAS#" St ~ $EndEQUIPOT $EQUIPOT -Na 155 "/FPGA_Spartan6/R_M0_CAS#" +Na 155 "/FPGA_Spartan6/R_M0_CKE" St ~ $EndEQUIPOT $EQUIPOT -Na 156 "/FPGA_Spartan6/R_M0_CKE" +Na 156 "/FPGA_Spartan6/R_M0_DQ0" St ~ $EndEQUIPOT $EQUIPOT -Na 157 "/FPGA_Spartan6/R_M0_DQ0" +Na 157 "/FPGA_Spartan6/R_M0_DQ1" St ~ $EndEQUIPOT $EQUIPOT -Na 158 "/FPGA_Spartan6/R_M0_DQ1" +Na 158 "/FPGA_Spartan6/R_M0_DQ10" St ~ $EndEQUIPOT $EQUIPOT -Na 159 "/FPGA_Spartan6/R_M0_DQ10" +Na 159 "/FPGA_Spartan6/R_M0_DQ11" St ~ $EndEQUIPOT $EQUIPOT -Na 160 "/FPGA_Spartan6/R_M0_DQ11" +Na 160 "/FPGA_Spartan6/R_M0_DQ12" St ~ $EndEQUIPOT $EQUIPOT -Na 161 "/FPGA_Spartan6/R_M0_DQ12" +Na 161 "/FPGA_Spartan6/R_M0_DQ13" St ~ $EndEQUIPOT $EQUIPOT -Na 162 "/FPGA_Spartan6/R_M0_DQ13" +Na 162 "/FPGA_Spartan6/R_M0_DQ14" St ~ $EndEQUIPOT $EQUIPOT -Na 163 "/FPGA_Spartan6/R_M0_DQ14" +Na 163 "/FPGA_Spartan6/R_M0_DQ15" St ~ $EndEQUIPOT $EQUIPOT -Na 164 "/FPGA_Spartan6/R_M0_DQ15" +Na 164 "/FPGA_Spartan6/R_M0_DQ2" St ~ $EndEQUIPOT $EQUIPOT -Na 165 "/FPGA_Spartan6/R_M0_DQ2" +Na 165 "/FPGA_Spartan6/R_M0_DQ3" St ~ $EndEQUIPOT $EQUIPOT -Na 166 "/FPGA_Spartan6/R_M0_DQ3" +Na 166 "/FPGA_Spartan6/R_M0_DQ4" St ~ $EndEQUIPOT $EQUIPOT -Na 167 "/FPGA_Spartan6/R_M0_DQ4" +Na 167 "/FPGA_Spartan6/R_M0_DQ5" St ~ $EndEQUIPOT $EQUIPOT -Na 168 "/FPGA_Spartan6/R_M0_DQ5" +Na 168 "/FPGA_Spartan6/R_M0_DQ6" St ~ $EndEQUIPOT $EQUIPOT -Na 169 "/FPGA_Spartan6/R_M0_DQ6" +Na 169 "/FPGA_Spartan6/R_M0_DQ7" St ~ $EndEQUIPOT $EQUIPOT -Na 170 "/FPGA_Spartan6/R_M0_DQ7" +Na 170 "/FPGA_Spartan6/R_M0_DQ8" St ~ $EndEQUIPOT $EQUIPOT -Na 171 "/FPGA_Spartan6/R_M0_DQ8" +Na 171 "/FPGA_Spartan6/R_M0_DQ9" St ~ $EndEQUIPOT $EQUIPOT -Na 172 "/FPGA_Spartan6/R_M0_DQ9" +Na 172 "/FPGA_Spartan6/R_M0_LDM" St ~ $EndEQUIPOT $EQUIPOT -Na 173 "/FPGA_Spartan6/R_M0_LDM" +Na 173 "/FPGA_Spartan6/R_M0_LDQS" St ~ $EndEQUIPOT $EQUIPOT -Na 174 "/FPGA_Spartan6/R_M0_LDQS" +Na 174 "/FPGA_Spartan6/R_M0_RAS#" St ~ $EndEQUIPOT $EQUIPOT -Na 175 "/FPGA_Spartan6/R_M0_RAS#" +Na 175 "/FPGA_Spartan6/R_M0_UDM" St ~ $EndEQUIPOT $EQUIPOT -Na 176 "/FPGA_Spartan6/R_M0_UDM" +Na 176 "/FPGA_Spartan6/R_M0_UDQS" St ~ $EndEQUIPOT $EQUIPOT -Na 177 "/FPGA_Spartan6/R_M0_UDQS" +Na 177 "/FPGA_Spartan6/R_M0_WE#" St ~ $EndEQUIPOT $EQUIPOT -Na 178 "/FPGA_Spartan6/R_M0_WE#" +Na 178 "/FPGA_Spartan6/R_M1_A0" St ~ $EndEQUIPOT $EQUIPOT -Na 179 "/FPGA_Spartan6/R_M1_A0" +Na 179 "/FPGA_Spartan6/R_M1_A1" St ~ $EndEQUIPOT $EQUIPOT -Na 180 "/FPGA_Spartan6/R_M1_A1" +Na 180 "/FPGA_Spartan6/R_M1_A10" St ~ $EndEQUIPOT $EQUIPOT -Na 181 "/FPGA_Spartan6/R_M1_A10" +Na 181 "/FPGA_Spartan6/R_M1_A11" St ~ $EndEQUIPOT $EQUIPOT -Na 182 "/FPGA_Spartan6/R_M1_A11" +Na 182 "/FPGA_Spartan6/R_M1_A12" St ~ $EndEQUIPOT $EQUIPOT -Na 183 "/FPGA_Spartan6/R_M1_A12" +Na 183 "/FPGA_Spartan6/R_M1_A2" St ~ $EndEQUIPOT $EQUIPOT -Na 184 "/FPGA_Spartan6/R_M1_A2" +Na 184 "/FPGA_Spartan6/R_M1_A3" St ~ $EndEQUIPOT $EQUIPOT -Na 185 "/FPGA_Spartan6/R_M1_A3" +Na 185 "/FPGA_Spartan6/R_M1_A5" St ~ $EndEQUIPOT $EQUIPOT -Na 186 "/FPGA_Spartan6/R_M1_A5" +Na 186 "/FPGA_Spartan6/R_M1_A6" St ~ $EndEQUIPOT $EQUIPOT -Na 187 "/FPGA_Spartan6/R_M1_A6" +Na 187 "/FPGA_Spartan6/R_M1_A7" St ~ $EndEQUIPOT $EQUIPOT -Na 188 "/FPGA_Spartan6/R_M1_A7" +Na 188 "/FPGA_Spartan6/R_M1_A8" St ~ $EndEQUIPOT $EQUIPOT -Na 189 "/FPGA_Spartan6/R_M1_A8" +Na 189 "/FPGA_Spartan6/R_M1_A9" St ~ $EndEQUIPOT $EQUIPOT -Na 190 "/FPGA_Spartan6/R_M1_A9" +Na 190 "/FPGA_Spartan6/R_M1_BA0" St ~ $EndEQUIPOT $EQUIPOT -Na 191 "/FPGA_Spartan6/R_M1_BA0" +Na 191 "/FPGA_Spartan6/R_M1_BA1" St ~ $EndEQUIPOT $EQUIPOT -Na 192 "/FPGA_Spartan6/R_M1_BA1" +Na 192 "/FPGA_Spartan6/R_M1_CAS#" St ~ $EndEQUIPOT $EQUIPOT -Na 193 "/FPGA_Spartan6/R_M1_CAS#" +Na 193 "/FPGA_Spartan6/R_M1_CKE" St ~ $EndEQUIPOT $EQUIPOT -Na 194 "/FPGA_Spartan6/R_M1_CKE" +Na 194 "/FPGA_Spartan6/R_M1_CS#" St ~ $EndEQUIPOT $EQUIPOT -Na 195 "/FPGA_Spartan6/R_M1_CS#" +Na 195 "/FPGA_Spartan6/R_M1_DQ0" St ~ $EndEQUIPOT $EQUIPOT -Na 196 "/FPGA_Spartan6/R_M1_DQ0" +Na 196 "/FPGA_Spartan6/R_M1_DQ1" St ~ $EndEQUIPOT $EQUIPOT -Na 197 "/FPGA_Spartan6/R_M1_DQ1" +Na 197 "/FPGA_Spartan6/R_M1_DQ10" St ~ $EndEQUIPOT $EQUIPOT -Na 198 "/FPGA_Spartan6/R_M1_DQ10" +Na 198 "/FPGA_Spartan6/R_M1_DQ11" St ~ $EndEQUIPOT $EQUIPOT -Na 199 "/FPGA_Spartan6/R_M1_DQ11" +Na 199 "/FPGA_Spartan6/R_M1_DQ12" St ~ $EndEQUIPOT $EQUIPOT -Na 200 "/FPGA_Spartan6/R_M1_DQ12" +Na 200 "/FPGA_Spartan6/R_M1_DQ13" St ~ $EndEQUIPOT $EQUIPOT -Na 201 "/FPGA_Spartan6/R_M1_DQ13" +Na 201 "/FPGA_Spartan6/R_M1_DQ14" St ~ $EndEQUIPOT $EQUIPOT -Na 202 "/FPGA_Spartan6/R_M1_DQ14" +Na 202 "/FPGA_Spartan6/R_M1_DQ15" St ~ $EndEQUIPOT $EQUIPOT -Na 203 "/FPGA_Spartan6/R_M1_DQ15" +Na 203 "/FPGA_Spartan6/R_M1_DQ2" St ~ $EndEQUIPOT $EQUIPOT -Na 204 "/FPGA_Spartan6/R_M1_DQ2" +Na 204 "/FPGA_Spartan6/R_M1_DQ3" St ~ $EndEQUIPOT $EQUIPOT -Na 205 "/FPGA_Spartan6/R_M1_DQ3" +Na 205 "/FPGA_Spartan6/R_M1_DQ4" St ~ $EndEQUIPOT $EQUIPOT -Na 206 "/FPGA_Spartan6/R_M1_DQ4" +Na 206 "/FPGA_Spartan6/R_M1_DQ5" St ~ $EndEQUIPOT $EQUIPOT -Na 207 "/FPGA_Spartan6/R_M1_DQ5" +Na 207 "/FPGA_Spartan6/R_M1_DQ6" St ~ $EndEQUIPOT $EQUIPOT -Na 208 "/FPGA_Spartan6/R_M1_DQ6" +Na 208 "/FPGA_Spartan6/R_M1_DQ7" St ~ $EndEQUIPOT $EQUIPOT -Na 209 "/FPGA_Spartan6/R_M1_DQ7" +Na 209 "/FPGA_Spartan6/R_M1_DQ8" St ~ $EndEQUIPOT $EQUIPOT -Na 210 "/FPGA_Spartan6/R_M1_DQ8" +Na 210 "/FPGA_Spartan6/R_M1_DQ9" St ~ $EndEQUIPOT $EQUIPOT -Na 211 "/FPGA_Spartan6/R_M1_DQ9" +Na 211 "/FPGA_Spartan6/R_M1_LDM" St ~ $EndEQUIPOT $EQUIPOT -Na 212 "/FPGA_Spartan6/R_M1_LDM" +Na 212 "/FPGA_Spartan6/R_M1_LDQS" St ~ $EndEQUIPOT $EQUIPOT -Na 213 "/FPGA_Spartan6/R_M1_LDQS" +Na 213 "/FPGA_Spartan6/R_M1_RAS#" St ~ $EndEQUIPOT $EQUIPOT -Na 214 "/FPGA_Spartan6/R_M1_RAS#" +Na 214 "/FPGA_Spartan6/R_M1_UDM" St ~ $EndEQUIPOT $EQUIPOT -Na 215 "/FPGA_Spartan6/R_M1_UDM" +Na 215 "/FPGA_Spartan6/R_M1_UDQS" St ~ $EndEQUIPOT $EQUIPOT -Na 216 "/FPGA_Spartan6/R_M1_UDQS" +Na 216 "/FPGA_Spartan6/R_M1_WE#" St ~ $EndEQUIPOT $EQUIPOT -Na 217 "/FPGA_Spartan6/R_M1_WE#" +Na 217 "/FPGA_Spartan6/SD_CLK" St ~ $EndEQUIPOT $EQUIPOT -Na 218 "/FPGA_Spartan6/SD_CLK" +Na 218 "/FPGA_Spartan6/SD_CMD" St ~ $EndEQUIPOT $EQUIPOT -Na 219 "/FPGA_Spartan6/SD_DAT0" +Na 219 "/FPGA_Spartan6/SD_DAT2" St ~ $EndEQUIPOT $EQUIPOT -Na 220 "/FPGA_Spartan6/SD_DAT1" +Na 220 "/FPGA_Spartan6/SD_DAT3" St ~ $EndEQUIPOT $EQUIPOT -Na 221 "/FPGA_Spartan6/SD_DAT2" +Na 221 "/FPGA_Spartan6/USBA_OE_N" St ~ $EndEQUIPOT $EQUIPOT -Na 222 "/FPGA_Spartan6/SD_DAT3" +Na 222 "/FPGA_Spartan6/USBA_RCV" St ~ $EndEQUIPOT $EQUIPOT -Na 223 "/FPGA_Spartan6/USBA_OE_N" +Na 223 "/FPGA_Spartan6/USBA_SPD" St ~ $EndEQUIPOT $EQUIPOT -Na 224 "/FPGA_Spartan6/USBA_RCV" +Na 224 "/FPGA_Spartan6/USBD_OE_N" St ~ $EndEQUIPOT $EQUIPOT -Na 225 "/FPGA_Spartan6/USBA_SPD" +Na 225 "/FPGA_Spartan6/USBD_RCV" St ~ $EndEQUIPOT $EQUIPOT -Na 226 "/FPGA_Spartan6/USBD_RCV" +Na 226 "/FPGA_Spartan6/USBD_VP" St ~ $EndEQUIPOT $EQUIPOT -Na 227 "/FPGA_Spartan6/USBD_VM" +Na 227 "/Non_volatile_memories/NF_CLE" St ~ $EndEQUIPOT $EQUIPOT -Na 228 "/Non_volatile_memories/NF_CLE" +Na 228 "/Non_volatile_memories/NF_CS1_N" St ~ $EndEQUIPOT $EQUIPOT -Na 229 "/Non_volatile_memories/NF_CS1_N" +Na 229 "/Non_volatile_memories/NF_D2" St ~ $EndEQUIPOT $EQUIPOT -Na 230 "/Non_volatile_memories/NF_D2" +Na 230 "/Non_volatile_memories/NF_D5" St ~ $EndEQUIPOT $EQUIPOT -Na 231 "/Non_volatile_memories/NF_D3" +Na 231 "/Non_volatile_memories/NF_RE_N" St ~ $EndEQUIPOT $EQUIPOT @@ -1002,95 +1002,95 @@ Na 233 "/Non_volatile_memories/NF_WE_N" St ~ $EndEQUIPOT $EQUIPOT -Na 234 "/Non_volatile_memories/SD_CMD" +Na 234 "/Non_volatile_memories/SD_DAT0" St ~ $EndEQUIPOT $EQUIPOT -Na 235 "/USB/USBA_VM" +Na 235 "/Non_volatile_memories/SD_DAT1" St ~ $EndEQUIPOT $EQUIPOT -Na 236 "/USB/USBA_VP" +Na 236 "/USB/USBA_D+" St ~ $EndEQUIPOT $EQUIPOT -Na 237 "/USB/USBD_OE_N" +Na 237 "/USB/USBA_D-" St ~ $EndEQUIPOT $EQUIPOT -Na 238 "/USB/USBD_SPD" +Na 238 "/USB/USBA_VM" St ~ $EndEQUIPOT $EQUIPOT -Na 239 "/USB/USBD_VP" +Na 239 "/USB/USBA_VP" St ~ $EndEQUIPOT $EQUIPOT -Na 240 "GND" +Na 240 "/USB/USBD_D+" St ~ $EndEQUIPOT $EQUIPOT -Na 241 "N-000264" +Na 241 "/USB/USBD_D-" St ~ $EndEQUIPOT $EQUIPOT -Na 242 "N-000382" +Na 242 "/USB/USBD_SPD" St ~ $EndEQUIPOT $EQUIPOT -Na 243 "N-000401" +Na 243 "/USB/USBD_VM" St ~ $EndEQUIPOT $EQUIPOT -Na 244 "N-000405" +Na 244 "GND" St ~ $EndEQUIPOT $EQUIPOT -Na 245 "N-000406" +Na 245 "N-000264" St ~ $EndEQUIPOT $EQUIPOT -Na 246 "N-000415" +Na 246 "N-000382" St ~ $EndEQUIPOT $EQUIPOT -Na 247 "N-000417" +Na 247 "N-000400" St ~ $EndEQUIPOT $EQUIPOT -Na 248 "N-000421" +Na 248 "N-000404" St ~ $EndEQUIPOT $EQUIPOT -Na 249 "N-000422" +Na 249 "N-000405" St ~ $EndEQUIPOT $EQUIPOT -Na 250 "N-000423" +Na 250 "N-000418" St ~ $EndEQUIPOT $EQUIPOT -Na 251 "N-000424" +Na 251 "N-000420" St ~ $EndEQUIPOT $EQUIPOT -Na 252 "N-000425" +Na 252 "N-000426" St ~ $EndEQUIPOT $EQUIPOT -Na 253 "N-000426" +Na 253 "N-000427" St ~ $EndEQUIPOT $EQUIPOT -Na 254 "N-000427" +Na 254 "N-000429" St ~ $EndEQUIPOT $EQUIPOT -Na 255 "N-000428" +Na 255 "N-000431" St ~ $EndEQUIPOT $EQUIPOT -Na 256 "N-000430" +Na 256 "N-000432" St ~ $EndEQUIPOT $EQUIPOT @@ -1139,130 +1139,139 @@ ViaDrill 79 uViaDia 197 uViaDrill 79 AddNet "" -AddNet "/DDR_Banks/M0_A0" -AddNet "/DDR_Banks/M0_A11" -AddNet "/DDR_Banks/M0_A3" -AddNet "/DDR_Banks/M0_A4" -AddNet "/DDR_Banks/M0_A9" +AddNet "/DBG_PRG/FPGA_TCK" +AddNet "/DBG_PRG/FPGA_TDI" +AddNet "/DBG_PRG/FPGA_TDO" +AddNet "/DBG_PRG/FPGA_TMS" +AddNet "/DDR_Banks/M0_A12" +AddNet "/DDR_Banks/M0_A5" +AddNet "/DDR_Banks/M0_A6" +AddNet "/DDR_Banks/M0_A8" +AddNet "/DDR_Banks/M0_BA0" AddNet "/DDR_Banks/M0_CKE" -AddNet "/DDR_Banks/M0_DQ10" -AddNet "/DDR_Banks/M0_DQ14" -AddNet "/DDR_Banks/M0_DQ4" +AddNet "/DDR_Banks/M0_CLK" +AddNet "/DDR_Banks/M0_DQ0" +AddNet "/DDR_Banks/M0_DQ1" +AddNet "/DDR_Banks/M0_DQ15" +AddNet "/DDR_Banks/M0_DQ2" AddNet "/DDR_Banks/M0_DQ5" -AddNet "/DDR_Banks/M0_DQ7" -AddNet "/DDR_Banks/M0_LDM" -AddNet "/DDR_Banks/M0_LDQS" +AddNet "/DDR_Banks/M0_DQ8" +AddNet "/DDR_Banks/M0_RAS#" +AddNet "/DDR_Banks/M0_UDM" +AddNet "/DDR_Banks/M0_UDQS" AddNet "/DDR_Banks/M0_VREF" -AddNet "/DDR_Banks/M1_A1" -AddNet "/DDR_Banks/M1_A11" -AddNet "/DDR_Banks/M1_A6" +AddNet "/DDR_Banks/M0_WE#" +AddNet "/DDR_Banks/M1_A0" +AddNet "/DDR_Banks/M1_A12" +AddNet "/DDR_Banks/M1_A5" +AddNet "/DDR_Banks/M1_A8" +AddNet "/DDR_Banks/M1_A9" AddNet "/DDR_Banks/M1_BA0" -AddNet "/DDR_Banks/M1_BA1" -AddNet "/DDR_Banks/M1_CLK#" -AddNet "/DDR_Banks/M1_CS#" -AddNet "/DDR_Banks/M1_DQ0" -AddNet "/DDR_Banks/M1_DQ4" -AddNet "/DDR_Banks/M1_DQ9" +AddNet "/DDR_Banks/M1_CKE" +AddNet "/DDR_Banks/M1_CLK" +AddNet "/DDR_Banks/M1_DQ10" +AddNet "/DDR_Banks/M1_DQ12" +AddNet "/DDR_Banks/M1_DQ3" +AddNet "/DDR_Banks/M1_DQ5" +AddNet "/DDR_Banks/M1_DQ6" +AddNet "/DDR_Banks/M1_LDM" +AddNet "/DDR_Banks/M1_UDQS" AddNet "/DDR_Banks/M1_VREF" -AddNet "/DDR_Banks/M1_WE#" AddNet "/Ethernet_Phy/ETH_A1.8V" AddNet "/Ethernet_Phy/ETH_A3.3V" +AddNet "/Ethernet_Phy/ETH_CLK" AddNet "/Ethernet_Phy/ETH_COL" +AddNet "/Ethernet_Phy/ETH_INT" AddNet "/Ethernet_Phy/ETH_LED0" AddNet "/Ethernet_Phy/ETH_LED1" AddNet "/Ethernet_Phy/ETH_MDIO" AddNet "/Ethernet_Phy/ETH_PLL1.8V" -AddNet "/Ethernet_Phy/ETH_RXD0" -AddNet "/Ethernet_Phy/ETH_RXD2" -AddNet "/Ethernet_Phy/ETH_RXER" +AddNet "/Ethernet_Phy/ETH_RXD1" +AddNet "/Ethernet_Phy/ETH_RXD3" +AddNet "/Ethernet_Phy/ETH_TXD0" AddNet "/Ethernet_Phy/ETH_TXD1" -AddNet "/Ethernet_Phy/ETH_TXD3" +AddNet "/Ethernet_Phy/ETH_TXEN" AddNet "/Ethernet_Phy/MAG_RX+" AddNet "/Ethernet_Phy/MAG_RX-" AddNet "/Ethernet_Phy/MAG_SHIELD" AddNet "/Ethernet_Phy/MAG_TX+" AddNet "/Ethernet_Phy/MAG_TX-" -AddNet "/FPGA_Spartan6/ETH_CLK" AddNet "/FPGA_Spartan6/ETH_CRS" -AddNet "/FPGA_Spartan6/ETH_INT" AddNet "/FPGA_Spartan6/ETH_MDC" AddNet "/FPGA_Spartan6/ETH_RESET_N" AddNet "/FPGA_Spartan6/ETH_RXC" -AddNet "/FPGA_Spartan6/ETH_RXD1" -AddNet "/FPGA_Spartan6/ETH_RXD3" +AddNet "/FPGA_Spartan6/ETH_RXD0" +AddNet "/FPGA_Spartan6/ETH_RXD2" AddNet "/FPGA_Spartan6/ETH_RXDV" +AddNet "/FPGA_Spartan6/ETH_RXER" AddNet "/FPGA_Spartan6/ETH_TXC" -AddNet "/FPGA_Spartan6/ETH_TXD0" AddNet "/FPGA_Spartan6/ETH_TXD2" -AddNet "/FPGA_Spartan6/ETH_TXEN" +AddNet "/FPGA_Spartan6/ETH_TXD3" AddNet "/FPGA_Spartan6/ETH_TXER" +AddNet "/FPGA_Spartan6/M0_A0" AddNet "/FPGA_Spartan6/M0_A1" AddNet "/FPGA_Spartan6/M0_A10" -AddNet "/FPGA_Spartan6/M0_A12" +AddNet "/FPGA_Spartan6/M0_A11" AddNet "/FPGA_Spartan6/M0_A2" -AddNet "/FPGA_Spartan6/M0_A5" -AddNet "/FPGA_Spartan6/M0_A6" +AddNet "/FPGA_Spartan6/M0_A3" +AddNet "/FPGA_Spartan6/M0_A4" AddNet "/FPGA_Spartan6/M0_A7" -AddNet "/FPGA_Spartan6/M0_A8" -AddNet "/FPGA_Spartan6/M0_BA0" +AddNet "/FPGA_Spartan6/M0_A9" AddNet "/FPGA_Spartan6/M0_BA1" AddNet "/FPGA_Spartan6/M0_CAS#" -AddNet "/FPGA_Spartan6/M0_CLK" AddNet "/FPGA_Spartan6/M0_CLK#" -AddNet "/FPGA_Spartan6/M0_DQ0" -AddNet "/FPGA_Spartan6/M0_DQ1" +AddNet "/FPGA_Spartan6/M0_DQ10" AddNet "/FPGA_Spartan6/M0_DQ11" AddNet "/FPGA_Spartan6/M0_DQ12" AddNet "/FPGA_Spartan6/M0_DQ13" -AddNet "/FPGA_Spartan6/M0_DQ15" -AddNet "/FPGA_Spartan6/M0_DQ2" +AddNet "/FPGA_Spartan6/M0_DQ14" AddNet "/FPGA_Spartan6/M0_DQ3" +AddNet "/FPGA_Spartan6/M0_DQ4" AddNet "/FPGA_Spartan6/M0_DQ6" -AddNet "/FPGA_Spartan6/M0_DQ8" +AddNet "/FPGA_Spartan6/M0_DQ7" AddNet "/FPGA_Spartan6/M0_DQ9" -AddNet "/FPGA_Spartan6/M0_RAS#" -AddNet "/FPGA_Spartan6/M0_UDM" -AddNet "/FPGA_Spartan6/M0_UDQS" -AddNet "/FPGA_Spartan6/M0_WE#" -AddNet "/FPGA_Spartan6/M1_A0" +AddNet "/FPGA_Spartan6/M0_LDM" +AddNet "/FPGA_Spartan6/M0_LDQS" +AddNet "/FPGA_Spartan6/M1_A1" AddNet "/FPGA_Spartan6/M1_A10" -AddNet "/FPGA_Spartan6/M1_A12" +AddNet "/FPGA_Spartan6/M1_A11" AddNet "/FPGA_Spartan6/M1_A2" AddNet "/FPGA_Spartan6/M1_A3" AddNet "/FPGA_Spartan6/M1_A4" -AddNet "/FPGA_Spartan6/M1_A5" +AddNet "/FPGA_Spartan6/M1_A6" AddNet "/FPGA_Spartan6/M1_A7" -AddNet "/FPGA_Spartan6/M1_A8" -AddNet "/FPGA_Spartan6/M1_A9" +AddNet "/FPGA_Spartan6/M1_BA1" AddNet "/FPGA_Spartan6/M1_CAS#" -AddNet "/FPGA_Spartan6/M1_CKE" -AddNet "/FPGA_Spartan6/M1_CLK" +AddNet "/FPGA_Spartan6/M1_CLK#" +AddNet "/FPGA_Spartan6/M1_CS#" +AddNet "/FPGA_Spartan6/M1_DQ0" AddNet "/FPGA_Spartan6/M1_DQ1" -AddNet "/FPGA_Spartan6/M1_DQ10" AddNet "/FPGA_Spartan6/M1_DQ11" -AddNet "/FPGA_Spartan6/M1_DQ12" AddNet "/FPGA_Spartan6/M1_DQ13" AddNet "/FPGA_Spartan6/M1_DQ14" AddNet "/FPGA_Spartan6/M1_DQ15" AddNet "/FPGA_Spartan6/M1_DQ2" -AddNet "/FPGA_Spartan6/M1_DQ3" -AddNet "/FPGA_Spartan6/M1_DQ5" -AddNet "/FPGA_Spartan6/M1_DQ6" +AddNet "/FPGA_Spartan6/M1_DQ4" AddNet "/FPGA_Spartan6/M1_DQ7" AddNet "/FPGA_Spartan6/M1_DQ8" -AddNet "/FPGA_Spartan6/M1_LDM" +AddNet "/FPGA_Spartan6/M1_DQ9" AddNet "/FPGA_Spartan6/M1_LDQS" AddNet "/FPGA_Spartan6/M1_RAS#" AddNet "/FPGA_Spartan6/M1_UDM" -AddNet "/FPGA_Spartan6/M1_UDQS" +AddNet "/FPGA_Spartan6/M1_WE#" AddNet "/FPGA_Spartan6/NF_ALE" AddNet "/FPGA_Spartan6/NF_D0" AddNet "/FPGA_Spartan6/NF_D1" +AddNet "/FPGA_Spartan6/NF_D3" AddNet "/FPGA_Spartan6/NF_D4" -AddNet "/FPGA_Spartan6/NF_D5" AddNet "/FPGA_Spartan6/NF_D6" AddNet "/FPGA_Spartan6/NF_D7" -AddNet "/FPGA_Spartan6/NF_RE_N" +AddNet "/FPGA_Spartan6/PROG_CCLK" +AddNet "/FPGA_Spartan6/PROG_CSO" +AddNet "/FPGA_Spartan6/PROG_MISO0" +AddNet "/FPGA_Spartan6/PROG_MISO1" +AddNet "/FPGA_Spartan6/PROG_MISO2" +AddNet "/FPGA_Spartan6/PROG_MISO3" AddNet "/FPGA_Spartan6/R_M0_A0" AddNet "/FPGA_Spartan6/R_M0_A1" AddNet "/FPGA_Spartan6/R_M0_A10" @@ -1341,29 +1350,31 @@ AddNet "/FPGA_Spartan6/R_M1_RAS#" AddNet "/FPGA_Spartan6/R_M1_UDM" AddNet "/FPGA_Spartan6/R_M1_UDQS" AddNet "/FPGA_Spartan6/R_M1_WE#" +AddNet "/FPGA_Spartan6/SD_CLK" +AddNet "/FPGA_Spartan6/SD_CMD" +AddNet "/FPGA_Spartan6/SD_DAT2" +AddNet "/FPGA_Spartan6/SD_DAT3" AddNet "/Non_volatile_memories/NF_CLE" AddNet "/Non_volatile_memories/NF_CS1_N" AddNet "/Non_volatile_memories/NF_D2" -AddNet "/Non_volatile_memories/NF_D3" +AddNet "/Non_volatile_memories/NF_D5" +AddNet "/Non_volatile_memories/NF_RE_N" AddNet "/Non_volatile_memories/NF_RNB" AddNet "/Non_volatile_memories/NF_WE_N" -AddNet "/Non_volatile_memories/SD_CMD" +AddNet "/Non_volatile_memories/SD_DAT0" +AddNet "/Non_volatile_memories/SD_DAT1" AddNet "N-000264" AddNet "N-000382" -AddNet "N-000401" +AddNet "N-000400" +AddNet "N-000404" AddNet "N-000405" -AddNet "N-000406" -AddNet "N-000415" -AddNet "N-000417" -AddNet "N-000421" -AddNet "N-000422" -AddNet "N-000423" -AddNet "N-000424" -AddNet "N-000425" +AddNet "N-000418" +AddNet "N-000420" AddNet "N-000426" AddNet "N-000427" -AddNet "N-000428" -AddNet "N-000430" +AddNet "N-000429" +AddNet "N-000431" +AddNet "N-000432" AddNet "N-000435" AddNet "N-000436" AddNet "N-000437" @@ -1432,16 +1443,6 @@ ViaDia 157 ViaDrill 79 uViaDia 197 uViaDrill 79 -AddNet "/DBG_PRG/FPGA_TCK" -AddNet "/DBG_PRG/FPGA_TDI" -AddNet "/DBG_PRG/FPGA_TDO" -AddNet "/DBG_PRG/FPGA_TMS" -AddNet "/FPGA_Spartan6/PROG_CCLK" -AddNet "/FPGA_Spartan6/PROG_CSO" -AddNet "/FPGA_Spartan6/PROG_MISO0" -AddNet "/FPGA_Spartan6/PROG_MISO1" -AddNet "/FPGA_Spartan6/PROG_MISO2" -AddNet "/FPGA_Spartan6/PROG_MISO3" $EndNCLASS $NCLASS Name "POWER" @@ -1469,11 +1470,6 @@ ViaDia 157 ViaDrill 79 uViaDia 197 uViaDrill 79 -AddNet "/FPGA_Spartan6/SD_CLK" -AddNet "/FPGA_Spartan6/SD_DAT0" -AddNet "/FPGA_Spartan6/SD_DAT1" -AddNet "/FPGA_Spartan6/SD_DAT2" -AddNet "/FPGA_Spartan6/SD_DAT3" $EndNCLASS $NCLASS Name "USB" @@ -1487,13 +1483,17 @@ uViaDrill 79 AddNet "/FPGA_Spartan6/USBA_OE_N" AddNet "/FPGA_Spartan6/USBA_RCV" AddNet "/FPGA_Spartan6/USBA_SPD" +AddNet "/FPGA_Spartan6/USBD_OE_N" AddNet "/FPGA_Spartan6/USBD_RCV" -AddNet "/FPGA_Spartan6/USBD_VM" +AddNet "/FPGA_Spartan6/USBD_VP" +AddNet "/USB/USBA_D+" +AddNet "/USB/USBA_D-" AddNet "/USB/USBA_VM" AddNet "/USB/USBA_VP" -AddNet "/USB/USBD_OE_N" +AddNet "/USB/USBD_D+" +AddNet "/USB/USBD_D-" AddNet "/USB/USBD_SPD" -AddNet "/USB/USBD_VP" +AddNet "/USB/USBD_VM" $EndNCLASS $MODULE FGG484bga-p10 Po 56269 34378 0 15 4C6D0021 4C6A0A06 ~~ @@ -1516,7 +1516,7 @@ $PAD Sh "A1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -4133 -4133 $EndPAD $PAD @@ -1537,49 +1537,49 @@ $PAD Sh "A4" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 53 "/FPGA_Spartan6/ETH_CLK" +Ne 46 "/Ethernet_Phy/ETH_CLK" Po -2952 -4133 $EndPAD $PAD Sh "A5" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 59 "/FPGA_Spartan6/ETH_RXD1" +Ne 53 "/Ethernet_Phy/ETH_RXD1" Po -2558 -4133 $EndPAD $PAD Sh "A6" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 61 "/FPGA_Spartan6/ETH_RXDV" +Ne 69 "/FPGA_Spartan6/ETH_RXDV" Po -2165 -4133 $EndPAD $PAD Sh "A7" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 58 "/FPGA_Spartan6/ETH_RXC" +Ne 66 "/FPGA_Spartan6/ETH_RXC" Po -1771 -4133 $EndPAD $PAD Sh "A8" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 47 "/Ethernet_Phy/ETH_TXD3" +Ne 73 "/FPGA_Spartan6/ETH_TXD3" Po -1377 -4133 $EndPAD $PAD Sh "A9" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 38 "/Ethernet_Phy/ETH_COL" +Ne 47 "/Ethernet_Phy/ETH_COL" Po -983 -4133 $EndPAD $PAD Sh "A10" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 55 "/FPGA_Spartan6/ETH_INT" +Ne 48 "/Ethernet_Phy/ETH_INT" Po -590 -4133 $EndPAD $PAD @@ -1593,14 +1593,14 @@ $PAD Sh "A12" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 129 "/FPGA_Spartan6/NF_D4" +Ne 130 "/FPGA_Spartan6/NF_D4" Po 196 -4133 $EndPAD $PAD Sh "A13" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 230 "/Non_volatile_memories/NF_D2" +Ne 229 "/Non_volatile_memories/NF_D2" Po 590 -4133 $EndPAD $PAD @@ -1621,21 +1621,21 @@ $PAD Sh "A16" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 221 "/FPGA_Spartan6/SD_DAT2" +Ne 219 "/FPGA_Spartan6/SD_DAT2" Po 1771 -4133 $EndPAD $PAD Sh "A17" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 218 "/FPGA_Spartan6/SD_CLK" +Ne 217 "/FPGA_Spartan6/SD_CLK" Po 2165 -4133 $EndPAD $PAD Sh "A18" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 219 "/FPGA_Spartan6/SD_DAT0" +Ne 234 "/Non_volatile_memories/SD_DAT0" Po 2558 -4133 $EndPAD $PAD @@ -1649,21 +1649,21 @@ $PAD Sh "A20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 0 "" +Ne 225 "/FPGA_Spartan6/USBD_RCV" Po 3346 -4133 $EndPAD $PAD Sh "A21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 0 "" +Ne 224 "/FPGA_Spartan6/USBD_OE_N" Po 3739 -4133 $EndPAD $PAD Sh "A22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 4133 -4133 $EndPAD $PAD @@ -1698,14 +1698,14 @@ $PAD Sh "B5" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2558 -3739 $EndPAD $PAD Sh "B6" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 43 "/Ethernet_Phy/ETH_RXD0" +Ne 67 "/FPGA_Spartan6/ETH_RXD0" Po -2165 -3739 $EndPAD $PAD @@ -1719,21 +1719,21 @@ $PAD Sh "B8" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 45 "/Ethernet_Phy/ETH_RXER" +Ne 70 "/FPGA_Spartan6/ETH_RXER" Po -1377 -3739 $EndPAD $PAD Sh "B9" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -983 -3739 $EndPAD $PAD Sh "B10" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 54 "/FPGA_Spartan6/ETH_CRS" +Ne 63 "/FPGA_Spartan6/ETH_CRS" Po -590 -3739 $EndPAD $PAD @@ -1747,21 +1747,21 @@ $PAD Sh "B12" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 231 "/Non_volatile_memories/NF_D3" +Ne 129 "/FPGA_Spartan6/NF_D3" Po 196 -3739 $EndPAD $PAD Sh "B13" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 590 -3739 $EndPAD $PAD Sh "B14" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 228 "/Non_volatile_memories/NF_CLE" +Ne 227 "/Non_volatile_memories/NF_CLE" Po 983 -3739 $EndPAD $PAD @@ -1775,21 +1775,21 @@ $PAD Sh "B16" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 222 "/FPGA_Spartan6/SD_DAT3" +Ne 220 "/FPGA_Spartan6/SD_DAT3" Po 1771 -3739 $EndPAD $PAD Sh "B17" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2165 -3739 $EndPAD $PAD Sh "B18" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 220 "/FPGA_Spartan6/SD_DAT1" +Ne 235 "/Non_volatile_memories/SD_DAT1" Po 2558 -3739 $EndPAD $PAD @@ -1803,28 +1803,28 @@ $PAD Sh "B20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 223 "/FPGA_Spartan6/USBA_OE_N" +Ne 242 "/USB/USBD_SPD" Po 3346 -3739 $EndPAD $PAD Sh "B21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 0 "" +Ne 226 "/FPGA_Spartan6/USBD_VP" Po 3739 -3739 $EndPAD $PAD Sh "B22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 0 "" +Ne 243 "/USB/USBD_VM" Po 4133 -3739 $EndPAD $PAD Sh "C1" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 143 "/FPGA_Spartan6/R_M0_A11" +Ne 142 "/FPGA_Spartan6/R_M0_A11" Po -4133 -3346 $EndPAD $PAD @@ -1852,49 +1852,49 @@ $PAD Sh "C5" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 60 "/FPGA_Spartan6/ETH_RXD3" +Ne 54 "/Ethernet_Phy/ETH_RXD3" Po -2558 -3346 $EndPAD $PAD Sh "C6" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 44 "/Ethernet_Phy/ETH_RXD2" +Ne 68 "/FPGA_Spartan6/ETH_RXD2" Po -2165 -3346 $EndPAD $PAD Sh "C7" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 57 "/FPGA_Spartan6/ETH_RESET_N" +Ne 65 "/FPGA_Spartan6/ETH_RESET_N" Po -1771 -3346 $EndPAD $PAD Sh "C8" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 62 "/FPGA_Spartan6/ETH_TXC" +Ne 71 "/FPGA_Spartan6/ETH_TXC" Po -1377 -3346 $EndPAD $PAD Sh "C9" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 46 "/Ethernet_Phy/ETH_TXD1" +Ne 56 "/Ethernet_Phy/ETH_TXD1" Po -983 -3346 $EndPAD $PAD Sh "C10" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 64 "/FPGA_Spartan6/ETH_TXD2" +Ne 72 "/FPGA_Spartan6/ETH_TXD2" Po -590 -3346 $EndPAD $PAD Sh "C11" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 130 "/FPGA_Spartan6/NF_D5" +Ne 230 "/Non_volatile_memories/NF_D5" Po -196 -3346 $EndPAD $PAD @@ -1922,14 +1922,14 @@ $PAD Sh "C15" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 133 "/FPGA_Spartan6/NF_RE_N" +Ne 231 "/Non_volatile_memories/NF_RE_N" Po 1377 -3346 $EndPAD $PAD Sh "C16" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 234 "/Non_volatile_memories/SD_CMD" +Ne 218 "/FPGA_Spartan6/SD_CMD" Po 1771 -3346 $EndPAD $PAD @@ -1950,14 +1950,14 @@ $PAD Sh "C19" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 235 "/USB/USBA_VM" +Ne 221 "/FPGA_Spartan6/USBA_OE_N" Po 2952 -3346 $EndPAD $PAD Sh "C20" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 189 "/FPGA_Spartan6/R_M1_A8" +Ne 188 "/FPGA_Spartan6/R_M1_A8" Po 3346 -3346 $EndPAD $PAD @@ -1971,21 +1971,21 @@ $PAD Sh "C22" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 190 "/FPGA_Spartan6/R_M1_A9" +Ne 189 "/FPGA_Spartan6/R_M1_A9" Po 4133 -3346 $EndPAD $PAD Sh "D1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 144 "/FPGA_Spartan6/R_M0_A12" +Ne 143 "/FPGA_Spartan6/R_M0_A12" Po -4133 -2952 $EndPAD $PAD Sh "D2" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 156 "/FPGA_Spartan6/R_M0_CKE" +Ne 155 "/FPGA_Spartan6/R_M0_CKE" Po -3739 -2952 $EndPAD $PAD @@ -1999,7 +1999,7 @@ $PAD Sh "D4" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2952 -2952 $EndPAD $PAD @@ -2013,35 +2013,35 @@ $PAD Sh "D6" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 41 "/Ethernet_Phy/ETH_MDIO" +Ne 51 "/Ethernet_Phy/ETH_MDIO" Po -2165 -2952 $EndPAD $PAD Sh "D7" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 56 "/FPGA_Spartan6/ETH_MDC" +Ne 64 "/FPGA_Spartan6/ETH_MDC" Po -1771 -2952 $EndPAD $PAD Sh "D8" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 66 "/FPGA_Spartan6/ETH_TXER" +Ne 74 "/FPGA_Spartan6/ETH_TXER" Po -1377 -2952 $EndPAD $PAD Sh "D9" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 65 "/FPGA_Spartan6/ETH_TXEN" +Ne 57 "/Ethernet_Phy/ETH_TXEN" Po -983 -2952 $EndPAD $PAD Sh "D10" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 63 "/FPGA_Spartan6/ETH_TXD0" +Ne 55 "/Ethernet_Phy/ETH_TXD0" Po -590 -2952 $EndPAD $PAD @@ -2076,7 +2076,7 @@ $PAD Sh "D15" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 229 "/Non_volatile_memories/NF_CS1_N" +Ne 228 "/Non_volatile_memories/NF_CS1_N" Po 1377 -2952 $EndPAD $PAD @@ -2097,56 +2097,56 @@ $PAD Sh "D18" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2558 -2952 $EndPAD $PAD Sh "D19" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 236 "/USB/USBA_VP" +Ne 239 "/USB/USBA_VP" Po 2952 -2952 $EndPAD $PAD Sh "D20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 0 "" +Ne 238 "/USB/USBA_VM" Po 3346 -2952 $EndPAD $PAD Sh "D21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 194 "/FPGA_Spartan6/R_M1_CKE" +Ne 193 "/FPGA_Spartan6/R_M1_CKE" Po 3739 -2952 $EndPAD $PAD Sh "D22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 183 "/FPGA_Spartan6/R_M1_A12" +Ne 182 "/FPGA_Spartan6/R_M1_A12" Po 4133 -2952 $EndPAD $PAD Sh "E1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 152 "/FPGA_Spartan6/R_M0_A9" +Ne 151 "/FPGA_Spartan6/R_M0_A9" Po -4133 -2558 $EndPAD $PAD Sh "E2" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -3739 -2558 $EndPAD $PAD Sh "E3" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 151 "/FPGA_Spartan6/R_M0_A8" +Ne 150 "/FPGA_Spartan6/R_M0_A8" Po -3346 -2558 $EndPAD $PAD @@ -2174,7 +2174,7 @@ $PAD Sh "E7" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1771 -2558 $EndPAD $PAD @@ -2202,7 +2202,7 @@ $PAD Sh "E11" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -196 -2558 $EndPAD $PAD @@ -2230,7 +2230,7 @@ $PAD Sh "E15" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 1377 -2558 $EndPAD $PAD @@ -2265,21 +2265,21 @@ $PAD Sh "E20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 188 "/FPGA_Spartan6/R_M1_A7" +Ne 187 "/FPGA_Spartan6/R_M1_A7" Po 3346 -2558 $EndPAD $PAD Sh "E21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 3739 -2558 $EndPAD $PAD Sh "E22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 184 "/FPGA_Spartan6/R_M1_A2" +Ne 183 "/FPGA_Spartan6/R_M1_A2" Po 4133 -2558 $EndPAD $PAD @@ -2293,14 +2293,14 @@ $PAD Sh "F2" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 178 "/FPGA_Spartan6/R_M0_WE#" +Ne 177 "/FPGA_Spartan6/R_M0_WE#" Po -3739 -2165 $EndPAD $PAD Sh "F3" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 147 "/FPGA_Spartan6/R_M0_A4" +Ne 146 "/FPGA_Spartan6/R_M0_A4" Po -3346 -2165 $EndPAD $PAD @@ -2391,14 +2391,14 @@ $PAD Sh "F16" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 225 "/FPGA_Spartan6/USBA_SPD" +Ne 223 "/FPGA_Spartan6/USBA_SPD" Po 1771 -2165 $EndPAD $PAD Sh "F17" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 224 "/FPGA_Spartan6/USBA_RCV" +Ne 222 "/FPGA_Spartan6/USBA_RCV" Po 2165 -2165 $EndPAD $PAD @@ -2412,7 +2412,7 @@ $PAD Sh "F19" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 182 "/FPGA_Spartan6/R_M1_A11" +Ne 181 "/FPGA_Spartan6/R_M1_A11" Po 2952 -2165 $EndPAD $PAD @@ -2426,21 +2426,21 @@ $PAD Sh "F21" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 179 "/FPGA_Spartan6/R_M1_A0" +Ne 178 "/FPGA_Spartan6/R_M1_A0" Po 3739 -2165 $EndPAD $PAD Sh "F22" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 180 "/FPGA_Spartan6/R_M1_A1" +Ne 179 "/FPGA_Spartan6/R_M1_A1" Po 4133 -2165 $EndPAD $PAD Sh "G1" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 154 "/FPGA_Spartan6/R_M0_BA1" +Ne 153 "/FPGA_Spartan6/R_M0_BA1" Po -4133 -1771 $EndPAD $PAD @@ -2454,21 +2454,21 @@ $PAD Sh "G3" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 153 "/FPGA_Spartan6/R_M0_BA0" +Ne 152 "/FPGA_Spartan6/R_M0_BA0" Po -3346 -1771 $EndPAD $PAD Sh "G4" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 142 "/FPGA_Spartan6/R_M0_A10" +Ne 141 "/FPGA_Spartan6/R_M0_A10" Po -2952 -1771 $EndPAD $PAD Sh "G5" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2558 -1771 $EndPAD $PAD @@ -2559,21 +2559,21 @@ $PAD Sh "G18" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2558 -1771 $EndPAD $PAD Sh "G19" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 181 "/FPGA_Spartan6/R_M1_A10" +Ne 180 "/FPGA_Spartan6/R_M1_A10" Po 2952 -1771 $EndPAD $PAD Sh "G20" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 185 "/FPGA_Spartan6/R_M1_A3" +Ne 184 "/FPGA_Spartan6/R_M1_A3" Po 3346 -1771 $EndPAD $PAD @@ -2594,49 +2594,49 @@ $PAD Sh "H1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 141 "/FPGA_Spartan6/R_M0_A1" +Ne 140 "/FPGA_Spartan6/R_M0_A1" Po -4133 -1377 $EndPAD $PAD Sh "H2" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 140 "/FPGA_Spartan6/R_M0_A0" +Ne 139 "/FPGA_Spartan6/R_M0_A0" Po -3739 -1377 $EndPAD $PAD Sh "H3" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 79 "/FPGA_Spartan6/M0_CLK#" +Ne 86 "/FPGA_Spartan6/M0_CLK#" Po -3346 -1377 $EndPAD $PAD Sh "H4" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 78 "/FPGA_Spartan6/M0_CLK" +Ne 16 "/DDR_Banks/M0_CLK" Po -2952 -1377 $EndPAD $PAD Sh "H5" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 145 "/FPGA_Spartan6/R_M0_A2" +Ne 144 "/FPGA_Spartan6/R_M0_A2" Po -2558 -1377 $EndPAD $PAD Sh "H6" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 150 "/FPGA_Spartan6/R_M0_A7" +Ne 149 "/FPGA_Spartan6/R_M0_A7" Po -2165 -1377 $EndPAD $PAD Sh "H7" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1771 -1377 $EndPAD $PAD @@ -2699,7 +2699,7 @@ $PAD Sh "H16" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 195 "/FPGA_Spartan6/R_M1_CS#" +Ne 194 "/FPGA_Spartan6/R_M1_CS#" Po 1771 -1377 $EndPAD $PAD @@ -2720,56 +2720,56 @@ $PAD Sh "H19" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 217 "/FPGA_Spartan6/R_M1_WE#" +Ne 216 "/FPGA_Spartan6/R_M1_WE#" Po 2952 -1377 $EndPAD $PAD Sh "H20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 107 "/FPGA_Spartan6/M1_CLK" +Ne 35 "/DDR_Banks/M1_CLK" Po 3346 -1377 $EndPAD $PAD Sh "H21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 214 "/FPGA_Spartan6/R_M1_RAS#" +Ne 213 "/FPGA_Spartan6/R_M1_RAS#" Po 3739 -1377 $EndPAD $PAD Sh "H22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 193 "/FPGA_Spartan6/R_M1_CAS#" +Ne 192 "/FPGA_Spartan6/R_M1_CAS#" Po 4133 -1377 $EndPAD $PAD Sh "J1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 168 "/FPGA_Spartan6/R_M0_DQ5" +Ne 167 "/FPGA_Spartan6/R_M0_DQ5" Po -4133 -983 $EndPAD $PAD Sh "J2" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -3739 -983 $EndPAD $PAD Sh "J3" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 167 "/FPGA_Spartan6/R_M0_DQ4" +Ne 166 "/FPGA_Spartan6/R_M0_DQ4" Po -3346 -983 $EndPAD $PAD Sh "J4" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 149 "/FPGA_Spartan6/R_M0_A6" +Ne 148 "/FPGA_Spartan6/R_M0_A6" Po -2952 -983 $EndPAD $PAD @@ -2804,7 +2804,7 @@ $PAD Sh "J9" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -983 -983 $EndPAD $PAD @@ -2818,7 +2818,7 @@ $PAD Sh "J11" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -196 -983 $EndPAD $PAD @@ -2832,7 +2832,7 @@ $PAD Sh "J13" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 590 -983 $EndPAD $PAD @@ -2846,7 +2846,7 @@ $PAD Sh "J15" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 1377 -983 $EndPAD $PAD @@ -2860,7 +2860,7 @@ $PAD Sh "J17" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 191 "/FPGA_Spartan6/R_M1_BA0" +Ne 190 "/FPGA_Spartan6/R_M1_BA0" Po 2165 -983 $EndPAD $PAD @@ -2874,70 +2874,70 @@ $PAD Sh "J19" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 29 "/DDR_Banks/M1_CLK#" +Ne 109 "/FPGA_Spartan6/M1_CLK#" Po 2952 -983 $EndPAD $PAD Sh "J20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 206 "/FPGA_Spartan6/R_M1_DQ4" +Ne 205 "/FPGA_Spartan6/R_M1_DQ4" Po 3346 -983 $EndPAD $PAD Sh "J21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 3739 -983 $EndPAD $PAD Sh "J22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 207 "/FPGA_Spartan6/R_M1_DQ5" +Ne 206 "/FPGA_Spartan6/R_M1_DQ5" Po 4133 -983 $EndPAD $PAD Sh "K1" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 170 "/FPGA_Spartan6/R_M0_DQ7" +Ne 169 "/FPGA_Spartan6/R_M0_DQ7" Po -4133 -590 $EndPAD $PAD Sh "K2" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 169 "/FPGA_Spartan6/R_M0_DQ6" +Ne 168 "/FPGA_Spartan6/R_M0_DQ6" Po -3739 -590 $EndPAD $PAD Sh "K3" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 148 "/FPGA_Spartan6/R_M0_A5" +Ne 147 "/FPGA_Spartan6/R_M0_A5" Po -3346 -590 $EndPAD $PAD Sh "K4" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 155 "/FPGA_Spartan6/R_M0_CAS#" +Ne 154 "/FPGA_Spartan6/R_M0_CAS#" Po -2952 -590 $EndPAD $PAD Sh "K5" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 175 "/FPGA_Spartan6/R_M0_RAS#" +Ne 174 "/FPGA_Spartan6/R_M0_RAS#" Po -2558 -590 $EndPAD $PAD Sh "K6" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 146 "/FPGA_Spartan6/R_M0_A3" +Ne 145 "/FPGA_Spartan6/R_M0_A3" Po -2165 -590 $EndPAD $PAD @@ -2965,7 +2965,7 @@ $PAD Sh "K10" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -590 -590 $EndPAD $PAD @@ -2979,7 +2979,7 @@ $PAD Sh "K12" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 196 -590 $EndPAD $PAD @@ -2993,7 +2993,7 @@ $PAD Sh "K14" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 983 -590 $EndPAD $PAD @@ -3014,7 +3014,7 @@ $PAD Sh "K17" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 192 "/FPGA_Spartan6/R_M1_BA1" +Ne 191 "/FPGA_Spartan6/R_M1_BA1" Po 2165 -590 $EndPAD $PAD @@ -3028,28 +3028,28 @@ $PAD Sh "K19" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 187 "/FPGA_Spartan6/R_M1_A6" +Ne 186 "/FPGA_Spartan6/R_M1_A6" Po 2952 -590 $EndPAD $PAD Sh "K20" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 186 "/FPGA_Spartan6/R_M1_A5" +Ne 185 "/FPGA_Spartan6/R_M1_A5" Po 3346 -590 $EndPAD $PAD Sh "K21" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 208 "/FPGA_Spartan6/R_M1_DQ6" +Ne 207 "/FPGA_Spartan6/R_M1_DQ6" Po 3739 -590 $EndPAD $PAD Sh "K22" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 209 "/FPGA_Spartan6/R_M1_DQ7" +Ne 208 "/FPGA_Spartan6/R_M1_DQ7" Po 4133 -590 $EndPAD $PAD @@ -3070,21 +3070,21 @@ $PAD Sh "L3" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 174 "/FPGA_Spartan6/R_M0_LDQS" +Ne 173 "/FPGA_Spartan6/R_M0_LDQS" Po -3346 -196 $EndPAD $PAD Sh "L4" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 173 "/FPGA_Spartan6/R_M0_LDM" +Ne 172 "/FPGA_Spartan6/R_M0_LDM" Po -2952 -196 $EndPAD $PAD Sh "L5" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2558 -196 $EndPAD $PAD @@ -3112,7 +3112,7 @@ $PAD Sh "L9" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -983 -196 $EndPAD $PAD @@ -3126,7 +3126,7 @@ $PAD Sh "L11" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -196 -196 $EndPAD $PAD @@ -3140,7 +3140,7 @@ $PAD Sh "L13" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 590 -196 $EndPAD $PAD @@ -3175,21 +3175,21 @@ $PAD Sh "L18" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2558 -196 $EndPAD $PAD Sh "L19" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 212 "/FPGA_Spartan6/R_M1_LDM" +Ne 211 "/FPGA_Spartan6/R_M1_LDM" Po 2952 -196 $EndPAD $PAD Sh "L20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 213 "/FPGA_Spartan6/R_M1_LDQS" +Ne 212 "/FPGA_Spartan6/R_M1_LDQS" Po 3346 -196 $EndPAD $PAD @@ -3210,21 +3210,21 @@ $PAD Sh "M1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 166 "/FPGA_Spartan6/R_M0_DQ3" +Ne 165 "/FPGA_Spartan6/R_M0_DQ3" Po -4133 196 $EndPAD $PAD Sh "M2" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 165 "/FPGA_Spartan6/R_M0_DQ2" +Ne 164 "/FPGA_Spartan6/R_M0_DQ2" Po -3739 196 $EndPAD $PAD Sh "M3" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 176 "/FPGA_Spartan6/R_M0_UDM" +Ne 175 "/FPGA_Spartan6/R_M0_UDM" Po -3346 196 $EndPAD $PAD @@ -3273,7 +3273,7 @@ $PAD Sh "M10" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -590 196 $EndPAD $PAD @@ -3287,7 +3287,7 @@ $PAD Sh "M12" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 196 196 $EndPAD $PAD @@ -3301,7 +3301,7 @@ $PAD Sh "M14" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 983 196 $EndPAD $PAD @@ -3322,14 +3322,14 @@ $PAD Sh "M17" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 226 "/FPGA_Spartan6/USBD_RCV" +Ne 0 "" Po 2165 196 $EndPAD $PAD Sh "M18" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 238 "/USB/USBD_SPD" +Ne 0 "" Po 2558 196 $EndPAD $PAD @@ -3343,42 +3343,42 @@ $PAD Sh "M20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 215 "/FPGA_Spartan6/R_M1_UDM" +Ne 214 "/FPGA_Spartan6/R_M1_UDM" Po 3346 196 $EndPAD $PAD Sh "M21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 204 "/FPGA_Spartan6/R_M1_DQ2" +Ne 203 "/FPGA_Spartan6/R_M1_DQ2" Po 3739 196 $EndPAD $PAD Sh "M22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 205 "/FPGA_Spartan6/R_M1_DQ3" +Ne 204 "/FPGA_Spartan6/R_M1_DQ3" Po 4133 196 $EndPAD $PAD Sh "N1" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 158 "/FPGA_Spartan6/R_M0_DQ1" +Ne 157 "/FPGA_Spartan6/R_M0_DQ1" Po -4133 590 $EndPAD $PAD Sh "N2" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -3739 590 $EndPAD $PAD Sh "N3" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 157 "/FPGA_Spartan6/R_M0_DQ0" +Ne 156 "/FPGA_Spartan6/R_M0_DQ0" Po -3346 590 $EndPAD $PAD @@ -3420,7 +3420,7 @@ $PAD Sh "N9" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -983 590 $EndPAD $PAD @@ -3434,7 +3434,7 @@ $PAD Sh "N11" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -196 590 $EndPAD $PAD @@ -3448,7 +3448,7 @@ $PAD Sh "N13" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 590 590 $EndPAD $PAD @@ -3462,21 +3462,21 @@ $PAD Sh "N15" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 1377 590 $EndPAD $PAD Sh "N16" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 237 "/USB/USBD_OE_N" +Ne 0 "" Po 1771 590 $EndPAD $PAD Sh "N17" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2165 590 $EndPAD $PAD @@ -3497,35 +3497,35 @@ $PAD Sh "N20" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 196 "/FPGA_Spartan6/R_M1_DQ0" +Ne 195 "/FPGA_Spartan6/R_M1_DQ0" Po 3346 590 $EndPAD $PAD Sh "N21" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 3739 590 $EndPAD $PAD Sh "N22" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 197 "/FPGA_Spartan6/R_M1_DQ1" +Ne 196 "/FPGA_Spartan6/R_M1_DQ1" Po 4133 590 $EndPAD $PAD Sh "P1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 172 "/FPGA_Spartan6/R_M0_DQ9" +Ne 171 "/FPGA_Spartan6/R_M0_DQ9" Po -4133 983 $EndPAD $PAD Sh "P2" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 171 "/FPGA_Spartan6/R_M0_DQ8" +Ne 170 "/FPGA_Spartan6/R_M0_DQ8" Po -3739 983 $EndPAD $PAD @@ -3581,7 +3581,7 @@ $PAD Sh "P10" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -590 983 $EndPAD $PAD @@ -3595,7 +3595,7 @@ $PAD Sh "P12" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 196 983 $EndPAD $PAD @@ -3609,7 +3609,7 @@ $PAD Sh "P14" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 983 983 $EndPAD $PAD @@ -3630,14 +3630,14 @@ $PAD Sh "P17" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 239 "/USB/USBD_VP" +Ne 0 "" Po 2165 983 $EndPAD $PAD Sh "P18" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 227 "/FPGA_Spartan6/USBD_VM" +Ne 0 "" Po 2558 983 $EndPAD $PAD @@ -3658,21 +3658,21 @@ $PAD Sh "P21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 210 "/FPGA_Spartan6/R_M1_DQ8" +Ne 209 "/FPGA_Spartan6/R_M1_DQ8" Po 3739 983 $EndPAD $PAD Sh "P22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 211 "/FPGA_Spartan6/R_M1_DQ9" +Ne 210 "/FPGA_Spartan6/R_M1_DQ9" Po 4133 983 $EndPAD $PAD Sh "R1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 160 "/FPGA_Spartan6/R_M0_DQ11" +Ne 159 "/FPGA_Spartan6/R_M0_DQ11" Po -4133 1377 $EndPAD $PAD @@ -3686,7 +3686,7 @@ $PAD Sh "R3" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 159 "/FPGA_Spartan6/R_M0_DQ10" +Ne 158 "/FPGA_Spartan6/R_M0_DQ10" Po -3346 1377 $EndPAD $PAD @@ -3700,7 +3700,7 @@ $PAD Sh "R5" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2558 1377 $EndPAD $PAD @@ -3791,7 +3791,7 @@ $PAD Sh "R18" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2558 1377 $EndPAD $PAD @@ -3805,7 +3805,7 @@ $PAD Sh "R20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 198 "/FPGA_Spartan6/R_M1_DQ10" +Ne 197 "/FPGA_Spartan6/R_M1_DQ10" Po 3346 1377 $EndPAD $PAD @@ -3819,7 +3819,7 @@ $PAD Sh "R22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 199 "/FPGA_Spartan6/R_M1_DQ11" +Ne 198 "/FPGA_Spartan6/R_M1_DQ11" Po 4133 1377 $EndPAD $PAD @@ -3833,7 +3833,7 @@ $PAD Sh "T2" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 177 "/FPGA_Spartan6/R_M0_UDQS" +Ne 176 "/FPGA_Spartan6/R_M0_UDQS" Po -3739 1771 $EndPAD $PAD @@ -3854,7 +3854,7 @@ $PAD Sh "T5" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 135 "/FPGA_Spartan6/PROG_CSO" +Ne 134 "/FPGA_Spartan6/PROG_CSO" Po -2558 1771 $EndPAD $PAD @@ -3966,7 +3966,7 @@ $PAD Sh "T21" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 216 "/FPGA_Spartan6/R_M1_UDQS" +Ne 215 "/FPGA_Spartan6/R_M1_UDQS" Po 3739 1771 $EndPAD $PAD @@ -3980,21 +3980,21 @@ $PAD Sh "U1" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 162 "/FPGA_Spartan6/R_M0_DQ13" +Ne 161 "/FPGA_Spartan6/R_M0_DQ13" Po -4133 2165 $EndPAD $PAD Sh "U2" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -3739 2165 $EndPAD $PAD Sh "U3" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 161 "/FPGA_Spartan6/R_M0_DQ12" +Ne 160 "/FPGA_Spartan6/R_M0_DQ12" Po -3346 2165 $EndPAD $PAD @@ -4022,7 +4022,7 @@ $PAD Sh "U7" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1771 2165 $EndPAD $PAD @@ -4064,14 +4064,14 @@ $PAD Sh "U13" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 139 "/FPGA_Spartan6/PROG_MISO3" +Ne 138 "/FPGA_Spartan6/PROG_MISO3" Po 590 2165 $EndPAD $PAD Sh "U14" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 138 "/FPGA_Spartan6/PROG_MISO2" +Ne 137 "/FPGA_Spartan6/PROG_MISO2" Po 983 2165 $EndPAD $PAD @@ -4113,35 +4113,35 @@ $PAD Sh "U20" O 158 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 200 "/FPGA_Spartan6/R_M1_DQ12" +Ne 199 "/FPGA_Spartan6/R_M1_DQ12" Po 3346 2165 $EndPAD $PAD Sh "U21" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 3739 2165 $EndPAD $PAD Sh "U22" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 201 "/FPGA_Spartan6/R_M1_DQ13" +Ne 200 "/FPGA_Spartan6/R_M1_DQ13" Po 4133 2165 $EndPAD $PAD Sh "V1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 164 "/FPGA_Spartan6/R_M0_DQ15" +Ne 163 "/FPGA_Spartan6/R_M0_DQ15" Po -4133 2558 $EndPAD $PAD Sh "V2" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 163 "/FPGA_Spartan6/R_M0_DQ14" +Ne 162 "/FPGA_Spartan6/R_M0_DQ14" Po -3739 2558 $EndPAD $PAD @@ -4155,7 +4155,7 @@ $PAD Sh "V4" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2952 2558 $EndPAD $PAD @@ -4197,7 +4197,7 @@ $PAD Sh "V10" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -590 2558 $EndPAD $PAD @@ -4225,7 +4225,7 @@ $PAD Sh "V14" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 983 2558 $EndPAD $PAD @@ -4274,14 +4274,14 @@ $PAD Sh "V21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 202 "/FPGA_Spartan6/R_M1_DQ14" +Ne 201 "/FPGA_Spartan6/R_M1_DQ14" Po 3739 2558 $EndPAD $PAD Sh "V22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 203 "/FPGA_Spartan6/R_M1_DQ15" +Ne 202 "/FPGA_Spartan6/R_M1_DQ15" Po 4133 2558 $EndPAD $PAD @@ -4330,7 +4330,7 @@ $PAD Sh "W7" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1771 2952 $EndPAD $PAD @@ -4393,7 +4393,7 @@ $PAD Sh "W16" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 1771 2952 $EndPAD $PAD @@ -4414,7 +4414,7 @@ $PAD Sh "W19" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2952 2952 $EndPAD $PAD @@ -4589,14 +4589,14 @@ $PAD Sh "Y22" O 157 158 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 241 "N-000264" +Ne 245 "N-000264" Po 4133 3346 $EndPAD $PAD Sh "AA1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 242 "N-000382" +Ne 246 "N-000382" Po -4133 3739 $EndPAD $PAD @@ -4624,7 +4624,7 @@ $PAD Sh "AA5" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2558 3739 $EndPAD $PAD @@ -4652,7 +4652,7 @@ $PAD Sh "AA9" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -983 3739 $EndPAD $PAD @@ -4680,7 +4680,7 @@ $PAD Sh "AA13" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 590 3739 $EndPAD $PAD @@ -4708,7 +4708,7 @@ $PAD Sh "AA17" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 2165 3739 $EndPAD $PAD @@ -4729,14 +4729,14 @@ $PAD Sh "AA20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 137 "/FPGA_Spartan6/PROG_MISO1" +Ne 136 "/FPGA_Spartan6/PROG_MISO1" Po 3346 3739 $EndPAD $PAD Sh "AA21" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 134 "/FPGA_Spartan6/PROG_CCLK" +Ne 133 "/FPGA_Spartan6/PROG_CCLK" Po 3739 3739 $EndPAD $PAD @@ -4750,7 +4750,7 @@ $PAD Sh "AB1" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -4133 4133 $EndPAD $PAD @@ -4883,7 +4883,7 @@ $PAD Sh "AB20" O 158 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 136 "/FPGA_Spartan6/PROG_MISO0" +Ne 135 "/FPGA_Spartan6/PROG_MISO0" Po 3346 4133 $EndPAD $PAD @@ -4897,7 +4897,7 @@ $PAD Sh "AB22" O 157 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 4133 4133 $EndPAD $EndMODULE FGG484bga-p10 @@ -4919,7 +4919,7 @@ $PAD Sh "PAD" R 904 628 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 0 0 $EndPAD $PAD @@ -4940,7 +4940,7 @@ $PAD Sh "2" R 99 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -196 491 $EndPAD $PAD @@ -4982,7 +4982,7 @@ $PAD Sh "5" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 393 491 $EndPAD $PAD @@ -5017,7 +5017,7 @@ $PAD Sh "2" R 157 236 0 0 1350 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -5045,7 +5045,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -5066,14 +5066,14 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 176 "/FPGA_Spartan6/R_M0_UDM" +Ne 175 "/FPGA_Spartan6/R_M0_UDM" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 92 "/FPGA_Spartan6/M0_UDM" +Ne 24 "/DDR_Banks/M0_UDM" Po 176 0 $EndPAD $EndMODULE 0402 @@ -5094,14 +5094,14 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 177 "/FPGA_Spartan6/R_M0_UDQS" +Ne 176 "/FPGA_Spartan6/R_M0_UDQS" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 93 "/FPGA_Spartan6/M0_UDQS" +Ne 25 "/DDR_Banks/M0_UDQS" Po 176 0 $EndPAD $EndMODULE 0402 @@ -5122,7 +5122,7 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 156 "/FPGA_Spartan6/R_M0_CKE" +Ne 155 "/FPGA_Spartan6/R_M0_CKE" Po -176 0 $EndPAD $PAD @@ -5150,14 +5150,14 @@ $PAD Sh "1" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 78 "/FPGA_Spartan6/M0_CLK" +Ne 16 "/DDR_Banks/M0_CLK" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 79 "/FPGA_Spartan6/M0_CLK#" +Ne 86 "/FPGA_Spartan6/M0_CLK#" Po 176 0 $EndPAD $EndMODULE 0402 @@ -5176,56 +5176,56 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 140 "/FPGA_Spartan6/R_M0_A0" +Ne 139 "/FPGA_Spartan6/R_M0_A0" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 141 "/FPGA_Spartan6/R_M0_A1" +Ne 140 "/FPGA_Spartan6/R_M0_A1" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 145 "/FPGA_Spartan6/R_M0_A2" +Ne 144 "/FPGA_Spartan6/R_M0_A2" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 146 "/FPGA_Spartan6/R_M0_A3" +Ne 145 "/FPGA_Spartan6/R_M0_A3" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 12 "/DDR_Banks/M0_A3" +Ne 80 "/FPGA_Spartan6/M0_A3" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 70 "/FPGA_Spartan6/M0_A2" +Ne 79 "/FPGA_Spartan6/M0_A2" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 67 "/FPGA_Spartan6/M0_A1" +Ne 76 "/FPGA_Spartan6/M0_A1" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 10 "/DDR_Banks/M0_A0" +Ne 75 "/FPGA_Spartan6/M0_A0" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5244,56 +5244,56 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 175 "/FPGA_Spartan6/R_M0_RAS#" +Ne 174 "/FPGA_Spartan6/R_M0_RAS#" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 153 "/FPGA_Spartan6/R_M0_BA0" +Ne 152 "/FPGA_Spartan6/R_M0_BA0" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 154 "/FPGA_Spartan6/R_M0_BA1" +Ne 153 "/FPGA_Spartan6/R_M0_BA1" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 142 "/FPGA_Spartan6/R_M0_A10" +Ne 141 "/FPGA_Spartan6/R_M0_A10" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 68 "/FPGA_Spartan6/M0_A10" +Ne 77 "/FPGA_Spartan6/M0_A10" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 76 "/FPGA_Spartan6/M0_BA1" +Ne 84 "/FPGA_Spartan6/M0_BA1" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 75 "/FPGA_Spartan6/M0_BA0" +Ne 14 "/DDR_Banks/M0_BA0" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 91 "/FPGA_Spartan6/M0_RAS#" +Ne 23 "/DDR_Banks/M0_RAS#" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5312,56 +5312,56 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 174 "/FPGA_Spartan6/R_M0_LDQS" +Ne 173 "/FPGA_Spartan6/R_M0_LDQS" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 173 "/FPGA_Spartan6/R_M0_LDM" +Ne 172 "/FPGA_Spartan6/R_M0_LDM" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 178 "/FPGA_Spartan6/R_M0_WE#" +Ne 177 "/FPGA_Spartan6/R_M0_WE#" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 155 "/FPGA_Spartan6/R_M0_CAS#" +Ne 154 "/FPGA_Spartan6/R_M0_CAS#" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 77 "/FPGA_Spartan6/M0_CAS#" +Ne 85 "/FPGA_Spartan6/M0_CAS#" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 94 "/FPGA_Spartan6/M0_WE#" +Ne 27 "/DDR_Banks/M0_WE#" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 21 "/DDR_Banks/M0_LDM" +Ne 97 "/FPGA_Spartan6/M0_LDM" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 22 "/DDR_Banks/M0_LDQS" +Ne 98 "/FPGA_Spartan6/M0_LDQS" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5380,56 +5380,56 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 150 "/FPGA_Spartan6/R_M0_A7" +Ne 149 "/FPGA_Spartan6/R_M0_A7" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 149 "/FPGA_Spartan6/R_M0_A6" +Ne 148 "/FPGA_Spartan6/R_M0_A6" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 148 "/FPGA_Spartan6/R_M0_A5" +Ne 147 "/FPGA_Spartan6/R_M0_A5" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 147 "/FPGA_Spartan6/R_M0_A4" +Ne 146 "/FPGA_Spartan6/R_M0_A4" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 13 "/DDR_Banks/M0_A4" +Ne 81 "/FPGA_Spartan6/M0_A4" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 71 "/FPGA_Spartan6/M0_A5" +Ne 11 "/DDR_Banks/M0_A5" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 72 "/FPGA_Spartan6/M0_A6" +Ne 12 "/DDR_Banks/M0_A6" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 73 "/FPGA_Spartan6/M0_A7" +Ne 82 "/FPGA_Spartan6/M0_A7" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5448,56 +5448,56 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 144 "/FPGA_Spartan6/R_M0_A12" +Ne 143 "/FPGA_Spartan6/R_M0_A12" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 143 "/FPGA_Spartan6/R_M0_A11" +Ne 142 "/FPGA_Spartan6/R_M0_A11" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 152 "/FPGA_Spartan6/R_M0_A9" +Ne 151 "/FPGA_Spartan6/R_M0_A9" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 151 "/FPGA_Spartan6/R_M0_A8" +Ne 150 "/FPGA_Spartan6/R_M0_A8" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 74 "/FPGA_Spartan6/M0_A8" +Ne 13 "/DDR_Banks/M0_A8" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 14 "/DDR_Banks/M0_A9" +Ne 83 "/FPGA_Spartan6/M0_A9" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 11 "/DDR_Banks/M0_A11" +Ne 78 "/FPGA_Spartan6/M0_A11" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 69 "/FPGA_Spartan6/M0_A12" +Ne 10 "/DDR_Banks/M0_A12" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5516,56 +5516,56 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 167 "/FPGA_Spartan6/R_M0_DQ4" +Ne 166 "/FPGA_Spartan6/R_M0_DQ4" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 168 "/FPGA_Spartan6/R_M0_DQ5" +Ne 167 "/FPGA_Spartan6/R_M0_DQ5" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 169 "/FPGA_Spartan6/R_M0_DQ6" +Ne 168 "/FPGA_Spartan6/R_M0_DQ6" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 170 "/FPGA_Spartan6/R_M0_DQ7" +Ne 169 "/FPGA_Spartan6/R_M0_DQ7" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 20 "/DDR_Banks/M0_DQ7" +Ne 95 "/FPGA_Spartan6/M0_DQ7" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 88 "/FPGA_Spartan6/M0_DQ6" +Ne 94 "/FPGA_Spartan6/M0_DQ6" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 19 "/DDR_Banks/M0_DQ5" +Ne 21 "/DDR_Banks/M0_DQ5" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 18 "/DDR_Banks/M0_DQ4" +Ne 93 "/FPGA_Spartan6/M0_DQ4" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5584,56 +5584,56 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 157 "/FPGA_Spartan6/R_M0_DQ0" +Ne 156 "/FPGA_Spartan6/R_M0_DQ0" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 158 "/FPGA_Spartan6/R_M0_DQ1" +Ne 157 "/FPGA_Spartan6/R_M0_DQ1" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 165 "/FPGA_Spartan6/R_M0_DQ2" +Ne 164 "/FPGA_Spartan6/R_M0_DQ2" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 166 "/FPGA_Spartan6/R_M0_DQ3" +Ne 165 "/FPGA_Spartan6/R_M0_DQ3" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 87 "/FPGA_Spartan6/M0_DQ3" +Ne 92 "/FPGA_Spartan6/M0_DQ3" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 86 "/FPGA_Spartan6/M0_DQ2" +Ne 20 "/DDR_Banks/M0_DQ2" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 81 "/FPGA_Spartan6/M0_DQ1" +Ne 18 "/DDR_Banks/M0_DQ1" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 80 "/FPGA_Spartan6/M0_DQ0" +Ne 17 "/DDR_Banks/M0_DQ0" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5652,56 +5652,56 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 171 "/FPGA_Spartan6/R_M0_DQ8" +Ne 170 "/FPGA_Spartan6/R_M0_DQ8" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 172 "/FPGA_Spartan6/R_M0_DQ9" +Ne 171 "/FPGA_Spartan6/R_M0_DQ9" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 159 "/FPGA_Spartan6/R_M0_DQ10" +Ne 158 "/FPGA_Spartan6/R_M0_DQ10" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 160 "/FPGA_Spartan6/R_M0_DQ11" +Ne 159 "/FPGA_Spartan6/R_M0_DQ11" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 82 "/FPGA_Spartan6/M0_DQ11" +Ne 88 "/FPGA_Spartan6/M0_DQ11" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 16 "/DDR_Banks/M0_DQ10" +Ne 87 "/FPGA_Spartan6/M0_DQ10" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 90 "/FPGA_Spartan6/M0_DQ9" +Ne 96 "/FPGA_Spartan6/M0_DQ9" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 89 "/FPGA_Spartan6/M0_DQ8" +Ne 22 "/DDR_Banks/M0_DQ8" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5720,56 +5720,56 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 161 "/FPGA_Spartan6/R_M0_DQ12" +Ne 160 "/FPGA_Spartan6/R_M0_DQ12" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 162 "/FPGA_Spartan6/R_M0_DQ13" +Ne 161 "/FPGA_Spartan6/R_M0_DQ13" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 163 "/FPGA_Spartan6/R_M0_DQ14" +Ne 162 "/FPGA_Spartan6/R_M0_DQ14" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 164 "/FPGA_Spartan6/R_M0_DQ15" +Ne 163 "/FPGA_Spartan6/R_M0_DQ15" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 85 "/FPGA_Spartan6/M0_DQ15" +Ne 19 "/DDR_Banks/M0_DQ15" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 17 "/DDR_Banks/M0_DQ14" +Ne 91 "/FPGA_Spartan6/M0_DQ14" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 84 "/FPGA_Spartan6/M0_DQ13" +Ne 90 "/FPGA_Spartan6/M0_DQ13" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 83 "/FPGA_Spartan6/M0_DQ12" +Ne 89 "/FPGA_Spartan6/M0_DQ12" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -5791,28 +5791,28 @@ $PAD Sh "PAD" R 433 433 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -235 235 $EndPAD $PAD Sh "PAD" R 433 433 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -235 -235 $EndPAD $PAD Sh "PAD" R 433 433 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 235 235 $EndPAD $PAD Sh "PAD" R 433 433 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 235 -235 $EndPAD $PAD @@ -5924,7 +5924,7 @@ $PAD Sh "8" R 157 98 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 688 0 $EndPAD $PAD @@ -5973,14 +5973,14 @@ $PAD Sh "1" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 216 "/FPGA_Spartan6/R_M1_UDQS" +Ne 215 "/FPGA_Spartan6/R_M1_UDQS" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 125 "/FPGA_Spartan6/M1_UDQS" +Ne 42 "/DDR_Banks/M1_UDQS" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6001,14 +6001,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 195 "/FPGA_Spartan6/R_M1_CS#" +Ne 194 "/FPGA_Spartan6/R_M1_CS#" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 30 "/DDR_Banks/M1_CS#" +Ne 110 "/FPGA_Spartan6/M1_CS#" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6029,14 +6029,14 @@ $PAD Sh "1" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 194 "/FPGA_Spartan6/R_M1_CKE" +Ne 193 "/FPGA_Spartan6/R_M1_CKE" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 106 "/FPGA_Spartan6/M1_CKE" +Ne 34 "/DDR_Banks/M1_CKE" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6057,7 +6057,7 @@ $PAD Sh "1" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 215 "/FPGA_Spartan6/R_M1_UDM" +Ne 214 "/FPGA_Spartan6/R_M1_UDM" Po -176 0 $EndPAD $PAD @@ -6083,56 +6083,56 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 114 "/FPGA_Spartan6/M1_DQ15" +Ne 116 "/FPGA_Spartan6/M1_DQ15" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 113 "/FPGA_Spartan6/M1_DQ14" +Ne 115 "/FPGA_Spartan6/M1_DQ14" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 112 "/FPGA_Spartan6/M1_DQ13" +Ne 114 "/FPGA_Spartan6/M1_DQ13" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 111 "/FPGA_Spartan6/M1_DQ12" +Ne 37 "/DDR_Banks/M1_DQ12" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 200 "/FPGA_Spartan6/R_M1_DQ12" +Ne 199 "/FPGA_Spartan6/R_M1_DQ12" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 201 "/FPGA_Spartan6/R_M1_DQ13" +Ne 200 "/FPGA_Spartan6/R_M1_DQ13" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 202 "/FPGA_Spartan6/R_M1_DQ14" +Ne 201 "/FPGA_Spartan6/R_M1_DQ14" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 203 "/FPGA_Spartan6/R_M1_DQ15" +Ne 202 "/FPGA_Spartan6/R_M1_DQ15" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -6151,21 +6151,21 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 110 "/FPGA_Spartan6/M1_DQ11" +Ne 113 "/FPGA_Spartan6/M1_DQ11" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 109 "/FPGA_Spartan6/M1_DQ10" +Ne 36 "/DDR_Banks/M1_DQ10" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 33 "/DDR_Banks/M1_DQ9" +Ne 121 "/FPGA_Spartan6/M1_DQ9" Po 98 -177 $EndPAD $PAD @@ -6179,28 +6179,28 @@ $PAD Sh "5" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 210 "/FPGA_Spartan6/R_M1_DQ8" +Ne 209 "/FPGA_Spartan6/R_M1_DQ8" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 211 "/FPGA_Spartan6/R_M1_DQ9" +Ne 210 "/FPGA_Spartan6/R_M1_DQ9" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 198 "/FPGA_Spartan6/R_M1_DQ10" +Ne 197 "/FPGA_Spartan6/R_M1_DQ10" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 199 "/FPGA_Spartan6/R_M1_DQ11" +Ne 198 "/FPGA_Spartan6/R_M1_DQ11" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -6221,14 +6221,14 @@ $PAD Sh "1" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 29 "/DDR_Banks/M1_CLK#" +Ne 109 "/FPGA_Spartan6/M1_CLK#" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 107 "/FPGA_Spartan6/M1_CLK" +Ne 35 "/DDR_Banks/M1_CLK" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6247,56 +6247,56 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 97 "/FPGA_Spartan6/M1_A12" +Ne 29 "/DDR_Banks/M1_A12" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 25 "/DDR_Banks/M1_A11" +Ne 101 "/FPGA_Spartan6/M1_A11" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 104 "/FPGA_Spartan6/M1_A9" +Ne 32 "/DDR_Banks/M1_A9" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 103 "/FPGA_Spartan6/M1_A8" +Ne 31 "/DDR_Banks/M1_A8" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 189 "/FPGA_Spartan6/R_M1_A8" +Ne 188 "/FPGA_Spartan6/R_M1_A8" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 190 "/FPGA_Spartan6/R_M1_A9" +Ne 189 "/FPGA_Spartan6/R_M1_A9" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 182 "/FPGA_Spartan6/R_M1_A11" +Ne 181 "/FPGA_Spartan6/R_M1_A11" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 183 "/FPGA_Spartan6/R_M1_A12" +Ne 182 "/FPGA_Spartan6/R_M1_A12" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -6315,28 +6315,28 @@ $PAD Sh "1" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 102 "/FPGA_Spartan6/M1_A7" +Ne 106 "/FPGA_Spartan6/M1_A7" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 26 "/DDR_Banks/M1_A6" +Ne 105 "/FPGA_Spartan6/M1_A6" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 101 "/FPGA_Spartan6/M1_A5" +Ne 30 "/DDR_Banks/M1_A5" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 100 "/FPGA_Spartan6/M1_A4" +Ne 104 "/FPGA_Spartan6/M1_A4" Po 295 -177 $EndPAD $PAD @@ -6350,21 +6350,21 @@ $PAD Sh "6" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 186 "/FPGA_Spartan6/R_M1_A5" +Ne 185 "/FPGA_Spartan6/R_M1_A5" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 187 "/FPGA_Spartan6/R_M1_A6" +Ne 186 "/FPGA_Spartan6/R_M1_A6" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 188 "/FPGA_Spartan6/R_M1_A7" +Ne 187 "/FPGA_Spartan6/R_M1_A7" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -6383,56 +6383,56 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 196 "/FPGA_Spartan6/R_M1_DQ0" +Ne 195 "/FPGA_Spartan6/R_M1_DQ0" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 197 "/FPGA_Spartan6/R_M1_DQ1" +Ne 196 "/FPGA_Spartan6/R_M1_DQ1" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 204 "/FPGA_Spartan6/R_M1_DQ2" +Ne 203 "/FPGA_Spartan6/R_M1_DQ2" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 205 "/FPGA_Spartan6/R_M1_DQ3" +Ne 204 "/FPGA_Spartan6/R_M1_DQ3" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 116 "/FPGA_Spartan6/M1_DQ3" +Ne 38 "/DDR_Banks/M1_DQ3" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 115 "/FPGA_Spartan6/M1_DQ2" +Ne 117 "/FPGA_Spartan6/M1_DQ2" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 108 "/FPGA_Spartan6/M1_DQ1" +Ne 112 "/FPGA_Spartan6/M1_DQ1" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 31 "/DDR_Banks/M1_DQ0" +Ne 111 "/FPGA_Spartan6/M1_DQ0" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -6451,49 +6451,49 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 213 "/FPGA_Spartan6/R_M1_LDQS" +Ne 212 "/FPGA_Spartan6/R_M1_LDQS" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 212 "/FPGA_Spartan6/R_M1_LDM" +Ne 211 "/FPGA_Spartan6/R_M1_LDM" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 217 "/FPGA_Spartan6/R_M1_WE#" +Ne 216 "/FPGA_Spartan6/R_M1_WE#" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 193 "/FPGA_Spartan6/R_M1_CAS#" +Ne 192 "/FPGA_Spartan6/R_M1_CAS#" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 105 "/FPGA_Spartan6/M1_CAS#" +Ne 108 "/FPGA_Spartan6/M1_CAS#" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 35 "/DDR_Banks/M1_WE#" +Ne 125 "/FPGA_Spartan6/M1_WE#" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 121 "/FPGA_Spartan6/M1_LDM" +Ne 41 "/DDR_Banks/M1_LDM" Po -98 177 $EndPAD $PAD @@ -6519,28 +6519,28 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 206 "/FPGA_Spartan6/R_M1_DQ4" +Ne 205 "/FPGA_Spartan6/R_M1_DQ4" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 207 "/FPGA_Spartan6/R_M1_DQ5" +Ne 206 "/FPGA_Spartan6/R_M1_DQ5" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 208 "/FPGA_Spartan6/R_M1_DQ6" +Ne 207 "/FPGA_Spartan6/R_M1_DQ6" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 209 "/FPGA_Spartan6/R_M1_DQ7" +Ne 208 "/FPGA_Spartan6/R_M1_DQ7" Po 295 -177 $EndPAD $PAD @@ -6554,21 +6554,21 @@ $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 118 "/FPGA_Spartan6/M1_DQ6" +Ne 40 "/DDR_Banks/M1_DQ6" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 117 "/FPGA_Spartan6/M1_DQ5" +Ne 39 "/DDR_Banks/M1_DQ5" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 32 "/DDR_Banks/M1_DQ4" +Ne 118 "/FPGA_Spartan6/M1_DQ4" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -6587,49 +6587,49 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 214 "/FPGA_Spartan6/R_M1_RAS#" +Ne 213 "/FPGA_Spartan6/R_M1_RAS#" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 191 "/FPGA_Spartan6/R_M1_BA0" +Ne 190 "/FPGA_Spartan6/R_M1_BA0" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 192 "/FPGA_Spartan6/R_M1_BA1" +Ne 191 "/FPGA_Spartan6/R_M1_BA1" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 181 "/FPGA_Spartan6/R_M1_A10" +Ne 180 "/FPGA_Spartan6/R_M1_A10" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 96 "/FPGA_Spartan6/M1_A10" +Ne 100 "/FPGA_Spartan6/M1_A10" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 28 "/DDR_Banks/M1_BA1" +Ne 107 "/FPGA_Spartan6/M1_BA1" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 27 "/DDR_Banks/M1_BA0" +Ne 33 "/DDR_Banks/M1_BA0" Po -98 177 $EndPAD $PAD @@ -6655,56 +6655,56 @@ $PAD Sh "1" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 179 "/FPGA_Spartan6/R_M1_A0" +Ne 178 "/FPGA_Spartan6/R_M1_A0" Po -295 -177 $EndPAD $PAD Sh "2" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 180 "/FPGA_Spartan6/R_M1_A1" +Ne 179 "/FPGA_Spartan6/R_M1_A1" Po -98 -177 $EndPAD $PAD Sh "3" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 184 "/FPGA_Spartan6/R_M1_A2" +Ne 183 "/FPGA_Spartan6/R_M1_A2" Po 98 -177 $EndPAD $PAD Sh "4" R 118 157 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 185 "/FPGA_Spartan6/R_M1_A3" +Ne 184 "/FPGA_Spartan6/R_M1_A3" Po 295 -177 $EndPAD $PAD Sh "5" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 99 "/FPGA_Spartan6/M1_A3" +Ne 103 "/FPGA_Spartan6/M1_A3" Po 295 177 $EndPAD $PAD Sh "6" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 98 "/FPGA_Spartan6/M1_A2" +Ne 102 "/FPGA_Spartan6/M1_A2" Po 98 177 $EndPAD $PAD Sh "7" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 24 "/DDR_Banks/M1_A1" +Ne 99 "/FPGA_Spartan6/M1_A1" Po -98 177 $EndPAD $PAD Sh "8" R 118 157 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 95 "/FPGA_Spartan6/M1_A0" +Ne 28 "/DDR_Banks/M1_A0" Po -295 177 $EndPAD $EndMODULE R_PACK4-0402 @@ -6725,7 +6725,7 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -176 0 $EndPAD $PAD @@ -6753,14 +6753,14 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 36 "/Ethernet_Phy/ETH_A1.8V" +Ne 44 "/Ethernet_Phy/ETH_A1.8V" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 42 "/Ethernet_Phy/ETH_PLL1.8V" +Ne 52 "/Ethernet_Phy/ETH_PLL1.8V" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6788,7 +6788,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 36 "/Ethernet_Phy/ETH_A1.8V" +Ne 44 "/Ethernet_Phy/ETH_A1.8V" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6816,7 +6816,7 @@ $PAD Sh "2" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -6844,7 +6844,7 @@ $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6872,7 +6872,7 @@ $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6900,7 +6900,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6928,7 +6928,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -6962,49 +6962,49 @@ $PAD Sh "1" R 200 450 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 135 "/FPGA_Spartan6/PROG_CSO" +Ne 134 "/FPGA_Spartan6/PROG_CSO" Po -750 1050 $EndPAD $PAD Sh "7" R 200 450 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 139 "/FPGA_Spartan6/PROG_MISO3" +Ne 138 "/FPGA_Spartan6/PROG_MISO3" Po -250 -1050 $EndPAD $PAD Sh "6" R 200 450 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 134 "/FPGA_Spartan6/PROG_CCLK" +Ne 133 "/FPGA_Spartan6/PROG_CCLK" Po 250 -1050 $EndPAD $PAD Sh "5" R 200 450 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 136 "/FPGA_Spartan6/PROG_MISO0" +Ne 135 "/FPGA_Spartan6/PROG_MISO0" Po 750 -1050 $EndPAD $PAD Sh "2" R 200 450 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 137 "/FPGA_Spartan6/PROG_MISO1" +Ne 136 "/FPGA_Spartan6/PROG_MISO1" Po -250 1050 $EndPAD $PAD Sh "3" R 200 450 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 138 "/FPGA_Spartan6/PROG_MISO2" +Ne 137 "/FPGA_Spartan6/PROG_MISO2" Po 250 1050 $EndPAD $PAD Sh "4" R 200 450 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 750 1050 $EndPAD $SHAPE3D @@ -7038,7 +7038,7 @@ $PAD Sh "2" R 355 668 0 0 1800 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1206 @@ -7066,7 +7066,7 @@ $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7094,7 +7094,7 @@ $PAD Sh "2" R 355 984 0 0 1800 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1210 @@ -7122,7 +7122,7 @@ $PAD Sh "2" R 355 984 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1210 @@ -7150,7 +7150,7 @@ $PAD Sh "2" R 355 984 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1210 @@ -7178,7 +7178,7 @@ $PAD Sh "2" R 355 984 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1210 @@ -7206,7 +7206,7 @@ $PAD Sh "2" R 355 984 0 0 1800 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1210 @@ -7234,7 +7234,7 @@ $PAD Sh "2" R 355 984 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1210 @@ -7262,7 +7262,7 @@ $PAD Sh "2" R 275 510 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -7290,7 +7290,7 @@ $PAD Sh "2" R 275 510 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -7318,7 +7318,7 @@ $PAD Sh "2" R 275 510 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -7346,7 +7346,7 @@ $PAD Sh "2" R 275 510 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -7374,7 +7374,7 @@ $PAD Sh "2" R 275 510 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -7402,7 +7402,7 @@ $PAD Sh "2" R 275 510 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -7430,7 +7430,7 @@ $PAD Sh "2" R 275 510 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -7458,7 +7458,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7486,7 +7486,7 @@ $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7514,7 +7514,7 @@ $PAD Sh "2" R 157 236 0 0 2250 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7542,7 +7542,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7570,7 +7570,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7598,7 +7598,7 @@ $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7626,7 +7626,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7654,7 +7654,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7682,7 +7682,7 @@ $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7710,7 +7710,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7738,7 +7738,7 @@ $PAD Sh "2" R 157 236 0 0 1350 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7766,7 +7766,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7794,7 +7794,7 @@ $PAD Sh "2" R 157 236 0 0 450 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7822,7 +7822,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7850,7 +7850,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7878,7 +7878,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -7906,66 +7906,10 @@ $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 -$MODULE 0805 -Po 62236 25969 0 15 4C5FF890 4C6552BE ~~ -Li 0805 -Sc 4C6552BE -AR /4C5F1EDC/4C6552BE -Op 0 0 0 -At SMD -T0 0 -150 200 200 0 40 N V 25 N"C35" -T1 0 150 200 200 0 40 N I 25 N"1uF" -DS -561 305 -561 -305 50 21 -DS -561 -305 561 -305 50 21 -DS 561 -305 561 305 50 21 -DS 561 305 -561 305 50 21 -$PAD -Sh "1" R 275 510 0 0 0 -Dr 0 0 0 -At SMD N 00888000 -Ne 4 "+3.3V" -Po -373 0 -$EndPAD -$PAD -Sh "2" R 275 510 0 0 0 -Dr 0 0 0 -At SMD N 00888000 -Ne 240 "GND" -Po 373 0 -$EndPAD -$EndMODULE 0805 -$MODULE 0805 -Po 63614 25996 0 15 4C5FF890 4C6552BD ~~ -Li 0805 -Sc 4C6552BD -AR /4C5F1EDC/4C6552BD -Op 0 0 0 -At SMD -T0 0 -150 200 200 0 40 N V 25 N"C36" -T1 0 150 200 200 0 40 N I 25 N"1uF" -DS -561 305 -561 -305 50 21 -DS -561 -305 561 -305 50 21 -DS 561 -305 561 305 50 21 -DS 561 305 -561 305 50 21 -$PAD -Sh "1" R 275 510 0 0 0 -Dr 0 0 0 -At SMD N 00888000 -Ne 4 "+3.3V" -Po -373 0 -$EndPAD -$PAD -Sh "2" R 275 510 0 0 0 -Dr 0 0 0 -At SMD N 00888000 -Ne 240 "GND" -Po 373 0 -$EndPAD -$EndMODULE 0805 $MODULE 0603 Po 63909 27335 0 15 4C5FF890 4C6552B8 ~~ Li 0603 @@ -7983,14 +7927,14 @@ $PAD Sh "1" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 249 "N-000422" +Ne 240 "/USB/USBD_D+" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -8011,42 +7955,42 @@ $PAD Sh "1" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 248 "N-000421" +Ne 241 "/USB/USBD_D-" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 $MODULE 0402 -Po 61756 26673 0 15 4C5FF890 4C6552BC ~~ +Po 56575 26291 900 0 4C5FF890 4C6552BC ~~ Li 0402 Sc 4C6552BC AR /4C5F1EDC/4C6552BC Op 0 0 0 At SMD -T0 0 -150 200 200 0 40 N V 25 N"C37" -T1 0 150 200 200 0 40 N I 25 N"470nF" -DS -305 168 -305 -168 50 21 -DS -305 -168 305 -168 50 21 -DS 305 -168 305 168 50 21 -DS 305 168 -305 168 50 21 +T0 0 150 200 200 900 40 M V 20 N"C37" +T1 0 -150 200 200 900 40 M I 20 N"100nF" +DS -305 -168 -305 168 50 20 +DS -305 168 305 168 50 20 +DS 305 168 305 -168 50 20 +DS 305 -168 -305 -168 50 20 $PAD -Sh "1" R 157 236 0 0 0 +Sh "1" R 157 236 0 0 900 Dr 0 0 0 -At SMD N 00888000 +At SMD N 00440001 Ne 3 "+2.5V" Po -176 0 $EndPAD $PAD -Sh "2" R 157 236 0 0 0 +Sh "2" R 157 236 0 0 900 Dr 0 0 0 -At SMD N 00888000 -Ne 240 "GND" +At SMD N 00440001 +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8067,14 +8011,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 256 "N-000430" +Ne 254 "N-000429" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8095,14 +8039,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 256 "N-000430" +Ne 254 "N-000429" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8130,7 +8074,7 @@ $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -8151,14 +8095,14 @@ $PAD Sh "1" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 254 "N-000427" +Ne 253 "N-000427" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 252 "N-000425" +Ne 252 "N-000426" Po 294 0 $EndPAD $EndMODULE 0603 @@ -8179,14 +8123,14 @@ $PAD Sh "1" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 253 "N-000426" +Ne 256 "N-000432" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -8207,14 +8151,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 34 "/DDR_Banks/M1_VREF" +Ne 43 "/DDR_Banks/M1_VREF" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8242,7 +8186,7 @@ $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -8270,7 +8214,7 @@ $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -8298,7 +8242,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8326,7 +8270,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8354,7 +8298,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8382,7 +8326,7 @@ $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8410,7 +8354,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8438,7 +8382,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8466,7 +8410,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8494,7 +8438,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8522,7 +8466,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8550,7 +8494,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8578,7 +8522,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 34 "/DDR_Banks/M1_VREF" +Ne 43 "/DDR_Banks/M1_VREF" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8599,14 +8543,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 23 "/DDR_Banks/M0_VREF" +Ne 26 "/DDR_Banks/M0_VREF" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8634,7 +8578,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 23 "/DDR_Banks/M0_VREF" +Ne 26 "/DDR_Banks/M0_VREF" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8662,7 +8606,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 34 "/DDR_Banks/M1_VREF" +Ne 43 "/DDR_Banks/M1_VREF" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8683,14 +8627,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 34 "/DDR_Banks/M1_VREF" +Ne 43 "/DDR_Banks/M1_VREF" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8711,14 +8655,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 23 "/DDR_Banks/M0_VREF" +Ne 26 "/DDR_Banks/M0_VREF" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8746,7 +8690,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 23 "/DDR_Banks/M0_VREF" +Ne 26 "/DDR_Banks/M0_VREF" Po 176 0 $EndPAD $EndMODULE 0402 @@ -8772,56 +8716,56 @@ $PAD Sh "1" R 470 470 0 0 1800 Dr 360 0 0 At STD N 0CC0FFFF -Ne 252 "N-000425" +Ne 252 "N-000426" Po 0 -2362 $EndPAD $PAD Sh "2" C 470 470 0 0 1800 Dr 360 0 0 At STD N 0CC0FFFF -Ne 250 "N-000423" +Ne 237 "/USB/USBA_D-" Po 0 -1575 $EndPAD $PAD Sh "3" C 470 470 0 0 1800 Dr 360 0 0 At STD N 0CC0FFFF -Ne 251 "N-000424" +Ne 236 "/USB/USBA_D+" Po 0 -787 $EndPAD $PAD Sh "3" C 470 470 0 0 1800 Dr 360 0 0 At STD N 0CC0FFFF -Ne 251 "N-000424" +Ne 236 "/USB/USBA_D+" Po 0 0 $EndPAD $PAD Sh "S1" C 670 670 0 0 1800 Dr 532 0 0 At STD N 0CC0FFFF -Ne 255 "N-000428" +Ne 255 "N-000431" Po 1077 287 $EndPAD $PAD Sh "S2" C 670 670 0 0 1800 Dr 532 0 0 At STD N 0CC0FFFF -Ne 255 "N-000428" +Ne 255 "N-000431" Po -1077 287 $EndPAD $PAD Sh "S3" C 670 670 0 0 1800 Dr 532 0 0 At STD N 0CC0FFFF -Ne 255 "N-000428" +Ne 255 "N-000431" Po 1077 -2468 $EndPAD $PAD Sh "S4" C 670 670 0 0 1800 Dr 532 0 0 At STD N 0CC0FFFF -Ne 255 "N-000428" +Ne 255 "N-000431" Po -1077 -2468 $EndPAD $EndMODULE USB-48204 @@ -8842,7 +8786,7 @@ $PAD Sh "1" R 355 984 0 0 1800 Dr 0 0 0 At SMD N 00888000 -Ne 254 "N-000427" +Ne 253 "N-000427" Po -570 0 $EndPAD $PAD @@ -8877,7 +8821,7 @@ $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -8898,98 +8842,42 @@ $PAD Sh "1" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 37 "/Ethernet_Phy/ETH_A3.3V" +Ne 45 "/Ethernet_Phy/ETH_A3.3V" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 $MODULE 0603 -Po 55500 26343 1800 0 4C5FF890 4C5F2033 ~~ +Po 55165 25685 0 0 4C5FF890 4C5F2033 ~~ Li 0603 Sc 4C5F2033 AR /4C5F1EDC/4C5F2033 Op 0 0 0 At SMD -T0 0 150 200 200 1800 40 M V 20 N"C13" -T1 0 -150 200 200 1800 40 M I 20 N"1uF" +T0 0 150 200 200 0 40 M V 20 N"C13" +T1 0 -150 200 200 0 40 M I 20 N"1uF" DS -443 -227 -443 227 50 20 DS -443 227 443 227 50 20 DS 443 227 443 -227 50 20 DS 443 -227 -443 -227 50 20 $PAD -Sh "1" R 197 354 0 0 1800 +Sh "1" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00440001 Ne 4 "+3.3V" Po -294 0 $EndPAD $PAD -Sh "2" R 197 354 0 0 1800 +Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" -Po 294 0 -$EndPAD -$EndMODULE 0603 -$MODULE 0603 -Po 55484 25740 1800 0 4C5FF890 4C5F2037 ~~ -Li 0603 -Sc 4C5F2037 -AR /4C5F1EDC/4C5F2037 -Op 0 0 0 -At SMD -T0 0 150 200 200 1800 40 M V 20 N"C14" -T1 0 -150 200 200 1800 40 M I 20 N"1uF" -DS -443 -227 -443 227 50 20 -DS -443 227 443 227 50 20 -DS 443 227 443 -227 50 20 -DS 443 -227 -443 -227 50 20 -$PAD -Sh "1" R 197 354 0 0 1800 -Dr 0 0 0 -At SMD N 00440001 -Ne 4 "+3.3V" -Po -294 0 -$EndPAD -$PAD -Sh "2" R 197 354 0 0 1800 -Dr 0 0 0 -At SMD N 00440001 -Ne 240 "GND" -Po 294 0 -$EndPAD -$EndMODULE 0603 -$MODULE 0603 -Po 56791 25996 1800 0 4C5FF890 4C5F2039 ~~ -Li 0603 -Sc 4C5F2039 -AR /4C5F1EDC/4C5F2039 -Op 0 0 0 -At SMD -T0 0 150 200 200 1800 40 M V 20 N"C15" -T1 0 -150 200 200 1800 40 M I 20 N"470nF" -DS -443 -227 -443 227 50 20 -DS -443 227 443 227 50 20 -DS 443 227 443 -227 50 20 -DS 443 -227 -443 -227 50 20 -$PAD -Sh "1" R 197 354 0 0 1800 -Dr 0 0 0 -At SMD N 00440001 -Ne 3 "+2.5V" -Po -294 0 -$EndPAD -$PAD -Sh "2" R 197 354 0 0 1800 -Dr 0 0 0 -At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -9010,14 +8898,14 @@ $PAD Sh "1" R 197 354 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 251 "N-000424" +Ne 236 "/USB/USBA_D+" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 2700 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -9038,14 +8926,14 @@ $PAD Sh "1" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 250 "N-000423" +Ne 237 "/USB/USBA_D-" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -9073,7 +8961,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9101,7 +8989,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9129,7 +9017,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9157,7 +9045,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9178,14 +9066,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 36 "/Ethernet_Phy/ETH_A1.8V" +Ne 44 "/Ethernet_Phy/ETH_A1.8V" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9206,14 +9094,14 @@ $PAD Sh "1" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 37 "/Ethernet_Phy/ETH_A3.3V" +Ne 45 "/Ethernet_Phy/ETH_A3.3V" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9234,14 +9122,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 42 "/Ethernet_Phy/ETH_PLL1.8V" +Ne 52 "/Ethernet_Phy/ETH_PLL1.8V" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9269,7 +9157,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9297,7 +9185,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9318,14 +9206,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 50 "/Ethernet_Phy/MAG_SHIELD" +Ne 60 "/Ethernet_Phy/MAG_SHIELD" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9346,14 +9234,14 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 255 "N-000428" +Ne 255 "N-000431" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9374,7 +9262,7 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 41 "/Ethernet_Phy/ETH_MDIO" +Ne 51 "/Ethernet_Phy/ETH_MDIO" Po -176 0 $EndPAD $PAD @@ -9402,14 +9290,14 @@ $PAD Sh "1" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 243 "N-000401" +Ne 247 "N-000400" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9437,7 +9325,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 51 "/Ethernet_Phy/MAG_TX+" +Ne 61 "/Ethernet_Phy/MAG_TX+" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9465,7 +9353,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 52 "/Ethernet_Phy/MAG_TX-" +Ne 62 "/Ethernet_Phy/MAG_TX-" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9493,7 +9381,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 48 "/Ethernet_Phy/MAG_RX+" +Ne 58 "/Ethernet_Phy/MAG_RX+" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9521,7 +9409,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 49 "/Ethernet_Phy/MAG_RX-" +Ne 59 "/Ethernet_Phy/MAG_RX-" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9542,14 +9430,14 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 244 "N-000405" +Ne 248 "N-000404" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 39 "/Ethernet_Phy/ETH_LED0" +Ne 49 "/Ethernet_Phy/ETH_LED0" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9570,14 +9458,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 245 "N-000406" +Ne 249 "N-000405" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 40 "/Ethernet_Phy/ETH_LED1" +Ne 50 "/Ethernet_Phy/ETH_LED1" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9598,14 +9486,14 @@ $PAD Sh "1" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 50 "/Ethernet_Phy/MAG_SHIELD" +Ne 60 "/Ethernet_Phy/MAG_SHIELD" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9626,14 +9514,14 @@ $PAD Sh "1" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 255 "N-000428" +Ne 255 "N-000431" Po -176 0 $EndPAD $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -9662,7 +9550,7 @@ $PAD Sh "2" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 80 "/FPGA_Spartan6/M0_DQ0" +Ne 17 "/DDR_Banks/M0_DQ0" Po -3838 2176 $EndPAD $PAD @@ -9676,35 +9564,35 @@ $PAD Sh "4" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 81 "/FPGA_Spartan6/M0_DQ1" +Ne 18 "/DDR_Banks/M0_DQ1" Po -3326 2176 $EndPAD $PAD Sh "5" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 86 "/FPGA_Spartan6/M0_DQ2" +Ne 20 "/DDR_Banks/M0_DQ2" Po -3070 2176 $EndPAD $PAD Sh "6" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2814 2176 $EndPAD $PAD Sh "7" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 87 "/FPGA_Spartan6/M0_DQ3" +Ne 92 "/FPGA_Spartan6/M0_DQ3" Po -2558 2176 $EndPAD $PAD Sh "8" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 18 "/DDR_Banks/M0_DQ4" +Ne 93 "/FPGA_Spartan6/M0_DQ4" Po -2303 2176 $EndPAD $PAD @@ -9718,28 +9606,28 @@ $PAD Sh "10" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 19 "/DDR_Banks/M0_DQ5" +Ne 21 "/DDR_Banks/M0_DQ5" Po -1791 2176 $EndPAD $PAD Sh "11" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 88 "/FPGA_Spartan6/M0_DQ6" +Ne 94 "/FPGA_Spartan6/M0_DQ6" Po -1535 2176 $EndPAD $PAD Sh "12" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1279 2176 $EndPAD $PAD Sh "13" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 20 "/DDR_Banks/M0_DQ7" +Ne 95 "/FPGA_Spartan6/M0_DQ7" Po -1023 2176 $EndPAD $PAD @@ -9760,7 +9648,7 @@ $PAD Sh "16" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 22 "/DDR_Banks/M0_LDQS" +Ne 98 "/FPGA_Spartan6/M0_LDQS" Po -255 2176 $EndPAD $PAD @@ -9788,35 +9676,35 @@ $PAD Sh "20" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 21 "/DDR_Banks/M0_LDM" +Ne 97 "/FPGA_Spartan6/M0_LDM" Po 767 2176 $EndPAD $PAD Sh "21" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 94 "/FPGA_Spartan6/M0_WE#" +Ne 27 "/DDR_Banks/M0_WE#" Po 1023 2176 $EndPAD $PAD Sh "22" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 77 "/FPGA_Spartan6/M0_CAS#" +Ne 85 "/FPGA_Spartan6/M0_CAS#" Po 1279 2176 $EndPAD $PAD Sh "23" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 91 "/FPGA_Spartan6/M0_RAS#" +Ne 23 "/DDR_Banks/M0_RAS#" Po 1535 2176 $EndPAD $PAD Sh "24" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 1791 2176 $EndPAD $PAD @@ -9830,49 +9718,49 @@ $PAD Sh "26" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 75 "/FPGA_Spartan6/M0_BA0" +Ne 14 "/DDR_Banks/M0_BA0" Po 2302 2176 $EndPAD $PAD Sh "27" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 76 "/FPGA_Spartan6/M0_BA1" +Ne 84 "/FPGA_Spartan6/M0_BA1" Po 2558 2176 $EndPAD $PAD Sh "28" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 68 "/FPGA_Spartan6/M0_A10" +Ne 77 "/FPGA_Spartan6/M0_A10" Po 2814 2176 $EndPAD $PAD Sh "29" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 10 "/DDR_Banks/M0_A0" +Ne 75 "/FPGA_Spartan6/M0_A0" Po 3070 2176 $EndPAD $PAD Sh "30" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 67 "/FPGA_Spartan6/M0_A1" +Ne 76 "/FPGA_Spartan6/M0_A1" Po 3326 2176 $EndPAD $PAD Sh "31" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 70 "/FPGA_Spartan6/M0_A2" +Ne 79 "/FPGA_Spartan6/M0_A2" Po 3582 2176 $EndPAD $PAD Sh "32" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 12 "/DDR_Banks/M0_A3" +Ne 80 "/FPGA_Spartan6/M0_A3" Po 3838 2176 $EndPAD $PAD @@ -9886,63 +9774,63 @@ $PAD Sh "34" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 4094 -2176 $EndPAD $PAD Sh "35" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 13 "/DDR_Banks/M0_A4" +Ne 81 "/FPGA_Spartan6/M0_A4" Po 3838 -2176 $EndPAD $PAD Sh "36" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 71 "/FPGA_Spartan6/M0_A5" +Ne 11 "/DDR_Banks/M0_A5" Po 3582 -2176 $EndPAD $PAD Sh "37" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 72 "/FPGA_Spartan6/M0_A6" +Ne 12 "/DDR_Banks/M0_A6" Po 3326 -2176 $EndPAD $PAD Sh "38" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 73 "/FPGA_Spartan6/M0_A7" +Ne 82 "/FPGA_Spartan6/M0_A7" Po 3070 -2176 $EndPAD $PAD Sh "39" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 74 "/FPGA_Spartan6/M0_A8" +Ne 13 "/DDR_Banks/M0_A8" Po 2814 -2176 $EndPAD $PAD Sh "40" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 14 "/DDR_Banks/M0_A9" +Ne 83 "/FPGA_Spartan6/M0_A9" Po 2558 -2176 $EndPAD $PAD Sh "41" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 11 "/DDR_Banks/M0_A11" +Ne 78 "/FPGA_Spartan6/M0_A11" Po 2303 -2176 $EndPAD $PAD Sh "42" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 69 "/FPGA_Spartan6/M0_A12" +Ne 10 "/DDR_Banks/M0_A12" Po 2047 -2176 $EndPAD $PAD @@ -9956,7 +9844,7 @@ $PAD Sh "44" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 79 "/FPGA_Spartan6/M0_CLK#" +Ne 86 "/FPGA_Spartan6/M0_CLK#" Po 1535 -2176 $EndPAD $PAD @@ -9970,28 +9858,28 @@ $PAD Sh "46" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 78 "/FPGA_Spartan6/M0_CLK" +Ne 16 "/DDR_Banks/M0_CLK" Po 1023 -2176 $EndPAD $PAD Sh "47" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 92 "/FPGA_Spartan6/M0_UDM" +Ne 24 "/DDR_Banks/M0_UDM" Po 767 -2176 $EndPAD $PAD Sh "48" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 511 -2176 $EndPAD $PAD Sh "49" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 23 "/DDR_Banks/M0_VREF" +Ne 26 "/DDR_Banks/M0_VREF" Po 255 -2176 $EndPAD $PAD @@ -10005,14 +9893,14 @@ $PAD Sh "51" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 93 "/FPGA_Spartan6/M0_UDQS" +Ne 25 "/DDR_Banks/M0_UDQS" Po -255 -2176 $EndPAD $PAD Sh "52" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -511 -2176 $EndPAD $PAD @@ -10026,7 +9914,7 @@ $PAD Sh "54" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 89 "/FPGA_Spartan6/M0_DQ8" +Ne 22 "/DDR_Banks/M0_DQ8" Po -1023 -2176 $EndPAD $PAD @@ -10040,35 +9928,35 @@ $PAD Sh "56" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 90 "/FPGA_Spartan6/M0_DQ9" +Ne 96 "/FPGA_Spartan6/M0_DQ9" Po -1535 -2176 $EndPAD $PAD Sh "57" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 16 "/DDR_Banks/M0_DQ10" +Ne 87 "/FPGA_Spartan6/M0_DQ10" Po -1791 -2176 $EndPAD $PAD Sh "58" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2047 -2176 $EndPAD $PAD Sh "59" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 82 "/FPGA_Spartan6/M0_DQ11" +Ne 88 "/FPGA_Spartan6/M0_DQ11" Po -2303 -2176 $EndPAD $PAD Sh "60" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 83 "/FPGA_Spartan6/M0_DQ12" +Ne 89 "/FPGA_Spartan6/M0_DQ12" Po -2558 -2176 $EndPAD $PAD @@ -10082,35 +9970,35 @@ $PAD Sh "62" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 84 "/FPGA_Spartan6/M0_DQ13" +Ne 90 "/FPGA_Spartan6/M0_DQ13" Po -3070 -2176 $EndPAD $PAD Sh "63" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 17 "/DDR_Banks/M0_DQ14" +Ne 91 "/FPGA_Spartan6/M0_DQ14" Po -3326 -2176 $EndPAD $PAD Sh "64" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -3582 -2176 $EndPAD $PAD Sh "65" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 85 "/FPGA_Spartan6/M0_DQ15" +Ne 19 "/DDR_Banks/M0_DQ15" Po -3838 -2176 $EndPAD $PAD Sh "66" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -4094 -2176 $EndPAD $EndMODULE TSOP-66 @@ -10139,7 +10027,7 @@ $PAD Sh "2" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 31 "/DDR_Banks/M1_DQ0" +Ne 111 "/FPGA_Spartan6/M1_DQ0" Po -3838 2176 $EndPAD $PAD @@ -10153,35 +10041,35 @@ $PAD Sh "4" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 108 "/FPGA_Spartan6/M1_DQ1" +Ne 112 "/FPGA_Spartan6/M1_DQ1" Po -3326 2176 $EndPAD $PAD Sh "5" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 115 "/FPGA_Spartan6/M1_DQ2" +Ne 117 "/FPGA_Spartan6/M1_DQ2" Po -3070 2176 $EndPAD $PAD Sh "6" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2814 2176 $EndPAD $PAD Sh "7" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 116 "/FPGA_Spartan6/M1_DQ3" +Ne 38 "/DDR_Banks/M1_DQ3" Po -2558 2176 $EndPAD $PAD Sh "8" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 32 "/DDR_Banks/M1_DQ4" +Ne 118 "/FPGA_Spartan6/M1_DQ4" Po -2303 2176 $EndPAD $PAD @@ -10195,21 +10083,21 @@ $PAD Sh "10" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 117 "/FPGA_Spartan6/M1_DQ5" +Ne 39 "/DDR_Banks/M1_DQ5" Po -1791 2176 $EndPAD $PAD Sh "11" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 118 "/FPGA_Spartan6/M1_DQ6" +Ne 40 "/DDR_Banks/M1_DQ6" Po -1535 2176 $EndPAD $PAD Sh "12" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1279 2176 $EndPAD $PAD @@ -10265,21 +10153,21 @@ $PAD Sh "20" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 121 "/FPGA_Spartan6/M1_LDM" +Ne 41 "/DDR_Banks/M1_LDM" Po 767 2176 $EndPAD $PAD Sh "21" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 35 "/DDR_Banks/M1_WE#" +Ne 125 "/FPGA_Spartan6/M1_WE#" Po 1023 2176 $EndPAD $PAD Sh "22" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 105 "/FPGA_Spartan6/M1_CAS#" +Ne 108 "/FPGA_Spartan6/M1_CAS#" Po 1279 2176 $EndPAD $PAD @@ -10293,7 +10181,7 @@ $PAD Sh "24" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 30 "/DDR_Banks/M1_CS#" +Ne 110 "/FPGA_Spartan6/M1_CS#" Po 1791 2176 $EndPAD $PAD @@ -10307,49 +10195,49 @@ $PAD Sh "26" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 27 "/DDR_Banks/M1_BA0" +Ne 33 "/DDR_Banks/M1_BA0" Po 2302 2176 $EndPAD $PAD Sh "27" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 28 "/DDR_Banks/M1_BA1" +Ne 107 "/FPGA_Spartan6/M1_BA1" Po 2558 2176 $EndPAD $PAD Sh "28" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 96 "/FPGA_Spartan6/M1_A10" +Ne 100 "/FPGA_Spartan6/M1_A10" Po 2814 2176 $EndPAD $PAD Sh "29" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 95 "/FPGA_Spartan6/M1_A0" +Ne 28 "/DDR_Banks/M1_A0" Po 3070 2176 $EndPAD $PAD Sh "30" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 24 "/DDR_Banks/M1_A1" +Ne 99 "/FPGA_Spartan6/M1_A1" Po 3326 2176 $EndPAD $PAD Sh "31" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 98 "/FPGA_Spartan6/M1_A2" +Ne 102 "/FPGA_Spartan6/M1_A2" Po 3582 2176 $EndPAD $PAD Sh "32" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 99 "/FPGA_Spartan6/M1_A3" +Ne 103 "/FPGA_Spartan6/M1_A3" Po 3838 2176 $EndPAD $PAD @@ -10363,63 +10251,63 @@ $PAD Sh "34" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 4094 -2176 $EndPAD $PAD Sh "35" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 100 "/FPGA_Spartan6/M1_A4" +Ne 104 "/FPGA_Spartan6/M1_A4" Po 3838 -2176 $EndPAD $PAD Sh "36" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 101 "/FPGA_Spartan6/M1_A5" +Ne 30 "/DDR_Banks/M1_A5" Po 3582 -2176 $EndPAD $PAD Sh "37" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 26 "/DDR_Banks/M1_A6" +Ne 105 "/FPGA_Spartan6/M1_A6" Po 3326 -2176 $EndPAD $PAD Sh "38" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 102 "/FPGA_Spartan6/M1_A7" +Ne 106 "/FPGA_Spartan6/M1_A7" Po 3070 -2176 $EndPAD $PAD Sh "39" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 103 "/FPGA_Spartan6/M1_A8" +Ne 31 "/DDR_Banks/M1_A8" Po 2814 -2176 $EndPAD $PAD Sh "40" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 104 "/FPGA_Spartan6/M1_A9" +Ne 32 "/DDR_Banks/M1_A9" Po 2558 -2176 $EndPAD $PAD Sh "41" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 25 "/DDR_Banks/M1_A11" +Ne 101 "/FPGA_Spartan6/M1_A11" Po 2303 -2176 $EndPAD $PAD Sh "42" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 97 "/FPGA_Spartan6/M1_A12" +Ne 29 "/DDR_Banks/M1_A12" Po 2047 -2176 $EndPAD $PAD @@ -10433,21 +10321,21 @@ $PAD Sh "44" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 29 "/DDR_Banks/M1_CLK#" +Ne 109 "/FPGA_Spartan6/M1_CLK#" Po 1535 -2176 $EndPAD $PAD Sh "45" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 106 "/FPGA_Spartan6/M1_CKE" +Ne 34 "/DDR_Banks/M1_CKE" Po 1279 -2176 $EndPAD $PAD Sh "46" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 107 "/FPGA_Spartan6/M1_CLK" +Ne 35 "/DDR_Banks/M1_CLK" Po 1023 -2176 $EndPAD $PAD @@ -10461,14 +10349,14 @@ $PAD Sh "48" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 511 -2176 $EndPAD $PAD Sh "49" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 34 "/DDR_Banks/M1_VREF" +Ne 43 "/DDR_Banks/M1_VREF" Po 255 -2176 $EndPAD $PAD @@ -10482,14 +10370,14 @@ $PAD Sh "51" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 125 "/FPGA_Spartan6/M1_UDQS" +Ne 42 "/DDR_Banks/M1_UDQS" Po -255 -2176 $EndPAD $PAD Sh "52" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -511 -2176 $EndPAD $PAD @@ -10517,35 +10405,35 @@ $PAD Sh "56" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 33 "/DDR_Banks/M1_DQ9" +Ne 121 "/FPGA_Spartan6/M1_DQ9" Po -1535 -2176 $EndPAD $PAD Sh "57" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 109 "/FPGA_Spartan6/M1_DQ10" +Ne 36 "/DDR_Banks/M1_DQ10" Po -1791 -2176 $EndPAD $PAD Sh "58" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -2047 -2176 $EndPAD $PAD Sh "59" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 110 "/FPGA_Spartan6/M1_DQ11" +Ne 113 "/FPGA_Spartan6/M1_DQ11" Po -2303 -2176 $EndPAD $PAD Sh "60" R 137 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 111 "/FPGA_Spartan6/M1_DQ12" +Ne 37 "/DDR_Banks/M1_DQ12" Po -2558 -2176 $EndPAD $PAD @@ -10559,35 +10447,35 @@ $PAD Sh "62" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 112 "/FPGA_Spartan6/M1_DQ13" +Ne 114 "/FPGA_Spartan6/M1_DQ13" Po -3070 -2176 $EndPAD $PAD Sh "63" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 113 "/FPGA_Spartan6/M1_DQ14" +Ne 115 "/FPGA_Spartan6/M1_DQ14" Po -3326 -2176 $EndPAD $PAD Sh "64" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -3582 -2176 $EndPAD $PAD Sh "65" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 114 "/FPGA_Spartan6/M1_DQ15" +Ne 116 "/FPGA_Spartan6/M1_DQ15" Po -3838 -2176 $EndPAD $PAD Sh "66" R 138 275 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -4094 -2176 $EndPAD $EndMODULE TSOP-66 @@ -10607,35 +10495,35 @@ $PAD Sh "13" C 1646 1646 0 0 1800 Dr 1252 0 0 At STD N 0CC0FFFF -Ne 50 "/Ethernet_Phy/MAG_SHIELD" +Ne 60 "/Ethernet_Phy/MAG_SHIELD" Po 2250 0 $EndPAD $PAD Sh "13" C 984 984 0 0 1800 Dr 640 0 0 At STD N 0CC0FFFF -Ne 50 "/Ethernet_Phy/MAG_SHIELD" +Ne 60 "/Ethernet_Phy/MAG_SHIELD" Po 3100 -1200 $EndPAD $PAD Sh "14" C 1646 1646 0 0 1800 Dr 1252 0 0 At STD N 0CC0FFFF -Ne 50 "/Ethernet_Phy/MAG_SHIELD" +Ne 60 "/Ethernet_Phy/MAG_SHIELD" Po -2250 0 $EndPAD $PAD Sh "14" C 984 984 0 0 1800 Dr 640 0 0 At STD N 0CC0FFFF -Ne 50 "/Ethernet_Phy/MAG_SHIELD" +Ne 60 "/Ethernet_Phy/MAG_SHIELD" Po -3100 -1200 $EndPAD $PAD Sh "1" R 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 51 "/Ethernet_Phy/MAG_TX+" +Ne 61 "/Ethernet_Phy/MAG_TX+" Po -1750 -2500 $EndPAD $PAD @@ -10649,28 +10537,28 @@ $PAD Sh "5" C 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 240 "GND" +Ne 244 "GND" Po 250 -2500 $EndPAD $PAD Sh "7" C 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 48 "/Ethernet_Phy/MAG_RX+" +Ne 58 "/Ethernet_Phy/MAG_RX+" Po 1250 -2500 $EndPAD $PAD Sh "2" C 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 52 "/Ethernet_Phy/MAG_TX-" +Ne 62 "/Ethernet_Phy/MAG_TX-" Po -1250 -3500 $EndPAD $PAD Sh "4" C 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 240 "GND" +Ne 244 "GND" Po -250 -3500 $EndPAD $PAD @@ -10684,7 +10572,7 @@ $PAD Sh "8" C 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 49 "/Ethernet_Phy/MAG_RX-" +Ne 59 "/Ethernet_Phy/MAG_RX-" Po 1750 -3500 $EndPAD $PAD @@ -10698,7 +10586,7 @@ $PAD Sh "10" C 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 244 "N-000405" +Ne 248 "N-000404" Po -1150 -5400 $EndPAD $PAD @@ -10712,7 +10600,7 @@ $PAD Sh "12" C 540 540 0 0 1800 Dr 350 0 0 At STD N 0CC0FFFF -Ne 245 "N-000406" +Ne 249 "N-000405" Po 2150 -5400 $EndPAD $EndMODULE SD-48025 @@ -10737,21 +10625,21 @@ $PAD Sh "1" R 315 590 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 221 "/FPGA_Spartan6/SD_DAT2" +Ne 219 "/FPGA_Spartan6/SD_DAT2" Po -1299 0 $EndPAD $PAD Sh "2" R 315 590 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 222 "/FPGA_Spartan6/SD_DAT3" +Ne 220 "/FPGA_Spartan6/SD_DAT3" Po -866 0 $EndPAD $PAD Sh "3" R 315 590 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 234 "/Non_volatile_memories/SD_CMD" +Ne 218 "/FPGA_Spartan6/SD_CMD" Po -433 0 $EndPAD $PAD @@ -10765,56 +10653,56 @@ $PAD Sh "5" R 315 590 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 218 "/FPGA_Spartan6/SD_CLK" +Ne 217 "/FPGA_Spartan6/SD_CLK" Po 433 0 $EndPAD $PAD Sh "6" R 315 590 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 866 0 $EndPAD $PAD Sh "7" R 315 590 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 219 "/FPGA_Spartan6/SD_DAT0" +Ne 234 "/Non_volatile_memories/SD_DAT0" Po 1299 0 $EndPAD $PAD Sh "8" R 315 590 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 220 "/FPGA_Spartan6/SD_DAT1" +Ne 235 "/Non_volatile_memories/SD_DAT1" Po 1732 0 $EndPAD $PAD Sh "CASE" R 571 787 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 2707 1024 $EndPAD $PAD Sh "CASE" R 571 787 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po -2707 1024 $EndPAD $PAD Sh "CASE" R 571 787 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po -2707 -2244 $EndPAD $PAD Sh "CASE" R 571 787 0 0 0 Dr 0 0 0 At STD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 2707 -2244 $EndPAD $EndMODULE MICROSD-500901 @@ -11092,14 +10980,14 @@ $PAD Sh "8" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 133 "/FPGA_Spartan6/NF_RE_N" +Ne 231 "/Non_volatile_memories/NF_RE_N" Po -890 3850 $EndPAD $PAD Sh "9" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 229 "/Non_volatile_memories/NF_CS1_N" +Ne 228 "/Non_volatile_memories/NF_CS1_N" Po -690 3850 $EndPAD $PAD @@ -11127,7 +11015,7 @@ $PAD Sh "13" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 100 3850 $EndPAD $PAD @@ -11148,7 +11036,7 @@ $PAD Sh "16" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 228 "/Non_volatile_memories/NF_CLE" +Ne 227 "/Non_volatile_memories/NF_CLE" Po 690 3850 $EndPAD $PAD @@ -11253,14 +11141,14 @@ $PAD Sh "31" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 230 "/Non_volatile_memories/NF_D2" +Ne 229 "/Non_volatile_memories/NF_D2" Po 1080 -3850 $EndPAD $PAD Sh "32" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 231 "/Non_volatile_memories/NF_D3" +Ne 129 "/FPGA_Spartan6/NF_D3" Po 880 -3850 $EndPAD $PAD @@ -11288,7 +11176,7 @@ $PAD Sh "36" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 100 -3850 $EndPAD $PAD @@ -11323,14 +11211,14 @@ $PAD Sh "41" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 129 "/FPGA_Spartan6/NF_D4" +Ne 130 "/FPGA_Spartan6/NF_D4" Po -890 -3850 $EndPAD $PAD Sh "42" R 100 600 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 130 "/FPGA_Spartan6/NF_D5" +Ne 230 "/Non_volatile_memories/NF_D5" Po -1090 -3850 $EndPAD $PAD @@ -11394,35 +11282,35 @@ $PAD Sh "12" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1613 1082 $EndPAD $PAD Sh "11" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 45 "/Ethernet_Phy/ETH_RXER" +Ne 70 "/FPGA_Spartan6/ETH_RXER" Po -1613 885 $EndPAD $PAD Sh "10" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 58 "/FPGA_Spartan6/ETH_RXC" +Ne 66 "/FPGA_Spartan6/ETH_RXC" Po -1613 688 $EndPAD $PAD Sh "9" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 61 "/FPGA_Spartan6/ETH_RXDV" +Ne 69 "/FPGA_Spartan6/ETH_RXDV" Po -1613 491 $EndPAD $PAD Sh "8" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -1613 295 $EndPAD $PAD @@ -11436,63 +11324,63 @@ $PAD Sh "6" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 43 "/Ethernet_Phy/ETH_RXD0" +Ne 67 "/FPGA_Spartan6/ETH_RXD0" Po -1613 -98 $EndPAD $PAD Sh "5" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 59 "/FPGA_Spartan6/ETH_RXD1" +Ne 53 "/Ethernet_Phy/ETH_RXD1" Po -1613 -295 $EndPAD $PAD Sh "4" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 44 "/Ethernet_Phy/ETH_RXD2" +Ne 68 "/FPGA_Spartan6/ETH_RXD2" Po -1613 -491 $EndPAD $PAD Sh "3" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 60 "/FPGA_Spartan6/ETH_RXD3" +Ne 54 "/Ethernet_Phy/ETH_RXD3" Po -1613 -688 $EndPAD $PAD Sh "2" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 56 "/FPGA_Spartan6/ETH_MDC" +Ne 64 "/FPGA_Spartan6/ETH_MDC" Po -1613 -885 $EndPAD $PAD Sh "1" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 41 "/Ethernet_Phy/ETH_MDIO" +Ne 51 "/Ethernet_Phy/ETH_MDIO" Po -1613 -1082 $EndPAD $PAD Sh "48" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 57 "/FPGA_Spartan6/ETH_RESET_N" +Ne 65 "/FPGA_Spartan6/ETH_RESET_N" Po -1082 -1613 $EndPAD $PAD Sh "47" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 42 "/Ethernet_Phy/ETH_PLL1.8V" +Ne 52 "/Ethernet_Phy/ETH_PLL1.8V" Po -885 -1613 $EndPAD $PAD Sh "46" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 53 "/FPGA_Spartan6/ETH_CLK" +Ne 46 "/Ethernet_Phy/ETH_CLK" Po -688 -1613 $EndPAD $PAD @@ -11506,7 +11394,7 @@ $PAD Sh "44" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po -295 -1613 $EndPAD $PAD @@ -11527,56 +11415,56 @@ $PAD Sh "41" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 51 "/Ethernet_Phy/MAG_TX+" +Ne 61 "/Ethernet_Phy/MAG_TX+" Po 295 -1613 $EndPAD $PAD Sh "40" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 52 "/Ethernet_Phy/MAG_TX-" +Ne 62 "/Ethernet_Phy/MAG_TX-" Po 491 -1613 $EndPAD $PAD Sh "39" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 688 -1613 $EndPAD $PAD Sh "38" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 37 "/Ethernet_Phy/ETH_A3.3V" +Ne 45 "/Ethernet_Phy/ETH_A3.3V" Po 885 -1613 $EndPAD $PAD Sh "37" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 243 "N-000401" +Ne 247 "N-000400" Po 1082 -1613 $EndPAD $PAD Sh "25" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 55 "/FPGA_Spartan6/ETH_INT" +Ne 48 "/Ethernet_Phy/ETH_INT" Po 1613 1082 $EndPAD $PAD Sh "26" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 39 "/Ethernet_Phy/ETH_LED0" +Ne 49 "/Ethernet_Phy/ETH_LED0" Po 1613 885 $EndPAD $PAD Sh "27" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 40 "/Ethernet_Phy/ETH_LED1" +Ne 50 "/Ethernet_Phy/ETH_LED1" Po 1613 688 $EndPAD $PAD @@ -11604,21 +11492,21 @@ $PAD Sh "31" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 36 "/Ethernet_Phy/ETH_A1.8V" +Ne 44 "/Ethernet_Phy/ETH_A1.8V" Po 1613 -98 $EndPAD $PAD Sh "32" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 49 "/Ethernet_Phy/MAG_RX-" +Ne 59 "/Ethernet_Phy/MAG_RX-" Po 1613 -295 $EndPAD $PAD Sh "33" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 48 "/Ethernet_Phy/MAG_RX+" +Ne 58 "/Ethernet_Phy/MAG_RX+" Po 1613 -491 $EndPAD $PAD @@ -11632,14 +11520,14 @@ $PAD Sh "35" R 315 99 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 1613 -885 $EndPAD $PAD Sh "36" R 315 98 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 1613 -1082 $EndPAD $PAD @@ -11653,70 +11541,70 @@ $PAD Sh "14" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 66 "/FPGA_Spartan6/ETH_TXER" +Ne 74 "/FPGA_Spartan6/ETH_TXER" Po -885 1613 $EndPAD $PAD Sh "15" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 62 "/FPGA_Spartan6/ETH_TXC" +Ne 71 "/FPGA_Spartan6/ETH_TXC" Po -688 1613 $EndPAD $PAD Sh "16" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 65 "/FPGA_Spartan6/ETH_TXEN" +Ne 57 "/Ethernet_Phy/ETH_TXEN" Po -491 1613 $EndPAD $PAD Sh "17" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 63 "/FPGA_Spartan6/ETH_TXD0" +Ne 55 "/Ethernet_Phy/ETH_TXD0" Po -295 1613 $EndPAD $PAD Sh "18" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 46 "/Ethernet_Phy/ETH_TXD1" +Ne 56 "/Ethernet_Phy/ETH_TXD1" Po -98 1613 $EndPAD $PAD Sh "19" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 64 "/FPGA_Spartan6/ETH_TXD2" +Ne 72 "/FPGA_Spartan6/ETH_TXD2" Po 98 1613 $EndPAD $PAD Sh "20" R 98 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 47 "/Ethernet_Phy/ETH_TXD3" +Ne 73 "/FPGA_Spartan6/ETH_TXD3" Po 295 1613 $EndPAD $PAD Sh "21" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 38 "/Ethernet_Phy/ETH_COL" +Ne 47 "/Ethernet_Phy/ETH_COL" Po 491 1613 $EndPAD $PAD Sh "22" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 54 "/FPGA_Spartan6/ETH_CRS" +Ne 63 "/FPGA_Spartan6/ETH_CRS" Po 688 1613 $EndPAD $PAD Sh "23" R 99 315 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 885 1613 $EndPAD $PAD @@ -11751,7 +11639,7 @@ $PAD Sh "2" R 355 668 0 0 1800 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1206 @@ -11794,7 +11682,7 @@ $PAD Sh "2" R 200 300 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 0 500 $EndPAD $PAD @@ -11850,7 +11738,7 @@ $PAD Sh "2" R 200 300 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 0 500 $EndPAD $PAD @@ -11919,7 +11807,7 @@ $PAD Sh "2" R 157 236 0 0 900 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -11975,7 +11863,7 @@ $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12003,7 +11891,7 @@ $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12059,7 +11947,7 @@ $PAD Sh "2" R 157 236 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12115,7 +12003,7 @@ $PAD Sh "2" R 275 510 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -12143,7 +12031,7 @@ $PAD Sh "2" R 275 510 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 373 0 $EndPAD $EndMODULE 0805 @@ -12171,7 +12059,7 @@ $PAD Sh "2" R 355 668 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1206 @@ -12199,7 +12087,7 @@ $PAD Sh "2" R 355 668 0 0 2700 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 570 0 $EndPAD $EndMODULE 1206 @@ -12283,7 +12171,7 @@ $PAD Sh "2" R 197 354 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 37 "/Ethernet_Phy/ETH_A3.3V" +Ne 45 "/Ethernet_Phy/ETH_A3.3V" Po 294 0 $EndPAD $EndMODULE 0603 @@ -12311,7 +12199,7 @@ $PAD Sh "2" R 157 236 0 0 1800 Dr 0 0 0 At SMD N 00440001 -Ne 241 "N-000264" +Ne 245 "N-000264" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12339,7 +12227,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 242 "N-000382" +Ne 246 "N-000382" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12508,49 +12396,49 @@ $PAD Sh "1" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 238 "/USB/USBD_SPD" +Ne 242 "/USB/USBD_SPD" Po -295 491 $EndPAD $PAD Sh "11" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 249 "N-000422" +Ne 240 "/USB/USBD_D+" Po -98 -491 $EndPAD $PAD Sh "2" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 226 "/FPGA_Spartan6/USBD_RCV" +Ne 225 "/FPGA_Spartan6/USBD_RCV" Po -98 491 $EndPAD $PAD Sh "10" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 248 "N-000421" +Ne 241 "/USB/USBD_D-" Po 98 -491 $EndPAD $PAD Sh "3" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 239 "/USB/USBD_VP" +Ne 226 "/FPGA_Spartan6/USBD_VP" Po 98 491 $EndPAD $PAD Sh "9" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 237 "/USB/USBD_OE_N" +Ne 224 "/FPGA_Spartan6/USBD_OE_N" Po 295 -491 $EndPAD $PAD Sh "4" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 227 "/FPGA_Spartan6/USBD_VM" +Ne 243 "/USB/USBD_VM" Po 295 491 $EndPAD $PAD @@ -12578,7 +12466,7 @@ $PAD Sh "6" R 157 98 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 491 98 $EndPAD $PAD @@ -12592,7 +12480,7 @@ $PAD Sh "7" R 157 98 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 491 -98 $EndPAD $PAD @@ -12642,49 +12530,49 @@ $PAD Sh "1" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 225 "/FPGA_Spartan6/USBA_SPD" +Ne 223 "/FPGA_Spartan6/USBA_SPD" Po -295 491 $EndPAD $PAD Sh "11" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 251 "N-000424" +Ne 236 "/USB/USBA_D+" Po -98 -491 $EndPAD $PAD Sh "2" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 224 "/FPGA_Spartan6/USBA_RCV" +Ne 222 "/FPGA_Spartan6/USBA_RCV" Po -98 491 $EndPAD $PAD Sh "10" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 250 "N-000423" +Ne 237 "/USB/USBA_D-" Po 98 -491 $EndPAD $PAD Sh "3" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 236 "/USB/USBA_VP" +Ne 239 "/USB/USBA_VP" Po 98 491 $EndPAD $PAD Sh "9" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 223 "/FPGA_Spartan6/USBA_OE_N" +Ne 221 "/FPGA_Spartan6/USBA_OE_N" Po 295 -491 $EndPAD $PAD Sh "4" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 235 "/USB/USBA_VM" +Ne 238 "/USB/USBA_VM" Po 295 491 $EndPAD $PAD @@ -12712,7 +12600,7 @@ $PAD Sh "6" R 157 98 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 491 98 $EndPAD $PAD @@ -12726,7 +12614,7 @@ $PAD Sh "7" R 157 98 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 491 -98 $EndPAD $PAD @@ -12768,7 +12656,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12796,7 +12684,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12808,7 +12696,7 @@ AR /4C5F1EDC/4C71C9C3 Op 0 0 0 At SMD T0 0 -150 200 200 0 40 N V 25 N"C88" -T1 0 150 200 200 0 40 N I 25 N"470nF" +T1 0 150 200 200 0 40 N I 25 N"100nF" DS -305 168 -305 -168 50 21 DS -305 -168 305 -168 50 21 DS 305 -168 305 168 50 21 @@ -12824,7 +12712,7 @@ $PAD Sh "2" R 157 236 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 176 0 $EndPAD $EndMODULE 0402 @@ -12845,7 +12733,7 @@ $PAD Sh "1" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 246 "N-000415" +Ne 250 "N-000418" Po -294 0 $EndPAD $PAD @@ -12880,7 +12768,7 @@ $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -12901,19 +12789,19 @@ $PAD Sh "1" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 247 "N-000417" +Ne 251 "N-000420" Po -294 0 $EndPAD $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 $MODULE 0603 -Po 47380 42317 0 15 4C5FF890 4C71CB46 ~~ +Po 47059 41287 0 15 4C5FF890 4C71CB46 ~~ Li 0603 Sc 4C71CB46 AR /4C5F1EDC/4C71C9C0 @@ -12936,40 +12824,12 @@ $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 $MODULE 0603 -Po 47380 42317 0 15 4C5FF890 4C71CB48 ~~ -Li 0603 -Sc 4C71CB48 -AR /4C5F1EDC/4C71C9C4 -Op 0 0 0 -At SMD -T0 0 -150 200 200 0 40 N V 25 N"C87" -T1 0 150 200 200 0 40 N I 25 N"1uF" -DS -443 227 -443 -227 50 21 -DS -443 -227 443 -227 50 21 -DS 443 -227 443 227 50 21 -DS 443 227 -443 227 50 21 -$PAD -Sh "1" R 197 354 0 0 0 -Dr 0 0 0 -At SMD N 00888000 -Ne 4 "+3.3V" -Po -294 0 -$EndPAD -$PAD -Sh "2" R 197 354 0 0 0 -Dr 0 0 0 -At SMD N 00888000 -Ne 240 "GND" -Po 294 0 -$EndPAD -$EndMODULE 0603 -$MODULE 0603 -Po 47380 42317 0 15 4C5FF890 4C71CB4A ~~ +Po 47516 42984 0 15 4C5FF890 4C71CB4A ~~ Li 0603 Sc 4C71CB4A AR /4C5F1EDC/4C71C9C5 @@ -12992,7 +12852,7 @@ $PAD Sh "2" R 197 354 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 240 "GND" +Ne 244 "GND" Po 294 0 $EndPAD $EndMODULE 0603 @@ -13013,7 +12873,7 @@ $PAD Sh "1" R 355 984 0 0 0 Dr 0 0 0 At SMD N 00888000 -Ne 246 "N-000415" +Ne 250 "N-000418" Po -570 0 $EndPAD $PAD @@ -13063,7 +12923,7 @@ $PAD Sh "11" R 98 157 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 247 "N-000417" +Ne 251 "N-000420" Po -98 -491 $EndPAD $PAD @@ -13126,7 +12986,7 @@ $PAD Sh "6" R 157 98 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 491 98 $EndPAD $PAD @@ -13140,7 +13000,7 @@ $PAD Sh "7" R 157 98 0 0 0 Dr 0 0 0 At SMD N 00440001 -Ne 240 "GND" +Ne 244 "GND" Po 491 -98 $EndPAD $PAD @@ -13158,6 +13018,146 @@ Ne 0 "" Po 491 -295 $EndPAD $EndMODULE MLF16 +$MODULE 0402 +Po 54642 26984 900 0 4C5FF890 4C5F2039 ~~ +Li 0402 +Sc 4C5F2039 +AR /4C5F1EDC/4C5F2039 +Op 0 0 0 +At SMD +T0 0 150 200 200 900 40 M V 20 N"C15" +T1 0 -150 200 200 900 40 M I 20 N"100nF" +DS -305 -168 -305 168 50 20 +DS -305 168 305 168 50 20 +DS 305 168 305 -168 50 20 +DS 305 -168 -305 -168 50 20 +$PAD +Sh "1" R 157 236 0 0 900 +Dr 0 0 0 +At SMD N 00440001 +Ne 3 "+2.5V" +Po -176 0 +$EndPAD +$PAD +Sh "2" R 157 236 0 0 900 +Dr 0 0 0 +At SMD N 00440001 +Ne 244 "GND" +Po 176 0 +$EndPAD +$EndMODULE 0402 +$MODULE 0402 +Po 55161 26307 0 0 4C5FF890 4C5F2037 ~~ +Li 0402 +Sc 4C5F2037 +AR /4C5F1EDC/4C5F2037 +Op 0 0 0 +At SMD +T0 0 150 200 200 0 40 M V 20 N"C14" +T1 0 -150 200 200 0 40 M I 20 N"100nF" +DS -305 -168 -305 168 50 20 +DS -305 168 305 168 50 20 +DS 305 168 305 -168 50 20 +DS 305 -168 -305 -168 50 20 +$PAD +Sh "1" R 157 236 0 0 0 +Dr 0 0 0 +At SMD N 00440001 +Ne 4 "+3.3V" +Po -176 0 +$EndPAD +$PAD +Sh "2" R 157 236 0 0 0 +Dr 0 0 0 +At SMD N 00440001 +Ne 244 "GND" +Po 176 0 +$EndPAD +$EndMODULE 0402 +$MODULE 0402 +Po 57055 26291 900 0 4C5FF890 4C6552BD ~~ +Li 0402 +Sc 4C6552BD +AR /4C5F1EDC/4C6552BD +Op 0 0 0 +At SMD +T0 0 150 200 200 900 40 M V 20 N"C36" +T1 0 -150 200 200 900 40 M I 20 N"100nF" +DS -305 -168 -305 168 50 20 +DS -305 168 305 168 50 20 +DS 305 168 305 -168 50 20 +DS 305 -168 -305 -168 50 20 +$PAD +Sh "1" R 157 236 0 0 900 +Dr 0 0 0 +At SMD N 00440001 +Ne 4 "+3.3V" +Po -176 0 +$EndPAD +$PAD +Sh "2" R 157 236 0 0 900 +Dr 0 0 0 +At SMD N 00440001 +Ne 244 "GND" +Po 176 0 +$EndPAD +$EndMODULE 0402 +$MODULE 0603 +Po 56827 25638 0 0 4C5FF890 4C6552BE ~~ +Li 0603 +Sc 4C6552BE +AR /4C5F1EDC/4C6552BE +Op 0 0 0 +At SMD +T0 0 150 200 200 0 40 M V 20 N"C35" +T1 0 -150 200 200 0 40 M I 20 N"1uF" +DS -443 -227 -443 227 50 20 +DS -443 227 443 227 50 20 +DS 443 227 443 -227 50 20 +DS 443 -227 -443 -227 50 20 +$PAD +Sh "1" R 197 354 0 0 0 +Dr 0 0 0 +At SMD N 00440001 +Ne 4 "+3.3V" +Po -294 0 +$EndPAD +$PAD +Sh "2" R 197 354 0 0 0 +Dr 0 0 0 +At SMD N 00440001 +Ne 244 "GND" +Po 294 0 +$EndPAD +$EndMODULE 0603 +$MODULE 0402 +Po 48933 42732 0 15 4C5FF890 4C71CB48 ~~ +Li 0402 +Sc 4C71CB48 +AR /4C5F1EDC/4C71C9C4 +Op 0 0 0 +At SMD +T0 0 -150 200 200 0 40 N V 25 N"C87" +T1 0 150 200 200 0 40 N I 25 N"100nF" +DS -305 168 -305 -168 50 21 +DS -305 -168 305 -168 50 21 +DS 305 -168 305 168 50 21 +DS 305 168 -305 168 50 21 +$PAD +Sh "1" R 157 236 0 0 0 +Dr 0 0 0 +At SMD N 00888000 +Ne 4 "+3.3V" +Po -176 0 +$EndPAD +$PAD +Sh "2" R 157 236 0 0 0 +Dr 0 0 0 +At SMD N 00888000 +Ne 244 "GND" +Po 176 0 +$EndPAD +$EndMODULE 0402 $COTATION Ge 0 25 0 Va 21500 @@ -13249,6 +13249,20 @@ Po 3 50069 27352 50069 27352 157 -1 De 15 1 2 0 0 Po 0 50071 27354 50069 27352 79 -1 De 15 0 2 0 0 +Po 0 54913 27275 54757 27275 79 -1 +De 0 0 3 0 0 +Po 0 54757 27275 54642 27160 79 -1 +De 0 0 3 0 400 +Po 0 55226 27370 55008 27370 79 -1 +De 0 0 3 0 800 +Po 0 55004 27366 54913 27275 79 -1 +De 0 0 3 0 0 +Po 0 54913 27275 54916 27278 79 -1 +De 0 0 3 0 0 +Po 3 55004 27366 55004 27366 157 -1 +De 15 1 3 0 0 +Po 0 55008 27370 55004 27366 79 -1 +De 0 0 3 0 0 Po 0 56760 27370 56760 27381 79 -1 De 0 0 3 0 0 Po 0 56938 27370 56760 27370 79 -1 @@ -13585,6 +13599,48 @@ Po 0 52530 32607 52530 32608 79 -1 De 15 0 3 0 800 Po 0 52530 32608 52717 32795 79 -1 De 15 0 3 0 0 +Po 0 57055 26467 57230 26467 79 -1 +De 0 0 4 0 800 +Po 0 57232 26465 57236 26465 79 -1 +De 15 0 4 0 0 +Po 3 57232 26465 57232 26465 157 -1 +De 15 1 4 0 0 +Po 0 57230 26467 57232 26465 79 -1 +De 0 0 4 0 0 +Po 0 57134 26781 57134 26546 79 -1 +De 0 0 4 0 800 +Po 0 57134 26546 57055 26467 79 -1 +De 0 0 4 0 400 +Po 0 55422 26781 55422 26617 79 -1 +De 0 0 4 0 800 +Po 3 55425 26614 55425 26614 157 -1 +De 15 1 4 0 0 +Po 0 55422 26617 55425 26614 79 -1 +De 0 0 4 0 0 +Po 0 54871 25685 54871 26193 79 -1 +De 0 0 4 0 800 +Po 0 54871 26193 54985 26307 79 -1 +De 0 0 4 0 400 +Po 0 54985 26307 54985 26658 79 -1 +De 0 0 4 0 800 +Po 0 54985 26658 55000 26673 79 -1 +De 0 0 4 0 0 +Po 0 55422 26781 55108 26781 79 -1 +De 0 0 4 0 800 +Po 0 55108 26781 55000 26673 79 -1 +De 0 0 4 0 0 +Po 0 55226 27174 55103 27174 79 -1 +De 0 0 4 0 800 +Po 0 55000 26673 55008 26673 79 -1 +De 15 0 4 0 0 +Po 3 55000 26673 55000 26673 157 -1 +De 15 1 4 0 0 +Po 0 55031 26704 55000 26673 79 -1 +De 0 0 4 0 0 +Po 0 55031 27102 55031 26704 79 -1 +De 0 0 4 0 0 +Po 0 55103 27174 55031 27102 79 -1 +De 0 0 4 0 0 Po 0 56938 27174 56773 27174 79 -1 De 0 0 4 0 800 Po 3 56728 27110 56728 27110 157 -1 @@ -13653,9 +13709,9 @@ Po 0 53164 20570 53165 20571 79 -1 De 0 0 4 0 0 Po 0 59221 30639 59221 30633 79 -1 De 15 0 4 0 800 -Po 3 59413 30441 59413 30441 157 -1 +Po 3 59382 30480 59382 30480 157 -1 De 15 1 4 0 0 -Po 0 59221 30633 59413 30441 79 -1 +Po 0 59221 30633 59382 30480 79 -1 De 15 0 4 0 0 Po 0 58434 31820 58434 31818 79 -1 De 15 0 4 0 800 @@ -13801,17 +13857,15 @@ Po 3 59024 32004 59024 32004 157 -1 De 15 1 7 0 0 Po 0 58840 31820 59024 32004 59 -1 De 15 0 7 0 0 -Po 0 59221 30245 59221 30102 59 -1 +Po 0 59221 30245 59221 30224 59 -1 De 15 0 8 0 800 -Po 0 60622 28713 60622 28709 59 -1 +Po 0 60642 28803 60642 28799 59 -1 De 3 0 8 0 0 -Po 0 59465 29870 60622 28713 59 -1 +Po 0 59390 30055 60642 28803 59 -1 De 3 0 8 0 0 -Po 3 59465 29870 59465 29870 157 -1 +Po 3 59390 30055 59390 30055 157 -1 De 15 1 8 0 0 -Po 0 59453 29870 59465 29870 59 -1 -De 15 0 8 0 0 -Po 0 59221 30102 59453 29870 59 -1 +Po 0 59221 30224 59390 30055 59 -1 De 15 0 8 0 0 Po 0 58827 31032 58827 31027 59 -1 De 15 0 9 0 800 @@ -13821,64 +13875,64 @@ Po 0 59213 29842 60464 28591 59 -1 De 3 0 9 0 0 Po 0 59213 30641 59213 29842 59 -1 De 3 0 9 0 0 -Po 0 59008 30846 59213 30641 59 -1 +Po 0 59055 30791 59213 30641 59 -1 De 3 0 9 0 0 -Po 3 59008 30846 59008 30846 157 -1 +Po 3 59055 30791 59055 30791 157 -1 De 15 1 9 0 0 -Po 0 58827 31027 59008 30846 59 -1 +Po 0 58827 31027 59055 30791 59 -1 De 15 0 9 0 0 -Po 0 50995 31576 50694 31576 39 -1 -De 15 0 10 0 800 -Po 0 49921 31535 49768 31535 39 -1 -De 0 0 10 0 400 -Po 0 49961 31575 49921 31535 39 -1 -De 0 0 10 0 0 -Po 0 50693 31575 49961 31575 39 -1 -De 0 0 10 0 0 -Po 3 50693 31575 50693 31575 157 -1 -De 15 1 10 0 0 -Po 0 50694 31576 50693 31575 39 -1 +Po 0 47941 31937 47657 31937 39 -1 +De 0 0 10 0 800 +Po 0 47460 32599 46643 32599 39 -1 +De 15 0 10 0 400 +Po 0 47583 32476 47460 32599 39 -1 De 15 0 10 0 0 -Po 0 47941 32134 47425 32134 39 -1 +Po 0 47583 32011 47583 32476 39 -1 +De 15 0 10 0 0 +Po 0 47657 31937 47583 32011 39 -1 +De 15 0 10 0 0 +Po 3 47657 31937 47657 31937 157 -1 +De 15 1 10 0 0 +Po 0 47937 31338 47294 31338 39 -1 De 0 0 11 0 800 -Po 0 47216 32343 46643 32343 39 -1 +Po 0 47020 31064 46643 31064 39 -1 De 15 0 11 0 400 -Po 0 47425 32134 47216 32343 39 -1 +Po 0 47110 31154 47020 31064 39 -1 De 15 0 11 0 0 -Po 3 47425 32134 47425 32134 157 -1 +Po 3 47110 31154 47110 31154 157 -1 De 15 1 11 0 0 -Po 0 50995 30808 50048 30808 39 -1 -De 15 0 12 0 800 -Po 0 49909 30945 49768 30945 39 -1 -De 0 0 12 0 400 -Po 0 50047 30807 49909 30945 39 -1 -De 0 0 12 0 0 -Po 3 50047 30807 50047 30807 157 -1 -De 15 1 12 0 0 -Po 0 50048 30808 50047 30807 39 -1 +Po 0 47294 31338 47110 31154 39 -1 +De 0 0 11 0 0 +Po 0 47937 31142 47417 31142 39 -1 +De 0 0 12 0 800 +Po 0 47239 31320 46643 31320 39 -1 +De 15 0 12 0 400 +Po 0 47417 31142 47239 31320 39 -1 De 15 0 12 0 0 -Po 0 47937 31535 47145 31535 39 -1 +Po 3 47417 31142 47417 31142 157 -1 +De 15 1 12 0 0 +Po 0 47941 32527 47256 32527 39 -1 De 0 0 13 0 800 -Po 0 46843 30808 46643 30808 39 -1 +Po 0 46883 31832 46643 31832 39 -1 De 15 0 13 0 400 -Po 0 46941 30906 46843 30808 39 -1 +Po 0 46980 31929 46883 31832 39 -1 De 15 0 13 0 0 -Po 3 46941 30906 46941 30906 157 -1 +Po 3 46980 31929 46980 31929 157 -1 De 15 1 13 0 0 -Po 0 46941 31331 46941 30906 39 -1 +Po 0 46980 32251 46980 31929 39 -1 De 0 0 13 0 0 -Po 0 47145 31535 46941 31331 39 -1 +Po 0 47256 32527 46980 32251 39 -1 De 0 0 13 0 0 -Po 0 47941 32330 47353 32330 39 -1 -De 0 0 14 0 800 -Po 0 47111 32088 46643 32088 39 -1 -De 15 0 14 0 400 -Po 0 47165 32142 47111 32088 39 -1 -De 15 0 14 0 0 -Po 3 47165 32142 47165 32142 157 -1 -De 15 1 14 0 0 -Po 0 47353 32330 47165 32142 39 -1 +Po 0 50995 32344 50017 32344 39 -1 +De 15 0 14 0 800 +Po 0 49988 32315 49775 32315 39 -1 +De 0 0 14 0 400 +Po 0 50016 32343 49988 32315 39 -1 De 0 0 14 0 0 +Po 3 50016 32343 50016 32343 157 -1 +De 15 1 14 0 0 +Po 0 50017 32344 50016 32343 39 -1 +De 15 0 14 0 0 Po 0 46643 33367 47765 33367 39 -1 De 15 0 15 0 800 Po 0 48072 33189 48151 33268 39 -1 @@ -13893,1322 +13947,1322 @@ Po 0 47923 33209 47923 33199 39 -1 De 15 0 15 0 0 Po 0 47765 33367 47923 33209 39 -1 De 15 0 15 0 0 -Po 0 46643 36437 47000 36437 39 -1 -De 15 0 16 0 800 -Po 0 47044 36393 47351 36393 39 -1 -De 0 0 16 0 400 -Po 0 47004 36433 47044 36393 39 -1 -De 0 0 16 0 0 -Po 3 47004 36433 47004 36433 157 -1 -De 15 1 16 0 0 -Po 0 47000 36437 47004 36433 39 -1 -De 15 0 16 0 0 -Po 0 46643 37972 46973 37972 39 -1 -De 15 0 17 0 800 -Po 0 47060 37885 47362 37885 39 -1 -De 0 0 17 0 400 -Po 0 47020 37925 47060 37885 39 -1 -De 0 0 17 0 0 -Po 3 47020 37925 47020 37925 157 -1 -De 15 1 17 0 0 -Po 0 46973 37972 47020 37925 39 -1 -De 15 0 17 0 0 -Po 0 49846 36535 49925 36535 39 -1 -De 0 0 18 0 800 -Po 0 50339 36949 50995 36949 39 -1 -De 15 0 18 0 400 -Po 0 50126 36736 50339 36949 39 -1 -De 15 0 18 0 0 -Po 3 50126 36736 50126 36736 157 -1 -De 15 1 18 0 0 -Po 0 49925 36535 50126 36736 39 -1 -De 0 0 18 0 0 -Po 0 50709 36949 50995 36949 39 -1 -De 15 0 18 0 400 -Po 0 49846 36338 50056 36338 39 -1 -De 0 0 19 0 800 -Po 0 50161 36437 50995 36437 39 -1 -De 15 0 19 0 400 -Po 0 50059 36335 50161 36437 39 -1 -De 15 0 19 0 0 -Po 3 50059 36335 50059 36335 157 -1 -De 15 1 19 0 0 -Po 0 50056 36338 50059 36335 39 -1 -De 0 0 19 0 0 -Po 0 49846 35945 50071 35945 39 -1 -De 0 0 20 0 800 -Po 0 50347 35669 50995 35669 39 -1 -De 15 0 20 0 400 -Po 0 50071 35945 50347 35669 39 -1 -De 15 0 20 0 0 -Po 3 50071 35945 50071 35945 157 -1 -De 15 1 20 0 0 -Po 0 50995 33879 50598 33879 39 -1 -De 15 0 21 0 800 -Po 0 50458 34019 49795 34019 39 -1 -De 0 0 21 0 400 -Po 0 50520 33957 50458 34019 39 -1 -De 0 0 21 0 0 -Po 3 50520 33957 50520 33957 157 -1 -De 15 1 21 0 0 -Po 0 50598 33879 50520 33957 39 -1 -De 15 0 21 0 0 -Po 0 50995 34901 50688 34901 39 -1 -De 15 0 22 0 800 -Po 0 50003 34216 49795 34216 39 -1 -De 0 0 22 0 400 -Po 0 50681 34894 50003 34216 39 -1 -De 0 0 22 0 0 -Po 3 50681 34894 50681 34894 157 -1 -De 15 1 22 0 0 -Po 0 50688 34901 50681 34894 39 -1 -De 15 0 22 0 0 -Po 0 46643 34391 47017 34391 79 -1 -De 15 0 23 0 800 -Po 0 47265 34290 47265 34154 79 -1 -De 0 0 23 0 400 -Po 0 47165 34390 47265 34290 79 -1 -De 0 0 23 0 0 -Po 0 47018 34390 47165 34390 79 -1 -De 0 0 23 0 0 -Po 3 47018 34390 47018 34390 157 -1 -De 15 1 23 0 0 -Po 0 47017 34391 47018 34390 79 -1 -De 15 0 23 0 0 -Po 0 47265 34646 47265 34154 79 -1 -De 0 0 23 0 C00 -Po 0 47265 33661 47265 34154 79 -1 -De 0 0 23 0 C00 -Po 0 47265 33169 47265 33661 79 -1 -De 0 0 23 0 C00 -Po 0 48577 24659 48579 24661 118 -1 -De 15 0 36 0 800 -Po 0 48528 25455 48499 25484 118 -1 -De 0 0 36 0 400 -Po 0 48528 25126 48528 25455 118 -1 -De 0 0 36 0 0 -Po 3 48528 25126 48528 25126 157 -1 -De 15 1 36 0 0 -Po 0 48579 25075 48528 25126 118 -1 -De 15 0 36 0 0 -Po 0 48579 24661 48579 25075 118 -1 -De 15 0 36 0 0 -Po 0 48499 25878 48499 25484 118 -1 -De 0 0 36 0 C00 -Po 0 47867 26665 47867 26307 118 -1 -De 0 0 36 0 800 -Po 0 48296 25878 48499 25878 118 -1 -De 0 0 36 0 400 -Po 0 47867 26307 48296 25878 118 -1 -De 0 0 36 0 0 -Po 0 46350 24845 46350 25118 118 -1 -De 15 0 37 0 800 -Po 0 46350 25118 46500 25268 118 -1 -De 15 0 37 0 0 -Po 0 46698 24362 46698 24676 118 -1 -De 0 0 37 0 800 -Po 0 46500 24874 46500 25268 118 -1 -De 0 0 37 0 0 -Po 0 46698 24676 46500 24874 118 -1 -De 0 0 37 0 0 -Po 0 47062 25387 46627 25387 118 -1 -De 15 0 37 0 800 -Po 0 46496 25272 46488 25272 39 -1 -De 0 0 37 0 0 -Po 0 46500 25268 46496 25272 39 -1 -De 0 0 37 0 0 -Po 3 46500 25268 46500 25268 157 -1 -De 15 1 37 0 0 -Po 0 46504 25272 46500 25268 39 -1 -De 15 0 37 0 0 -Po 0 46512 25272 46504 25272 39 -1 -De 15 0 37 0 0 -Po 0 46627 25387 46512 25272 118 -1 -De 15 0 37 0 0 -Po 0 47062 25387 47365 25387 118 -1 -De 15 0 37 0 800 -Po 0 47490 25394 47490 25265 118 -1 -De 0 0 37 0 400 -Po 0 47431 25453 47490 25394 118 -1 -De 0 0 37 0 0 -Po 3 47431 25453 47431 25453 157 -1 -De 15 1 37 0 0 -Po 0 47365 25387 47431 25453 118 -1 -De 15 0 37 0 0 -Po 0 55291 30075 55291 30240 39 -1 -De 15 0 38 0 0 -Po 0 55291 30240 55286 30245 39 -1 -De 15 0 38 0 400 -Po 0 55286 30245 55285 30245 39 -1 -De 15 0 38 0 800 -Po 0 51919 27677 51919 28655 39 -1 -De 0 0 38 0 0 -Po 0 50594 25781 51919 27106 39 -1 -De 15 0 38 0 0 -Po 0 51919 27106 51919 27677 39 -1 -De 15 0 38 0 0 -Po 3 51919 27677 51919 27677 157 -1 -De 15 1 38 0 0 -Po 0 50288 25781 50594 25781 39 -1 -De 15 0 38 0 800 -Po 0 55291 30035 55291 30075 39 -1 -De 15 0 38 0 0 -Po 0 55291 30075 55291 30079 39 -1 -De 15 0 38 0 0 -Po 0 55205 29949 55291 30035 39 -1 -De 15 0 38 0 0 -Po 3 55205 29949 55205 29949 157 -1 -De 15 1 38 0 0 -Po 0 55205 29945 55205 29949 39 -1 -De 0 0 38 0 0 -Po 0 54929 29669 55205 29945 39 -1 -De 0 0 38 0 0 -Po 0 54756 29669 54929 29669 39 -1 -De 0 0 38 0 0 -Po 0 54230 29143 54756 29669 39 -1 -De 0 0 38 0 0 -Po 0 52407 29143 54230 29143 39 -1 -De 0 0 38 0 0 -Po 0 51919 28655 52407 29143 39 -1 -De 0 0 38 0 0 -Po 0 49855 24130 49846 24130 79 -1 -De 0 0 39 0 800 -Po 0 49846 24130 49764 24212 79 -1 -De 0 0 39 0 0 -Po 0 49560 24659 49560 24421 79 -1 -De 15 0 39 0 800 -Po 0 49764 24212 49764 24209 79 -1 -De 0 0 39 0 0 -Po 0 49657 24319 49764 24212 79 -1 -De 0 0 39 0 0 -Po 3 49657 24319 49657 24319 157 -1 -De 15 1 39 0 0 -Po 0 49657 24324 49657 24319 79 -1 -De 15 0 39 0 0 -Po 0 49560 24421 49657 24324 79 -1 -De 15 0 39 0 0 -Po 0 49363 24659 49363 24987 79 -1 -De 15 0 40 0 800 -Po 0 49241 24143 49241 24124 79 -1 -De 0 0 40 0 400 -Po 0 49360 24262 49241 24143 79 -1 -De 0 0 40 0 0 -Po 0 49360 24970 49360 24262 79 -1 -De 0 0 40 0 0 -Po 3 49360 24970 49360 24970 157 -1 -De 15 1 40 0 0 -Po 0 49360 24984 49360 24970 79 -1 -De 15 0 40 0 0 -Po 0 49363 24987 49360 24984 79 -1 -De 15 0 40 0 0 -Po 0 47361 27878 47361 28070 39 -1 -De 0 0 41 0 800 -Po 0 47361 28070 47677 28386 39 -1 -De 0 0 41 0 0 -Po 0 47628 28337 47677 28386 39 -1 -De 0 0 41 0 0 -Po 0 54104 31426 54017 31339 39 -1 -De 15 0 41 0 800 -Po 0 51291 29550 53113 29550 39 -1 -De 3 0 41 0 0 -Po 0 47593 28302 47677 28386 39 -1 -De 15 0 41 0 0 -Po 3 47677 28386 47677 28386 157 -1 -De 15 1 41 0 0 -Po 0 47677 28386 48093 28802 39 -1 -De 3 0 41 0 0 -Po 0 48093 28802 50431 28802 39 -1 -De 3 0 41 0 0 -Po 0 50431 28802 51179 29550 39 -1 -De 3 0 41 0 0 -Po 0 51179 29550 51291 29550 39 -1 -De 3 0 41 0 0 -Po 0 47593 28302 47593 27885 39 -1 -De 15 0 41 0 400 -Po 3 53898 31220 53898 31220 157 -1 -De 15 1 41 0 0 -Po 0 53720 31042 53898 31220 39 -1 -De 3 0 41 0 0 -Po 0 53720 30157 53720 31042 39 -1 -De 3 0 41 0 0 -Po 0 53113 29550 53720 30157 39 -1 -De 3 0 41 0 0 -Po 0 53997 31319 53898 31220 39 -1 -De 15 0 41 0 0 -Po 0 54017 31339 53997 31319 39 -1 -De 15 0 41 0 0 -Po 0 47515 26665 47515 27059 118 -1 -De 0 0 42 0 C00 -Po 0 47062 27157 47354 27157 118 -1 -De 15 0 42 0 800 -Po 0 47468 27059 47515 27059 118 -1 -De 0 0 42 0 400 -Po 0 47362 27165 47468 27059 118 -1 -De 0 0 42 0 0 -Po 3 47362 27165 47362 27165 157 -1 -De 15 1 42 0 0 -Po 0 47354 27157 47362 27165 118 -1 -De 15 0 42 0 0 -Po 0 53917 30394 53917 30452 39 -1 -De 15 0 43 0 0 -Po 0 53917 30452 54104 30639 39 -1 -De 15 0 43 0 400 -Po 0 50666 29004 51040 29378 39 -1 -De 15 0 43 0 0 -Po 0 50312 28650 50666 29004 39 -1 -De 15 0 43 0 0 -Po 0 49803 28650 50312 28650 39 -1 -De 15 0 43 0 0 -Po 0 53917 30394 53917 30354 39 -1 -De 15 0 43 0 0 -Po 0 53917 30058 53917 30394 39 -1 -De 15 0 43 0 0 -Po 0 53237 29378 53917 30058 39 -1 -De 15 0 43 0 0 -Po 0 51040 29378 53237 29378 39 -1 -De 15 0 43 0 0 -Po 0 48577 27885 48577 28348 39 -1 -De 15 0 43 0 800 -Po 0 48879 28650 49803 28650 39 -1 -De 15 0 43 0 0 -Po 0 49803 28650 49806 28650 39 -1 -De 15 0 43 0 0 -Po 0 48577 28348 48879 28650 39 -1 -De 15 0 43 0 0 -Po 0 53524 30315 53642 30433 39 -1 -De 15 0 44 0 0 -Po 0 53150 29606 53524 29980 39 -1 -De 15 0 44 0 0 -Po 0 53524 29980 53524 30315 39 -1 -De 15 0 44 0 0 -Po 0 50984 29606 50865 29487 39 -1 -De 15 0 44 0 0 -Po 0 48184 27885 48184 28239 39 -1 -De 15 0 44 0 800 -Po 0 50230 28852 50481 29103 39 -1 -De 15 0 44 0 0 -Po 0 48797 28852 50230 28852 39 -1 -De 15 0 44 0 0 -Po 0 48184 28239 48797 28852 39 -1 -De 15 0 44 0 0 -Po 0 50865 29487 50481 29103 39 -1 -De 15 0 44 0 0 -Po 0 50984 29606 53150 29606 39 -1 -De 15 0 44 0 0 -Po 0 53878 30806 54104 31032 39 -1 -De 15 0 44 0 400 -Po 0 53878 30571 53878 30806 39 -1 -De 15 0 44 0 0 -Po 0 53740 30433 53878 30571 39 -1 -De 15 0 44 0 0 -Po 0 53642 30433 53740 30433 39 -1 -De 15 0 44 0 0 -Po 0 54774 30531 54774 30532 39 -1 -De 15 0 45 0 0 -Po 0 49560 27885 49560 28142 39 -1 -De 15 0 45 0 800 -Po 0 54685 30442 54774 30531 39 -1 -De 15 0 45 0 0 -Po 0 54685 30118 54685 30442 39 -1 -De 15 0 45 0 0 -Po 0 54518 29951 54685 30118 39 -1 -De 15 0 45 0 0 -Po 0 54301 29951 54518 29951 39 -1 -De 15 0 45 0 0 -Po 0 53356 29006 54301 29951 39 -1 -De 15 0 45 0 0 -Po 0 51122 29006 53356 29006 39 -1 -De 15 0 45 0 0 -Po 0 50423 28307 51122 29006 39 -1 -De 15 0 45 0 0 -Po 0 49725 28307 50423 28307 39 -1 -De 15 0 45 0 0 -Po 0 49560 28142 49725 28307 39 -1 -De 15 0 45 0 0 -Po 0 54881 30639 54892 30639 39 -1 -De 15 0 45 0 400 -Po 0 54774 30532 54881 30639 39 -1 -De 15 0 45 0 0 -Po 0 55094 30831 55094 30840 39 -1 -De 15 0 46 0 0 -Po 0 50571 26370 51236 27035 39 -1 -De 15 0 46 0 0 -Po 0 51236 27035 51236 27043 39 -1 -De 15 0 46 0 0 -Po 0 51236 27043 51421 27228 39 -1 -De 15 0 46 0 0 -Po 0 51421 27228 51421 27520 39 -1 -De 15 0 46 0 0 -Po 3 51421 27520 51421 27520 157 -1 -De 15 1 46 0 0 -Po 0 51421 27520 51425 27524 39 -1 -De 0 0 46 0 0 -Po 0 51425 27524 51425 28783 39 -1 -De 0 0 46 0 0 -Po 0 51425 28783 52088 29446 39 -1 -De 0 0 46 0 0 -Po 0 52088 29446 54053 29446 39 -1 -De 0 0 46 0 0 -Po 0 54053 29446 54902 30295 39 -1 -De 0 0 46 0 0 -Po 0 54902 30295 54902 30658 39 -1 -De 0 0 46 0 0 -Po 0 54902 30658 55075 30831 39 -1 -De 0 0 46 0 0 -Po 0 55075 30831 55094 30831 39 -1 -De 0 0 46 0 0 -Po 3 55094 30831 55094 30831 157 -1 -De 15 1 46 0 0 -Po 0 50288 26370 50571 26370 39 -1 -De 15 0 46 0 800 -Po 0 55094 30840 55286 31032 39 -1 -De 15 0 46 0 400 -Po 0 51752 27530 51752 28646 39 -1 -De 0 0 47 0 0 -Po 0 54892 29915 54892 30245 39 -1 -De 15 0 47 0 400 -Po 0 54890 29913 54892 29915 39 -1 -De 15 0 47 0 0 -Po 3 54890 29913 54890 29913 157 -1 -De 15 1 47 0 0 -Po 0 54768 29791 54890 29913 39 -1 -De 0 0 47 0 0 -Po 0 54685 29791 54768 29791 39 -1 -De 0 0 47 0 0 -Po 0 54138 29244 54685 29791 39 -1 -De 0 0 47 0 0 -Po 0 52350 29244 54138 29244 39 -1 -De 0 0 47 0 0 -Po 0 51752 28646 52350 29244 39 -1 -De 0 0 47 0 0 -Po 0 50288 25977 50544 25977 39 -1 -De 15 0 47 0 800 -Po 0 51752 27530 51762 27520 39 -1 -De 0 0 47 0 0 -Po 3 51752 27530 51752 27530 157 -1 -De 15 1 47 0 0 -Po 0 51752 27185 51752 27530 39 -1 -De 15 0 47 0 0 -Po 0 50544 25977 51752 27185 39 -1 -De 15 0 47 0 0 -Po 0 47455 20272 48244 20272 79 -1 -De 0 0 48 0 800 -Po 0 48693 19823 48974 19823 79 -1 -De 0 0 48 0 400 -Po 0 48244 20272 48693 19823 79 -1 -De 0 0 48 0 0 -Po 0 48184 24083 48184 23633 79 -1 -De 15 0 48 0 0 -Po 0 48728 19823 48974 19823 79 -1 -De 15 0 48 0 400 -Po 0 48004 20547 48728 19823 79 -1 -De 15 0 48 0 0 -Po 0 48004 22110 48004 20547 79 -1 -De 15 0 48 0 0 -Po 0 47579 22535 48004 22110 79 -1 -De 15 0 48 0 0 -Po 0 47579 23028 47579 22535 79 -1 -De 15 0 48 0 0 -Po 0 48184 23633 47579 23028 79 -1 -De 15 0 48 0 0 -Po 0 48184 24659 48184 24083 79 -1 -De 15 0 48 0 800 -Po 0 48184 24083 48184 24080 79 -1 -De 15 0 48 0 0 -Po 0 47455 20863 48434 20863 79 -1 -De 0 0 49 0 800 -Po 0 48434 20863 48474 20823 79 -1 -De 0 0 49 0 400 -Po 0 48528 23834 48528 20877 79 -1 -De 15 0 49 0 0 -Po 0 48528 20877 48474 20823 79 -1 -De 15 0 49 0 400 -Po 0 48380 24659 48380 23982 79 -1 -De 15 0 49 0 800 -Po 0 48380 23982 48528 23834 79 -1 -De 15 0 49 0 0 -Po 0 48528 23834 48533 23829 79 -1 -De 15 0 49 0 0 -Po 0 50253 18107 50221 18107 118 -1 -De 0 0 50 0 800 -Po 0 49437 17323 47974 17323 118 -1 -De 0 0 50 0 400 -Po 0 50221 18107 49437 17323 118 -1 -De 0 0 50 0 0 -Po 0 50253 18107 50253 18070 118 -1 -De 0 0 50 0 800 -Po 0 51000 17323 52474 17323 118 -1 -De 0 0 50 0 400 -Po 0 50253 18070 51000 17323 118 -1 -De 0 0 50 0 0 -Po 0 53324 18523 53324 18173 118 -1 -De 0 0 50 0 800 -Po 0 53324 18173 52474 17323 118 -1 -De 0 0 50 0 400 -Po 0 47124 18523 47124 18173 118 -1 -De 0 0 50 0 800 -Po 0 47124 18173 47974 17323 118 -1 -De 0 0 50 0 400 -Po 0 50253 18107 50253 18550 118 -1 -De 0 0 50 0 800 -Po 0 50253 18550 50263 18560 118 -1 -De 0 0 50 0 400 -Po 0 52812 20272 52812 20261 79 -1 -De 0 0 51 0 800 -Po 0 52374 19823 51974 19823 79 -1 -De 0 0 51 0 400 -Po 0 52812 20261 52374 19823 79 -1 -De 0 0 51 0 0 -Po 0 47579 25974 47585 25974 79 -1 -De 3 0 51 0 0 -Po 0 47579 25974 47461 25974 79 -1 -De 3 0 51 0 0 -Po 3 47461 25974 47461 25974 157 -1 -De 15 1 51 0 0 -Po 0 47461 25974 47458 25977 79 -1 -De 15 0 51 0 0 -Po 0 47062 25977 47458 25977 79 -1 -De 15 0 51 0 800 -Po 0 52004 19853 51974 19823 79 -1 -De 3 0 51 0 400 -Po 0 52004 20941 52004 19853 79 -1 -De 3 0 51 0 0 -Po 0 51662 21283 52004 20941 79 -1 -De 3 0 51 0 0 -Po 0 51551 21283 51662 21283 79 -1 -De 3 0 51 0 0 -Po 0 47972 24862 51551 21283 79 -1 -De 3 0 51 0 0 -Po 0 47972 25587 47972 24862 79 -1 -De 3 0 51 0 0 -Po 0 47585 25974 47972 25587 79 -1 -De 3 0 51 0 0 -Po 0 52812 20863 51514 20863 79 -1 -De 0 0 52 0 800 -Po 0 51514 20863 51474 20823 79 -1 -De 0 0 52 0 400 -Po 0 47510 25738 47831 25417 79 -1 -De 3 0 52 0 0 -Po 0 51474 21132 51474 20823 79 -1 -De 3 0 52 0 400 -Po 0 47831 24775 51474 21132 79 -1 -De 3 0 52 0 0 -Po 0 47831 25417 47831 24775 79 -1 -De 3 0 52 0 0 -Po 3 47510 25738 47510 25738 157 -1 -De 15 1 52 0 0 -Po 0 47520 25738 47510 25738 79 -1 -De 3 0 52 0 0 -Po 0 47467 25781 47062 25781 79 -1 -De 15 0 52 0 400 -Po 0 47510 25738 47467 25781 79 -1 -De 15 0 52 0 0 -Po 0 50965 29675 52973 29675 39 -1 -De 0 0 53 0 0 -Po 0 53317 30049 53317 30245 39 -1 -De 15 0 53 0 400 -Po 3 53219 29951 53219 29951 157 -1 -De 15 1 53 0 0 -Po 0 53317 30049 53219 29951 39 -1 -De 15 0 53 0 0 -Po 0 53219 29921 53219 29951 39 -1 -De 0 0 53 0 0 -Po 0 52973 29675 53219 29921 39 -1 -De 0 0 53 0 0 -Po 0 47413 26960 47062 26960 39 -1 -De 15 0 53 0 400 -Po 0 47805 27352 47413 26960 39 -1 -De 15 0 53 0 0 -Po 3 47805 27352 47805 27352 157 -1 -De 15 1 53 0 0 -Po 0 47815 27362 47805 27352 39 -1 -De 0 0 53 0 0 -Po 0 47815 27382 47815 27362 39 -1 -De 0 0 53 0 0 -Po 0 49134 28701 47815 27382 39 -1 -De 0 0 53 0 0 -Po 0 49991 28701 49134 28701 39 -1 -De 0 0 53 0 0 -Po 0 50955 29665 50965 29675 39 -1 -De 0 0 53 0 0 -Po 0 50965 29675 49991 28701 39 -1 -De 0 0 53 0 0 -Po 0 52087 27530 52087 28563 39 -1 -De 0 0 54 0 0 -Po 0 50574 25584 52077 27087 39 -1 -De 15 0 54 0 0 -Po 0 52077 27087 52077 27520 39 -1 -De 15 0 54 0 0 -Po 0 52077 27520 52087 27530 39 -1 -De 15 0 54 0 0 -Po 3 52087 27530 52087 27530 157 -1 -De 15 1 54 0 0 -Po 0 50288 25584 50574 25584 39 -1 -De 15 0 54 0 800 -Po 0 55476 30436 55679 30639 39 -1 -De 15 0 54 0 400 -Po 0 55476 30054 55476 30436 39 -1 -De 15 0 54 0 0 -Po 0 55465 30043 55476 30054 39 -1 -De 15 0 54 0 0 -Po 3 55465 30043 55465 30043 157 -1 -De 15 1 54 0 0 -Po 0 55469 30039 55465 30043 39 -1 -De 0 0 54 0 0 -Po 0 55469 29981 55469 30039 39 -1 -De 0 0 54 0 0 -Po 0 54530 29042 55469 29981 39 -1 -De 0 0 54 0 0 -Po 0 52566 29042 54530 29042 39 -1 -De 0 0 54 0 0 -Po 0 52087 28563 52566 29042 39 -1 -De 0 0 54 0 0 -Po 0 52254 27677 52254 28545 39 -1 -De 0 0 55 0 0 -Po 0 49757 24738 49950 24931 39 -1 -De 15 0 55 0 0 -Po 0 49950 24931 50473 24931 39 -1 -De 15 0 55 0 0 -Po 0 50473 24931 50684 25142 39 -1 -De 15 0 55 0 0 -Po 0 50684 25142 50684 25506 39 -1 -De 15 0 55 0 0 -Po 0 50684 25506 52254 27076 39 -1 -De 15 0 55 0 0 -Po 0 52254 27076 52254 27677 39 -1 -De 15 0 55 0 0 -Po 3 52254 27677 52254 27677 157 -1 -De 15 1 55 0 0 -Po 0 49757 24659 49757 24738 39 -1 -De 15 0 55 0 800 -Po 0 55679 29896 55679 30245 39 -1 -De 15 0 55 0 400 -Po 0 55661 29878 55679 29896 39 -1 -De 15 0 55 0 0 -Po 3 55661 29878 55661 29878 157 -1 -De 15 1 55 0 0 -Po 0 55661 29854 55661 29878 39 -1 -De 0 0 55 0 0 -Po 0 54740 28933 55661 29854 39 -1 -De 0 0 55 0 0 -Po 0 52642 28933 54740 28933 39 -1 -De 0 0 55 0 0 -Po 0 52254 28545 52642 28933 39 -1 -De 0 0 55 0 0 -Po 3 54301 31220 54301 31220 157 -1 -De 15 1 56 0 0 -Po 0 53249 29449 53888 30088 39 -1 -De 3 0 56 0 0 -Po 0 53888 30088 53888 30807 39 -1 -De 3 0 56 0 0 -Po 0 53888 30807 54301 31220 39 -1 -De 3 0 56 0 0 -Po 0 47790 27885 47790 28263 39 -1 -De 15 0 56 0 800 -Po 0 51220 29449 51299 29449 39 -1 -De 3 0 56 0 0 -Po 0 50472 28701 51220 29449 39 -1 -De 3 0 56 0 0 -Po 0 48228 28701 50472 28701 39 -1 -De 3 0 56 0 0 -Po 0 47913 28386 48228 28701 39 -1 -De 3 0 56 0 0 -Po 3 47913 28386 47913 28386 157 -1 -De 15 1 56 0 0 -Po 0 47790 28263 47913 28386 39 -1 -De 15 0 56 0 0 -Po 0 51299 29449 53249 29449 39 -1 -De 3 0 56 0 0 -Po 0 54498 31417 54498 31426 39 -1 -De 15 0 56 0 400 -Po 0 54301 31220 54498 31417 39 -1 -De 15 0 56 0 0 -Po 0 51221 29308 53353 29308 39 -1 -De 3 0 57 0 0 -Po 0 47118 27354 47244 27480 39 -1 -De 15 0 57 0 0 -Po 3 47244 27480 47244 27480 157 -1 -De 15 1 57 0 0 -Po 0 47244 27480 48347 28583 39 -1 -De 3 0 57 0 0 -Po 0 48347 28583 50496 28583 39 -1 -De 3 0 57 0 0 -Po 0 50496 28583 51221 29308 39 -1 -De 3 0 57 0 0 -Po 0 47062 27354 47118 27354 39 -1 -De 15 0 57 0 800 -Po 0 54498 31013 54498 31032 39 -1 -De 15 0 57 0 400 -Po 0 54331 30846 54498 31013 39 -1 -De 15 0 57 0 0 -Po 3 54331 30846 54331 30846 157 -1 -De 15 1 57 0 0 -Po 0 54331 30827 54331 30846 39 -1 -De 3 0 57 0 0 -Po 0 54094 30590 54331 30827 39 -1 -De 3 0 57 0 0 -Po 0 54094 30049 54094 30590 39 -1 -De 3 0 57 0 0 -Po 0 53353 29308 54094 30049 39 -1 -De 3 0 57 0 0 -Po 0 54370 30167 54370 30168 39 -1 -De 15 0 58 0 0 -Po 0 54447 30245 54498 30245 39 -1 -De 15 0 58 0 400 -Po 0 54370 30168 54447 30245 39 -1 -De 15 0 58 0 0 -Po 0 53346 29163 53366 29163 39 -1 -De 15 0 58 0 0 -Po 0 53366 29163 54370 30167 39 -1 -De 15 0 58 0 0 -Po 0 54370 30167 54360 30157 39 -1 -De 15 0 58 0 0 -Po 0 49363 27885 49363 28152 39 -1 -De 15 0 58 0 800 -Po 0 51121 29163 53346 29163 39 -1 -De 15 0 58 0 0 -Po 0 53346 29163 53336 29163 39 -1 -De 15 0 58 0 0 -Po 0 50373 28415 51121 29163 39 -1 -De 15 0 58 0 0 -Po 0 49626 28415 50373 28415 39 -1 -De 15 0 58 0 0 -Po 0 49363 28152 49626 28415 39 -1 -De 15 0 58 0 0 -Po 0 53701 30000 53701 30235 39 -1 -De 15 0 59 0 0 -Po 0 51022 29502 53203 29502 39 -1 -De 15 0 59 0 0 -Po 0 53203 29502 53701 30000 39 -1 -De 15 0 59 0 0 -Po 0 48800 28713 48838 28751 39 -1 -De 15 0 59 0 0 -Po 0 48380 27885 48380 28293 39 -1 -De 15 0 59 0 800 -Po 0 48380 28293 48800 28713 39 -1 -De 15 0 59 0 0 -Po 0 50271 28751 50763 29243 39 -1 -De 15 0 59 0 0 -Po 0 48838 28751 50271 28751 39 -1 -De 15 0 59 0 0 -Po 0 50763 29243 51022 29502 39 -1 -De 15 0 59 0 0 -Po 0 53701 30235 53711 30245 39 -1 -De 15 0 59 0 400 -Po 0 53110 30728 53110 30748 39 -1 -De 15 0 60 0 0 -Po 0 53110 30748 53208 30846 39 -1 -De 15 0 60 0 0 -Po 0 53525 30846 53711 31032 39 -1 -De 15 0 60 0 400 -Po 0 53208 30846 53525 30846 39 -1 -De 15 0 60 0 0 -Po 0 52520 29724 52697 29724 39 -1 -De 15 0 60 0 0 -Po 0 51536 29724 52520 29724 39 -1 -De 15 0 60 0 0 -Po 0 48756 28953 50174 28953 39 -1 -De 15 0 60 0 0 -Po 0 47996 28193 47996 27894 39 -1 -De 15 0 60 0 0 -Po 0 48756 28953 47996 28193 39 -1 -De 15 0 60 0 0 -Po 0 47987 27885 47996 27894 39 -1 -De 15 0 60 0 800 -Po 0 50174 28953 50945 29724 39 -1 -De 15 0 60 0 0 -Po 0 50945 29724 51536 29724 39 -1 -De 15 0 60 0 0 -Po 0 53110 30137 53110 30728 39 -1 -De 15 0 60 0 0 -Po 0 52697 29724 53110 30137 39 -1 -De 15 0 60 0 0 -Po 0 54055 30039 54075 30059 39 -1 -De 15 0 61 0 0 -Po 0 51052 29248 51082 29278 39 -1 -De 15 0 61 0 0 -Po 0 51082 29278 53293 29278 39 -1 -De 15 0 61 0 0 -Po 0 53293 29278 54054 30039 39 -1 -De 15 0 61 0 0 -Po 0 54054 30039 54055 30039 39 -1 -De 15 0 61 0 0 -Po 0 50610 28806 51052 29248 39 -1 -De 15 0 61 0 0 -Po 0 54104 30089 54104 30245 39 -1 -De 15 0 61 0 400 -Po 0 54075 30060 54104 30089 39 -1 -De 15 0 61 0 0 -Po 0 54075 30059 54075 30060 39 -1 -De 15 0 61 0 0 -Po 0 49166 27885 49166 28199 39 -1 -De 15 0 61 0 800 -Po 0 50347 28543 50610 28806 39 -1 -De 15 0 61 0 0 -Po 0 50610 28806 50601 28797 39 -1 -De 15 0 61 0 0 -Po 0 49510 28543 50347 28543 39 -1 -De 15 0 61 0 0 -Po 0 49166 28199 49510 28543 39 -1 -De 15 0 61 0 0 -Po 0 54695 30837 54696 30837 39 -1 -De 3 0 62 0 0 -Po 0 50513 26960 50945 27392 39 -1 -De 15 0 62 0 0 -Po 0 50945 27392 50945 28021 39 -1 -De 15 0 62 0 0 -Po 0 50945 28021 50955 28031 39 -1 -De 15 0 62 0 0 -Po 3 50955 28031 50955 28031 157 -1 -De 15 1 62 0 0 -Po 0 50955 28031 51920 28996 39 -1 -De 3 0 62 0 0 -Po 0 51920 28996 53426 28996 39 -1 -De 3 0 62 0 0 -Po 0 53426 28996 54685 30255 39 -1 -De 3 0 62 0 0 -Po 0 54685 30255 54685 30827 39 -1 -De 3 0 62 0 0 -Po 0 54685 30827 54695 30837 39 -1 -De 3 0 62 0 0 -Po 0 50288 26960 50513 26960 39 -1 -De 15 0 62 0 800 -Po 0 54891 31032 54892 31032 39 -1 -De 15 0 62 0 400 -Po 0 54715 30856 54891 31032 39 -1 -De 15 0 62 0 0 -Po 3 54715 30856 54715 30856 157 -1 -De 15 1 62 0 0 -Po 0 54696 30837 54715 30856 39 -1 -De 3 0 62 0 0 -Po 0 55512 31260 55513 31260 39 -1 -De 15 0 63 0 0 -Po 0 55513 31260 55679 31426 39 -1 -De 15 0 63 0 400 -Po 0 51378 28957 51379 28957 39 -1 -De 0 0 63 0 0 -Po 0 55492 31240 55512 31260 39 -1 -De 15 0 63 0 0 -Po 0 55512 31260 55502 31250 39 -1 -De 15 0 63 0 0 -Po 3 55492 31240 55492 31240 157 -1 -De 15 1 63 0 0 -Po 0 55482 31240 55492 31240 39 -1 -De 0 0 63 0 0 -Po 0 55295 31053 55482 31240 39 -1 -De 0 0 63 0 0 -Po 0 54655 31053 55295 31053 39 -1 -De 0 0 63 0 0 -Po 0 54528 30926 54655 31053 39 -1 -De 0 0 63 0 0 -Po 0 54528 30296 54528 30926 39 -1 -De 0 0 63 0 0 -Po 0 53779 29547 54528 30296 39 -1 -De 0 0 63 0 0 -Po 0 51969 29547 53779 29547 39 -1 -De 0 0 63 0 0 -Po 0 51379 28957 51969 29547 39 -1 -De 0 0 63 0 0 -Po 0 51260 27687 51260 27766 39 -1 -De 0 0 63 0 0 -Po 0 50288 26567 50523 26567 39 -1 -De 15 0 63 0 800 -Po 3 51260 27687 51260 27687 157 -1 -De 15 1 63 0 0 -Po 0 51260 27304 51260 27687 39 -1 -De 15 0 63 0 0 -Po 0 50523 26567 51260 27304 39 -1 -De 15 0 63 0 0 -Po 0 51280 28859 51378 28957 39 -1 -De 0 0 63 0 0 -Po 0 51378 28957 51378 28957 39 -1 -De 0 0 63 0 0 -Po 0 51280 27786 51280 28859 39 -1 -De 0 0 63 0 0 -Po 0 51260 27766 51280 27786 39 -1 -De 0 0 63 0 0 -Po 0 55488 30846 55493 30846 39 -1 -De 15 0 64 0 0 -Po 0 55493 30846 55679 31032 39 -1 -De 15 0 64 0 400 -Po 0 54756 30004 54756 30008 39 -1 -De 0 0 64 0 0 -Po 0 51585 28737 52193 29345 39 -1 -De 0 0 64 0 0 -Po 0 52193 29345 54097 29345 39 -1 -De 0 0 64 0 0 -Po 0 54097 29345 54756 30004 39 -1 -De 0 0 64 0 0 -Po 0 50288 26174 50599 26174 39 -1 -De 15 0 64 0 800 -Po 3 51585 27697 51585 27697 157 -1 -De 15 1 64 0 0 -Po 0 51585 27160 51585 27697 39 -1 -De 15 0 64 0 0 -Po 0 50599 26174 51585 27160 39 -1 -De 15 0 64 0 0 -Po 0 51585 27697 51585 28737 39 -1 -De 0 0 64 0 0 -Po 0 55496 30838 55496 30835 39 -1 -De 15 0 64 0 0 -Po 0 55488 30846 55496 30838 39 -1 -De 15 0 64 0 0 -Po 3 55488 30846 55488 30846 157 -1 -De 15 1 64 0 0 -Po 0 55480 30846 55488 30846 39 -1 -De 0 0 64 0 0 -Po 0 55480 30531 55480 30846 39 -1 -De 0 0 64 0 0 -Po 0 55189 30240 55480 30531 39 -1 -De 0 0 64 0 0 -Po 0 54988 30240 55189 30240 39 -1 -De 0 0 64 0 0 -Po 0 54756 30008 54988 30240 39 -1 -De 0 0 64 0 0 -Po 0 55089 31230 55089 31241 39 -1 -De 3 0 65 0 0 -Po 0 50532 26763 51102 27333 39 -1 -De 15 0 65 0 0 -Po 0 51102 27333 51102 27844 39 -1 -De 15 0 65 0 0 -Po 0 51102 27844 51112 27854 39 -1 -De 15 0 65 0 0 -Po 3 51112 27854 51112 27854 157 -1 -De 15 1 65 0 0 -Po 0 51112 27854 52106 28848 39 -1 -De 3 0 65 0 0 -Po 0 52106 28848 53444 28848 39 -1 -De 3 0 65 0 0 -Po 0 53444 28848 54902 30306 39 -1 -De 3 0 65 0 0 -Po 0 54902 30306 54902 31043 39 -1 -De 3 0 65 0 0 -Po 0 54902 31043 55089 31230 39 -1 -De 3 0 65 0 0 -Po 0 50288 26763 50532 26763 39 -1 -De 15 0 65 0 800 -Po 0 55274 31426 55286 31426 39 -1 -De 15 0 65 0 400 -Po 0 55118 31270 55274 31426 39 -1 -De 15 0 65 0 0 -Po 3 55118 31270 55118 31270 157 -1 -De 15 1 65 0 0 -Po 0 55089 31241 55118 31270 39 -1 -De 3 0 65 0 0 -Po 0 50288 27157 50504 27157 39 -1 -De 15 0 66 0 800 -Po 3 50945 28268 50945 28268 157 -1 -De 15 1 66 0 0 -Po 0 50945 28268 50945 28307 39 -1 -De 3 0 66 0 0 -Po 0 50945 28307 51811 29173 39 -1 -De 3 0 66 0 0 -Po 0 51811 29173 53425 29173 39 -1 -De 3 0 66 0 0 -Po 0 53425 29173 54518 30266 39 -1 -De 3 0 66 0 0 -Po 0 54518 30266 54518 31064 39 -1 -De 3 0 66 0 0 -Po 0 54518 31064 54724 31270 39 -1 -De 3 0 66 0 0 -Po 3 54724 31270 54724 31270 157 -1 -De 15 1 66 0 0 -Po 0 54724 31270 54880 31426 39 -1 -De 15 0 66 0 0 -Po 0 54880 31426 54892 31426 39 -1 -De 15 0 66 0 400 -Po 0 50915 28268 50945 28268 39 -1 -De 15 0 66 0 0 -Po 0 50787 28140 50915 28268 39 -1 -De 15 0 66 0 0 -Po 0 50787 27440 50787 28140 39 -1 -De 15 0 66 0 0 -Po 0 50504 27157 50787 27440 39 -1 -De 15 0 66 0 0 -Po 0 50288 27157 50296 27165 39 -1 -De 15 0 66 0 800 -Po 0 50995 31320 50040 31320 39 -1 -De 15 0 67 0 800 -Po 0 50020 31338 49768 31338 39 -1 -De 0 0 67 0 400 -Po 0 50039 31319 50020 31338 39 -1 -De 0 0 67 0 0 -Po 3 50039 31319 50039 31319 157 -1 -De 15 1 67 0 0 -Po 0 50040 31320 50039 31319 39 -1 -De 15 0 67 0 0 -Po 0 49775 31922 50027 31922 39 -1 -De 0 0 68 0 800 -Po 0 50117 31832 50995 31832 39 -1 -De 15 0 68 0 400 -Po 0 50051 31898 50117 31832 39 -1 -De 15 0 68 0 0 -Po 3 50051 31898 50051 31898 157 -1 -De 15 1 68 0 0 -Po 0 50027 31922 50051 31898 39 -1 -De 0 0 68 0 0 -Po 0 47941 31937 47657 31937 39 -1 -De 0 0 69 0 800 -Po 0 47460 32599 46643 32599 39 -1 -De 15 0 69 0 400 -Po 0 47583 32476 47460 32599 39 -1 -De 15 0 69 0 0 -Po 0 47583 32011 47583 32476 39 -1 -De 15 0 69 0 0 -Po 0 47657 31937 47583 32011 39 -1 -De 15 0 69 0 0 -Po 3 47657 31937 47657 31937 157 -1 -De 15 1 69 0 0 -Po 0 50995 31064 50688 31064 39 -1 -De 15 0 70 0 800 -Po 0 50189 31142 49768 31142 39 -1 -De 0 0 70 0 400 -Po 0 50264 31067 50189 31142 39 -1 -De 0 0 70 0 0 -Po 0 50685 31067 50264 31067 39 -1 -De 0 0 70 0 0 -Po 3 50685 31067 50685 31067 157 -1 -De 15 1 70 0 0 -Po 0 50688 31064 50685 31067 39 -1 -De 15 0 70 0 0 -Po 0 47937 31338 47294 31338 39 -1 -De 0 0 71 0 800 -Po 0 47020 31064 46643 31064 39 -1 -De 15 0 71 0 400 -Po 0 47110 31154 47020 31064 39 -1 -De 15 0 71 0 0 -Po 3 47110 31154 47110 31154 157 -1 -De 15 1 71 0 0 -Po 0 47294 31338 47110 31154 39 -1 -De 0 0 71 0 0 -Po 0 47937 31142 47417 31142 39 -1 -De 0 0 72 0 800 -Po 0 47239 31320 46643 31320 39 -1 -De 15 0 72 0 400 -Po 0 47417 31142 47239 31320 39 -1 -De 15 0 72 0 0 -Po 3 47417 31142 47417 31142 157 -1 -De 15 1 72 0 0 -Po 0 47937 30945 47646 30945 39 -1 -De 0 0 73 0 800 -Po 0 47417 31576 46643 31576 39 -1 -De 15 0 73 0 400 -Po 0 47575 31418 47417 31576 39 -1 -De 15 0 73 0 0 -Po 0 47575 31016 47575 31418 39 -1 -De 15 0 73 0 0 -Po 0 47646 30945 47575 31016 39 -1 -De 15 0 73 0 0 -Po 3 47646 30945 47646 30945 157 -1 -De 15 1 73 0 0 -Po 0 47941 32527 47256 32527 39 -1 -De 0 0 74 0 800 -Po 0 46883 31832 46643 31832 39 -1 -De 15 0 74 0 400 -Po 0 46980 31929 46883 31832 39 -1 -De 15 0 74 0 0 -Po 3 46980 31929 46980 31929 157 -1 -De 15 1 74 0 0 -Po 0 46980 32251 46980 31929 39 -1 -De 0 0 74 0 0 -Po 0 47256 32527 46980 32251 39 -1 -De 0 0 74 0 0 -Po 0 50995 32344 50017 32344 39 -1 -De 15 0 75 0 800 -Po 0 49988 32315 49775 32315 39 -1 -De 0 0 75 0 400 -Po 0 50016 32343 49988 32315 39 -1 -De 0 0 75 0 0 -Po 3 50016 32343 50016 32343 157 -1 -De 15 1 75 0 0 -Po 0 50017 32344 50016 32343 39 -1 -De 15 0 75 0 0 -Po 0 50995 32088 50706 32088 39 -1 -De 15 0 76 0 800 -Po 0 50657 32119 49775 32119 39 -1 -De 0 0 76 0 400 -Po 0 50697 32079 50657 32119 39 -1 -De 0 0 76 0 0 -Po 3 50697 32079 50697 32079 157 -1 -De 15 1 76 0 0 -Po 0 50706 32088 50697 32079 39 -1 -De 15 0 76 0 0 -Po 0 50995 33367 50677 33367 39 -1 -De 15 0 77 0 800 -Po 3 50055 33610 50055 33610 157 -1 -De 15 1 77 0 0 -Po 0 50055 33610 50039 33626 39 -1 -De 0 0 77 0 0 -Po 0 50039 33626 49795 33626 39 -1 -De 0 0 77 0 400 -Po 0 50224 33441 50055 33610 39 -1 -De 15 0 77 0 0 -Po 0 50603 33441 50224 33441 39 -1 -De 15 0 77 0 0 -Po 0 50677 33367 50603 33441 39 -1 -De 15 0 77 0 0 Po 0 47112 33439 47033 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 46849 33623 46949 33533 39 -1 -De 15 0 78 0 0 +De 15 0 16 0 0 Po 3 46949 33533 46949 33533 157 -1 -De 15 1 78 0 0 +De 15 1 16 0 0 Po 0 46643 33623 46849 33623 39 -1 -De 15 0 78 0 800 +De 15 0 16 0 800 Po 0 47116 33439 47136 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 47136 33439 51551 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 47112 33439 47116 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 47033 33439 46949 33533 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51858 33439 52896 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51677 33439 51858 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 3 53126 33209 53126 33209 157 -1 -De 15 1 78 0 0 +De 15 1 16 0 0 Po 0 53126 33209 53317 33018 39 -1 -De 15 0 78 0 0 +De 15 0 16 0 0 Po 0 53317 33018 53317 33001 39 -1 -De 15 0 78 0 400 +De 15 0 16 0 400 Po 0 52896 33439 53126 33209 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51551 33439 51568 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51568 33439 51618 33489 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51677 33439 51668 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51668 33439 51618 33489 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51618 33439 51618 33489 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51618 33489 51618 33543 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51568 33593 51370 33593 39 -1 -De 0 0 78 0 400 +De 0 0 16 0 400 Po 0 51598 33563 51568 33593 39 -1 -De 0 0 78 0 0 +De 0 0 16 0 0 Po 3 51598 33563 51598 33563 157 -1 -De 15 1 78 0 0 +De 15 1 16 0 0 Po 0 51618 33543 51598 33563 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51551 33439 51618 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51618 33439 51677 33439 39 -1 -De 3 0 78 0 0 +De 3 0 16 0 0 Po 0 51370 33567 51370 33593 39 -1 -De 0 0 78 0 400 -Po 0 48219 33358 48108 33358 39 -1 -De 3 0 79 0 0 -Po 0 51567 33358 48219 33358 39 -1 -De 3 0 79 0 0 -Po 0 47018 33237 47139 33358 39 -1 -De 3 0 79 0 0 -Po 0 47139 33358 48108 33358 39 -1 -De 3 0 79 0 0 -Po 0 47018 33237 46959 33169 39 -1 -De 3 0 79 0 0 -Po 0 46643 33111 46891 33111 39 -1 -De 15 0 79 0 800 -Po 0 46891 33111 46999 33219 39 -1 -De 15 0 79 0 0 -Po 0 46999 33219 46959 33169 39 -1 -De 15 0 79 0 0 -Po 3 46959 33169 46959 33169 157 -1 -De 15 1 79 0 0 -Po 0 51823 33358 52591 33358 39 -1 -De 3 0 79 0 0 -Po 0 52740 33209 52923 33026 39 -1 -De 15 0 79 0 0 -Po 3 52740 33209 52740 33209 157 -1 -De 15 1 79 0 0 -Po 0 51658 33358 51823 33358 39 -1 -De 3 0 79 0 0 -Po 0 52923 33026 52923 33001 39 -1 -De 15 0 79 0 400 -Po 0 52591 33358 52740 33209 39 -1 -De 3 0 79 0 0 -Po 0 51610 33264 51610 33310 39 -1 -De 3 0 79 0 0 -Po 0 51610 33310 51658 33358 39 -1 -De 3 0 79 0 0 -Po 0 51610 33264 51610 33315 39 -1 -De 3 0 79 0 0 -Po 0 51610 33315 51567 33358 39 -1 -De 3 0 79 0 0 -Po 0 51614 33358 51614 33268 39 -1 -De 3 0 79 0 0 -Po 0 51587 33241 51370 33241 39 -1 -De 0 0 79 0 400 -Po 0 51610 33264 51587 33241 39 -1 -De 0 0 79 0 0 -Po 3 51610 33264 51610 33264 157 -1 -De 15 1 79 0 0 -Po 0 51614 33268 51610 33264 39 -1 -De 3 0 79 0 0 -Po 0 51567 33358 51614 33358 39 -1 -De 3 0 79 0 0 -Po 0 51614 33358 51658 33358 39 -1 -De 3 0 79 0 0 +De 0 0 16 0 400 Po 0 49838 38086 49937 38086 39 -1 -De 0 0 80 0 800 +De 0 0 17 0 800 Po 0 50736 38484 50995 38484 39 -1 -De 15 0 80 0 400 +De 15 0 17 0 400 Po 0 50673 38421 50736 38484 39 -1 -De 15 0 80 0 0 +De 15 0 17 0 0 Po 3 50673 38421 50673 38421 157 -1 -De 15 1 80 0 0 +De 15 1 17 0 0 Po 0 50272 38421 50673 38421 39 -1 -De 0 0 80 0 0 +De 0 0 17 0 0 Po 0 49937 38086 50272 38421 39 -1 -De 0 0 80 0 0 +De 0 0 17 0 0 Po 0 50995 37972 50141 37972 39 -1 -De 15 0 81 0 800 +De 15 0 18 0 800 Po 0 50058 37889 49838 37889 39 -1 -De 0 0 81 0 400 +De 0 0 18 0 400 Po 0 50071 37902 50058 37889 39 -1 -De 0 0 81 0 0 +De 0 0 18 0 0 Po 3 50071 37902 50071 37902 157 -1 -De 15 1 81 0 0 +De 15 1 18 0 0 Po 0 50141 37972 50071 37902 39 -1 -De 15 0 81 0 0 -Po 0 47351 36590 47209 36590 39 -1 -De 0 0 82 0 800 -Po 0 46850 36949 46643 36949 39 -1 -De 15 0 82 0 400 -Po 0 47000 36799 46850 36949 39 -1 -De 15 0 82 0 0 -Po 3 47000 36799 47000 36799 157 -1 -De 15 1 82 0 0 -Po 0 47209 36590 47000 36799 39 -1 -De 0 0 82 0 0 -Po 0 46643 37204 46900 37204 39 -1 -De 15 0 83 0 800 -Po 0 47188 37492 47362 37492 39 -1 -De 0 0 83 0 400 -Po 0 47035 37339 47188 37492 39 -1 -De 0 0 83 0 0 -Po 3 47035 37339 47035 37339 157 -1 -De 15 1 83 0 0 -Po 0 46900 37204 47035 37339 39 -1 -De 15 0 83 0 0 -Po 0 47362 37689 47028 37689 39 -1 -De 0 0 84 0 800 -Po 0 47001 37716 46643 37716 39 -1 -De 15 0 84 0 400 -Po 0 47028 37689 47001 37716 39 -1 -De 15 0 84 0 0 -Po 3 47028 37689 47028 37689 157 -1 -De 15 1 84 0 0 +De 15 0 18 0 0 Po 0 47362 38082 47260 38082 39 -1 -De 0 0 85 0 800 +De 0 0 19 0 800 Po 0 46858 38484 46643 38484 39 -1 -De 15 0 85 0 400 +De 15 0 19 0 400 Po 0 46972 38370 46858 38484 39 -1 -De 15 0 85 0 0 +De 15 0 19 0 0 Po 3 46972 38370 46972 38370 157 -1 -De 15 1 85 0 0 +De 15 1 19 0 0 Po 0 47260 38082 46972 38370 39 -1 -De 0 0 85 0 0 +De 0 0 19 0 0 Po 0 49838 37693 50661 37693 39 -1 -De 0 0 86 0 800 +De 0 0 20 0 800 Po 0 50684 37716 50995 37716 39 -1 -De 15 0 86 0 400 +De 15 0 20 0 400 Po 0 50661 37693 50684 37716 39 -1 -De 15 0 86 0 0 +De 15 0 20 0 0 Po 3 50661 37693 50661 37693 157 -1 -De 15 1 86 0 0 -Po 0 49838 37496 49973 37496 39 -1 -De 0 0 87 0 800 -Po 0 50320 37204 50995 37204 39 -1 -De 15 0 87 0 400 -Po 0 50265 37204 50320 37204 39 -1 -De 15 0 87 0 0 -Po 0 50150 37319 50265 37204 39 -1 -De 15 0 87 0 0 -Po 3 50150 37319 50150 37319 157 -1 -De 15 1 87 0 0 -Po 0 49973 37496 50150 37319 39 -1 -De 0 0 87 0 0 -Po 0 49846 36142 50666 36142 39 -1 -De 0 0 88 0 800 -Po 0 50705 36181 50995 36181 39 -1 -De 15 0 88 0 400 -Po 0 50685 36161 50705 36181 39 -1 -De 15 0 88 0 0 -Po 3 50685 36161 50685 36161 157 -1 -De 15 1 88 0 0 -Po 0 50666 36142 50685 36161 39 -1 -De 0 0 88 0 0 +De 15 1 20 0 0 +Po 0 49846 36338 50056 36338 39 -1 +De 0 0 21 0 800 +Po 0 50161 36437 50995 36437 39 -1 +De 15 0 21 0 400 +Po 0 50059 36335 50161 36437 39 -1 +De 15 0 21 0 0 +Po 3 50059 36335 50059 36335 157 -1 +De 15 1 21 0 0 +Po 0 50056 36338 50059 36335 39 -1 +De 0 0 21 0 0 Po 0 46643 35669 46826 35669 39 -1 -De 15 0 89 0 800 +De 15 0 22 0 800 Po 0 47157 36000 47351 36000 39 -1 -De 0 0 89 0 400 +De 0 0 22 0 400 Po 0 47035 35878 47157 36000 39 -1 -De 0 0 89 0 0 +De 0 0 22 0 0 Po 3 47035 35878 47035 35878 157 -1 -De 15 1 89 0 0 +De 15 1 22 0 0 Po 0 46826 35669 47035 35878 39 -1 -De 15 0 89 0 0 -Po 0 47351 36197 47031 36197 39 -1 -De 0 0 90 0 800 -Po 0 47015 36181 46643 36181 39 -1 -De 15 0 90 0 400 -Po 0 47031 36197 47015 36181 39 -1 -De 15 0 90 0 0 -Po 3 47031 36197 47031 36197 157 -1 -De 15 1 90 0 0 +De 15 0 22 0 0 Po 0 50995 33111 50716 33111 39 -1 -De 15 0 91 0 800 +De 15 0 23 0 800 Po 0 49858 32512 50039 32693 39 -1 -De 0 0 91 0 0 +De 0 0 23 0 0 Po 3 50039 32693 50039 32693 157 -1 -De 15 1 91 0 0 +De 15 1 23 0 0 Po 0 49858 32512 49775 32512 39 -1 -De 0 0 91 0 400 +De 0 0 23 0 400 Po 0 50039 33102 50039 32693 39 -1 -De 15 0 91 0 0 +De 15 0 23 0 0 Po 0 50224 33287 50039 33102 39 -1 -De 15 0 91 0 0 +De 15 0 23 0 0 Po 0 50540 33287 50224 33287 39 -1 -De 15 0 91 0 0 +De 15 0 23 0 0 Po 0 50716 33111 50540 33287 39 -1 -De 15 0 91 0 0 +De 15 0 23 0 0 Po 0 46643 33879 47916 33879 39 -1 -De 15 0 92 0 800 +De 15 0 24 0 800 Po 0 48102 34055 48151 34055 39 -1 -De 0 0 92 0 400 +De 0 0 24 0 400 Po 0 47921 33874 48102 34055 39 -1 -De 0 0 92 0 0 +De 0 0 24 0 0 Po 3 47921 33874 47921 33874 157 -1 -De 15 1 92 0 0 +De 15 1 24 0 0 Po 0 47916 33879 47921 33874 39 -1 -De 15 0 92 0 0 +De 15 0 24 0 0 Po 0 47751 34901 47846 34996 39 -1 -De 15 0 93 0 0 +De 15 0 25 0 0 Po 0 46643 34901 47751 34901 39 -1 -De 15 0 93 0 800 +De 15 0 25 0 800 Po 0 47889 35039 48151 35039 39 -1 -De 0 0 93 0 400 +De 0 0 25 0 400 Po 0 47846 34996 47889 35039 39 -1 -De 0 0 93 0 0 +De 0 0 25 0 0 Po 3 47846 34996 47846 34996 157 -1 -De 15 1 93 0 0 +De 15 1 25 0 0 +Po 0 46643 34391 47017 34391 79 -1 +De 15 0 26 0 800 +Po 0 47265 34290 47265 34154 79 -1 +De 0 0 26 0 400 +Po 0 47165 34390 47265 34290 79 -1 +De 0 0 26 0 0 +Po 0 47018 34390 47165 34390 79 -1 +De 0 0 26 0 0 +Po 3 47018 34390 47018 34390 157 -1 +De 15 1 26 0 0 +Po 0 47017 34391 47018 34390 79 -1 +De 15 0 26 0 0 +Po 0 47265 34646 47265 34154 79 -1 +De 0 0 26 0 C00 +Po 0 47265 33661 47265 34154 79 -1 +De 0 0 26 0 C00 +Po 0 47265 33169 47265 33661 79 -1 +De 0 0 26 0 C00 Po 0 49795 33823 50165 33823 39 -1 -De 0 0 94 0 800 +De 0 0 27 0 800 Po 0 50730 33623 50995 33623 39 -1 -De 15 0 94 0 400 +De 15 0 27 0 400 Po 0 50713 33606 50730 33623 39 -1 -De 15 0 94 0 0 +De 15 0 27 0 0 Po 0 50382 33606 50713 33606 39 -1 -De 15 0 94 0 0 +De 15 0 27 0 0 Po 3 50382 33606 50382 33606 157 -1 -De 15 1 94 0 0 +De 15 1 27 0 0 Po 0 50165 33823 50382 33606 39 -1 +De 0 0 27 0 0 +Po 0 48577 24659 48579 24661 118 -1 +De 15 0 44 0 800 +Po 0 48528 25455 48499 25484 118 -1 +De 0 0 44 0 400 +Po 0 48528 25126 48528 25455 118 -1 +De 0 0 44 0 0 +Po 3 48528 25126 48528 25126 157 -1 +De 15 1 44 0 0 +Po 0 48579 25075 48528 25126 118 -1 +De 15 0 44 0 0 +Po 0 48579 24661 48579 25075 118 -1 +De 15 0 44 0 0 +Po 0 48499 25878 48499 25484 118 -1 +De 0 0 44 0 C00 +Po 0 47867 26665 47867 26307 118 -1 +De 0 0 44 0 800 +Po 0 48296 25878 48499 25878 118 -1 +De 0 0 44 0 400 +Po 0 47867 26307 48296 25878 118 -1 +De 0 0 44 0 0 +Po 0 46350 24845 46350 25118 118 -1 +De 15 0 45 0 800 +Po 0 46350 25118 46500 25268 118 -1 +De 15 0 45 0 0 +Po 0 46698 24362 46698 24676 118 -1 +De 0 0 45 0 800 +Po 0 46500 24874 46500 25268 118 -1 +De 0 0 45 0 0 +Po 0 46698 24676 46500 24874 118 -1 +De 0 0 45 0 0 +Po 0 47062 25387 46627 25387 118 -1 +De 15 0 45 0 800 +Po 0 46496 25272 46488 25272 39 -1 +De 0 0 45 0 0 +Po 0 46500 25268 46496 25272 39 -1 +De 0 0 45 0 0 +Po 3 46500 25268 46500 25268 157 -1 +De 15 1 45 0 0 +Po 0 46504 25272 46500 25268 39 -1 +De 15 0 45 0 0 +Po 0 46512 25272 46504 25272 39 -1 +De 15 0 45 0 0 +Po 0 46627 25387 46512 25272 118 -1 +De 15 0 45 0 0 +Po 0 47062 25387 47365 25387 118 -1 +De 15 0 45 0 800 +Po 0 47490 25394 47490 25265 118 -1 +De 0 0 45 0 400 +Po 0 47431 25453 47490 25394 118 -1 +De 0 0 45 0 0 +Po 3 47431 25453 47431 25453 157 -1 +De 15 1 45 0 0 +Po 0 47365 25387 47431 25453 118 -1 +De 15 0 45 0 0 +Po 0 50965 29675 52973 29675 39 -1 +De 0 0 46 0 0 +Po 0 53317 30049 53317 30245 39 -1 +De 15 0 46 0 400 +Po 3 53219 29951 53219 29951 157 -1 +De 15 1 46 0 0 +Po 0 53317 30049 53219 29951 39 -1 +De 15 0 46 0 0 +Po 0 53219 29921 53219 29951 39 -1 +De 0 0 46 0 0 +Po 0 52973 29675 53219 29921 39 -1 +De 0 0 46 0 0 +Po 0 47413 26960 47062 26960 39 -1 +De 15 0 46 0 400 +Po 0 47805 27352 47413 26960 39 -1 +De 15 0 46 0 0 +Po 3 47805 27352 47805 27352 157 -1 +De 15 1 46 0 0 +Po 0 47815 27362 47805 27352 39 -1 +De 0 0 46 0 0 +Po 0 47815 27382 47815 27362 39 -1 +De 0 0 46 0 0 +Po 0 49134 28701 47815 27382 39 -1 +De 0 0 46 0 0 +Po 0 49991 28701 49134 28701 39 -1 +De 0 0 46 0 0 +Po 0 50955 29665 50965 29675 39 -1 +De 0 0 46 0 0 +Po 0 50965 29675 49991 28701 39 -1 +De 0 0 46 0 0 +Po 0 55291 30075 55291 30240 39 -1 +De 15 0 47 0 0 +Po 0 55291 30240 55286 30245 39 -1 +De 15 0 47 0 400 +Po 0 55286 30245 55285 30245 39 -1 +De 15 0 47 0 800 +Po 0 51919 27677 51919 28655 39 -1 +De 0 0 47 0 0 +Po 0 50594 25781 51919 27106 39 -1 +De 15 0 47 0 0 +Po 0 51919 27106 51919 27677 39 -1 +De 15 0 47 0 0 +Po 3 51919 27677 51919 27677 157 -1 +De 15 1 47 0 0 +Po 0 50288 25781 50594 25781 39 -1 +De 15 0 47 0 800 +Po 0 55291 30035 55291 30075 39 -1 +De 15 0 47 0 0 +Po 0 55291 30075 55291 30079 39 -1 +De 15 0 47 0 0 +Po 0 55205 29949 55291 30035 39 -1 +De 15 0 47 0 0 +Po 3 55205 29949 55205 29949 157 -1 +De 15 1 47 0 0 +Po 0 55205 29945 55205 29949 39 -1 +De 0 0 47 0 0 +Po 0 54929 29669 55205 29945 39 -1 +De 0 0 47 0 0 +Po 0 54756 29669 54929 29669 39 -1 +De 0 0 47 0 0 +Po 0 54230 29143 54756 29669 39 -1 +De 0 0 47 0 0 +Po 0 52407 29143 54230 29143 39 -1 +De 0 0 47 0 0 +Po 0 51919 28655 52407 29143 39 -1 +De 0 0 47 0 0 +Po 0 52254 27677 52254 28545 39 -1 +De 0 0 48 0 0 +Po 0 49757 24738 49950 24931 39 -1 +De 15 0 48 0 0 +Po 0 49950 24931 50473 24931 39 -1 +De 15 0 48 0 0 +Po 0 50473 24931 50684 25142 39 -1 +De 15 0 48 0 0 +Po 0 50684 25142 50684 25506 39 -1 +De 15 0 48 0 0 +Po 0 50684 25506 52254 27076 39 -1 +De 15 0 48 0 0 +Po 0 52254 27076 52254 27677 39 -1 +De 15 0 48 0 0 +Po 3 52254 27677 52254 27677 157 -1 +De 15 1 48 0 0 +Po 0 49757 24659 49757 24738 39 -1 +De 15 0 48 0 800 +Po 0 55679 29896 55679 30245 39 -1 +De 15 0 48 0 400 +Po 0 55661 29878 55679 29896 39 -1 +De 15 0 48 0 0 +Po 3 55661 29878 55661 29878 157 -1 +De 15 1 48 0 0 +Po 0 55661 29854 55661 29878 39 -1 +De 0 0 48 0 0 +Po 0 54740 28933 55661 29854 39 -1 +De 0 0 48 0 0 +Po 0 52642 28933 54740 28933 39 -1 +De 0 0 48 0 0 +Po 0 52254 28545 52642 28933 39 -1 +De 0 0 48 0 0 +Po 0 49855 24130 49846 24130 79 -1 +De 0 0 49 0 800 +Po 0 49846 24130 49764 24212 79 -1 +De 0 0 49 0 0 +Po 0 49560 24659 49560 24421 79 -1 +De 15 0 49 0 800 +Po 0 49764 24212 49764 24209 79 -1 +De 0 0 49 0 0 +Po 0 49657 24319 49764 24212 79 -1 +De 0 0 49 0 0 +Po 3 49657 24319 49657 24319 157 -1 +De 15 1 49 0 0 +Po 0 49657 24324 49657 24319 79 -1 +De 15 0 49 0 0 +Po 0 49560 24421 49657 24324 79 -1 +De 15 0 49 0 0 +Po 0 49363 24659 49363 24987 79 -1 +De 15 0 50 0 800 +Po 0 49241 24143 49241 24124 79 -1 +De 0 0 50 0 400 +Po 0 49360 24262 49241 24143 79 -1 +De 0 0 50 0 0 +Po 0 49360 24970 49360 24262 79 -1 +De 0 0 50 0 0 +Po 3 49360 24970 49360 24970 157 -1 +De 15 1 50 0 0 +Po 0 49360 24984 49360 24970 79 -1 +De 15 0 50 0 0 +Po 0 49363 24987 49360 24984 79 -1 +De 15 0 50 0 0 +Po 0 47361 27878 47361 28070 39 -1 +De 0 0 51 0 800 +Po 0 47361 28070 47677 28386 39 -1 +De 0 0 51 0 0 +Po 0 47628 28337 47677 28386 39 -1 +De 0 0 51 0 0 +Po 0 54104 31426 54017 31339 39 -1 +De 15 0 51 0 800 +Po 0 51291 29550 53113 29550 39 -1 +De 3 0 51 0 0 +Po 0 47593 28302 47677 28386 39 -1 +De 15 0 51 0 0 +Po 3 47677 28386 47677 28386 157 -1 +De 15 1 51 0 0 +Po 0 47677 28386 48093 28802 39 -1 +De 3 0 51 0 0 +Po 0 48093 28802 50431 28802 39 -1 +De 3 0 51 0 0 +Po 0 50431 28802 51179 29550 39 -1 +De 3 0 51 0 0 +Po 0 51179 29550 51291 29550 39 -1 +De 3 0 51 0 0 +Po 0 47593 28302 47593 27885 39 -1 +De 15 0 51 0 400 +Po 3 53898 31220 53898 31220 157 -1 +De 15 1 51 0 0 +Po 0 53720 31042 53898 31220 39 -1 +De 3 0 51 0 0 +Po 0 53720 30157 53720 31042 39 -1 +De 3 0 51 0 0 +Po 0 53113 29550 53720 30157 39 -1 +De 3 0 51 0 0 +Po 0 53997 31319 53898 31220 39 -1 +De 15 0 51 0 0 +Po 0 54017 31339 53997 31319 39 -1 +De 15 0 51 0 0 +Po 0 47515 26665 47515 27059 118 -1 +De 0 0 52 0 C00 +Po 0 47062 27157 47354 27157 118 -1 +De 15 0 52 0 800 +Po 0 47468 27059 47515 27059 118 -1 +De 0 0 52 0 400 +Po 0 47362 27165 47468 27059 118 -1 +De 0 0 52 0 0 +Po 3 47362 27165 47362 27165 157 -1 +De 15 1 52 0 0 +Po 0 47354 27157 47362 27165 118 -1 +De 15 0 52 0 0 +Po 0 53701 30000 53701 30235 39 -1 +De 15 0 53 0 0 +Po 0 51022 29502 53203 29502 39 -1 +De 15 0 53 0 0 +Po 0 53203 29502 53701 30000 39 -1 +De 15 0 53 0 0 +Po 0 48800 28713 48838 28751 39 -1 +De 15 0 53 0 0 +Po 0 48380 27885 48380 28293 39 -1 +De 15 0 53 0 800 +Po 0 48380 28293 48800 28713 39 -1 +De 15 0 53 0 0 +Po 0 50271 28751 50763 29243 39 -1 +De 15 0 53 0 0 +Po 0 48838 28751 50271 28751 39 -1 +De 15 0 53 0 0 +Po 0 50763 29243 51022 29502 39 -1 +De 15 0 53 0 0 +Po 0 53701 30235 53711 30245 39 -1 +De 15 0 53 0 400 +Po 0 53110 30728 53110 30748 39 -1 +De 15 0 54 0 0 +Po 0 53110 30748 53208 30846 39 -1 +De 15 0 54 0 0 +Po 0 53525 30846 53711 31032 39 -1 +De 15 0 54 0 400 +Po 0 53208 30846 53525 30846 39 -1 +De 15 0 54 0 0 +Po 0 52520 29724 52697 29724 39 -1 +De 15 0 54 0 0 +Po 0 51536 29724 52520 29724 39 -1 +De 15 0 54 0 0 +Po 0 48756 28953 50174 28953 39 -1 +De 15 0 54 0 0 +Po 0 47996 28193 47996 27894 39 -1 +De 15 0 54 0 0 +Po 0 48756 28953 47996 28193 39 -1 +De 15 0 54 0 0 +Po 0 47987 27885 47996 27894 39 -1 +De 15 0 54 0 800 +Po 0 50174 28953 50945 29724 39 -1 +De 15 0 54 0 0 +Po 0 50945 29724 51536 29724 39 -1 +De 15 0 54 0 0 +Po 0 53110 30137 53110 30728 39 -1 +De 15 0 54 0 0 +Po 0 52697 29724 53110 30137 39 -1 +De 15 0 54 0 0 +Po 0 55512 31260 55513 31260 39 -1 +De 15 0 55 0 0 +Po 0 55513 31260 55679 31426 39 -1 +De 15 0 55 0 400 +Po 0 51378 28957 51379 28957 39 -1 +De 0 0 55 0 0 +Po 0 55492 31240 55512 31260 39 -1 +De 15 0 55 0 0 +Po 0 55512 31260 55502 31250 39 -1 +De 15 0 55 0 0 +Po 3 55492 31240 55492 31240 157 -1 +De 15 1 55 0 0 +Po 0 55482 31240 55492 31240 39 -1 +De 0 0 55 0 0 +Po 0 55295 31053 55482 31240 39 -1 +De 0 0 55 0 0 +Po 0 54655 31053 55295 31053 39 -1 +De 0 0 55 0 0 +Po 0 54528 30926 54655 31053 39 -1 +De 0 0 55 0 0 +Po 0 54528 30296 54528 30926 39 -1 +De 0 0 55 0 0 +Po 0 53779 29547 54528 30296 39 -1 +De 0 0 55 0 0 +Po 0 51969 29547 53779 29547 39 -1 +De 0 0 55 0 0 +Po 0 51379 28957 51969 29547 39 -1 +De 0 0 55 0 0 +Po 0 51260 27687 51260 27766 39 -1 +De 0 0 55 0 0 +Po 0 50288 26567 50523 26567 39 -1 +De 15 0 55 0 800 +Po 3 51260 27687 51260 27687 157 -1 +De 15 1 55 0 0 +Po 0 51260 27304 51260 27687 39 -1 +De 15 0 55 0 0 +Po 0 50523 26567 51260 27304 39 -1 +De 15 0 55 0 0 +Po 0 51280 28859 51378 28957 39 -1 +De 0 0 55 0 0 +Po 0 51378 28957 51378 28957 39 -1 +De 0 0 55 0 0 +Po 0 51280 27786 51280 28859 39 -1 +De 0 0 55 0 0 +Po 0 51260 27766 51280 27786 39 -1 +De 0 0 55 0 0 +Po 0 55094 30831 55094 30840 39 -1 +De 15 0 56 0 0 +Po 0 50571 26370 51236 27035 39 -1 +De 15 0 56 0 0 +Po 0 51236 27035 51236 27043 39 -1 +De 15 0 56 0 0 +Po 0 51236 27043 51421 27228 39 -1 +De 15 0 56 0 0 +Po 0 51421 27228 51421 27520 39 -1 +De 15 0 56 0 0 +Po 3 51421 27520 51421 27520 157 -1 +De 15 1 56 0 0 +Po 0 51421 27520 51425 27524 39 -1 +De 0 0 56 0 0 +Po 0 51425 27524 51425 28783 39 -1 +De 0 0 56 0 0 +Po 0 51425 28783 52088 29446 39 -1 +De 0 0 56 0 0 +Po 0 52088 29446 54053 29446 39 -1 +De 0 0 56 0 0 +Po 0 54053 29446 54902 30295 39 -1 +De 0 0 56 0 0 +Po 0 54902 30295 54902 30658 39 -1 +De 0 0 56 0 0 +Po 0 54902 30658 55075 30831 39 -1 +De 0 0 56 0 0 +Po 0 55075 30831 55094 30831 39 -1 +De 0 0 56 0 0 +Po 3 55094 30831 55094 30831 157 -1 +De 15 1 56 0 0 +Po 0 50288 26370 50571 26370 39 -1 +De 15 0 56 0 800 +Po 0 55094 30840 55286 31032 39 -1 +De 15 0 56 0 400 +Po 0 55089 31230 55089 31241 39 -1 +De 3 0 57 0 0 +Po 0 50532 26763 51102 27333 39 -1 +De 15 0 57 0 0 +Po 0 51102 27333 51102 27844 39 -1 +De 15 0 57 0 0 +Po 0 51102 27844 51112 27854 39 -1 +De 15 0 57 0 0 +Po 3 51112 27854 51112 27854 157 -1 +De 15 1 57 0 0 +Po 0 51112 27854 52106 28848 39 -1 +De 3 0 57 0 0 +Po 0 52106 28848 53444 28848 39 -1 +De 3 0 57 0 0 +Po 0 53444 28848 54902 30306 39 -1 +De 3 0 57 0 0 +Po 0 54902 30306 54902 31043 39 -1 +De 3 0 57 0 0 +Po 0 54902 31043 55089 31230 39 -1 +De 3 0 57 0 0 +Po 0 50288 26763 50532 26763 39 -1 +De 15 0 57 0 800 +Po 0 55274 31426 55286 31426 39 -1 +De 15 0 57 0 400 +Po 0 55118 31270 55274 31426 39 -1 +De 15 0 57 0 0 +Po 3 55118 31270 55118 31270 157 -1 +De 15 1 57 0 0 +Po 0 55089 31241 55118 31270 39 -1 +De 3 0 57 0 0 +Po 0 47455 20272 48244 20272 79 -1 +De 0 0 58 0 800 +Po 0 48693 19823 48974 19823 79 -1 +De 0 0 58 0 400 +Po 0 48244 20272 48693 19823 79 -1 +De 0 0 58 0 0 +Po 0 48184 24083 48184 23633 79 -1 +De 15 0 58 0 0 +Po 0 48728 19823 48974 19823 79 -1 +De 15 0 58 0 400 +Po 0 48004 20547 48728 19823 79 -1 +De 15 0 58 0 0 +Po 0 48004 22110 48004 20547 79 -1 +De 15 0 58 0 0 +Po 0 47579 22535 48004 22110 79 -1 +De 15 0 58 0 0 +Po 0 47579 23028 47579 22535 79 -1 +De 15 0 58 0 0 +Po 0 48184 23633 47579 23028 79 -1 +De 15 0 58 0 0 +Po 0 48184 24659 48184 24083 79 -1 +De 15 0 58 0 800 +Po 0 48184 24083 48184 24080 79 -1 +De 15 0 58 0 0 +Po 0 47455 20863 48434 20863 79 -1 +De 0 0 59 0 800 +Po 0 48434 20863 48474 20823 79 -1 +De 0 0 59 0 400 +Po 0 48528 23834 48528 20877 79 -1 +De 15 0 59 0 0 +Po 0 48528 20877 48474 20823 79 -1 +De 15 0 59 0 400 +Po 0 48380 24659 48380 23982 79 -1 +De 15 0 59 0 800 +Po 0 48380 23982 48528 23834 79 -1 +De 15 0 59 0 0 +Po 0 48528 23834 48533 23829 79 -1 +De 15 0 59 0 0 +Po 0 50253 18107 50221 18107 118 -1 +De 0 0 60 0 800 +Po 0 49437 17323 47974 17323 118 -1 +De 0 0 60 0 400 +Po 0 50221 18107 49437 17323 118 -1 +De 0 0 60 0 0 +Po 0 50253 18107 50253 18070 118 -1 +De 0 0 60 0 800 +Po 0 51000 17323 52474 17323 118 -1 +De 0 0 60 0 400 +Po 0 50253 18070 51000 17323 118 -1 +De 0 0 60 0 0 +Po 0 53324 18523 53324 18173 118 -1 +De 0 0 60 0 800 +Po 0 53324 18173 52474 17323 118 -1 +De 0 0 60 0 400 +Po 0 47124 18523 47124 18173 118 -1 +De 0 0 60 0 800 +Po 0 47124 18173 47974 17323 118 -1 +De 0 0 60 0 400 +Po 0 50253 18107 50253 18550 118 -1 +De 0 0 60 0 800 +Po 0 50253 18550 50263 18560 118 -1 +De 0 0 60 0 400 +Po 0 52812 20272 52812 20261 79 -1 +De 0 0 61 0 800 +Po 0 52374 19823 51974 19823 79 -1 +De 0 0 61 0 400 +Po 0 52812 20261 52374 19823 79 -1 +De 0 0 61 0 0 +Po 0 47579 25974 47585 25974 79 -1 +De 3 0 61 0 0 +Po 0 47579 25974 47461 25974 79 -1 +De 3 0 61 0 0 +Po 3 47461 25974 47461 25974 157 -1 +De 15 1 61 0 0 +Po 0 47461 25974 47458 25977 79 -1 +De 15 0 61 0 0 +Po 0 47062 25977 47458 25977 79 -1 +De 15 0 61 0 800 +Po 0 52004 19853 51974 19823 79 -1 +De 3 0 61 0 400 +Po 0 52004 20941 52004 19853 79 -1 +De 3 0 61 0 0 +Po 0 51662 21283 52004 20941 79 -1 +De 3 0 61 0 0 +Po 0 51551 21283 51662 21283 79 -1 +De 3 0 61 0 0 +Po 0 47972 24862 51551 21283 79 -1 +De 3 0 61 0 0 +Po 0 47972 25587 47972 24862 79 -1 +De 3 0 61 0 0 +Po 0 47585 25974 47972 25587 79 -1 +De 3 0 61 0 0 +Po 0 52812 20863 51514 20863 79 -1 +De 0 0 62 0 800 +Po 0 51514 20863 51474 20823 79 -1 +De 0 0 62 0 400 +Po 0 47510 25738 47831 25417 79 -1 +De 3 0 62 0 0 +Po 0 51474 21132 51474 20823 79 -1 +De 3 0 62 0 400 +Po 0 47831 24775 51474 21132 79 -1 +De 3 0 62 0 0 +Po 0 47831 25417 47831 24775 79 -1 +De 3 0 62 0 0 +Po 3 47510 25738 47510 25738 157 -1 +De 15 1 62 0 0 +Po 0 47520 25738 47510 25738 79 -1 +De 3 0 62 0 0 +Po 0 47467 25781 47062 25781 79 -1 +De 15 0 62 0 400 +Po 0 47510 25738 47467 25781 79 -1 +De 15 0 62 0 0 +Po 0 52087 27530 52087 28563 39 -1 +De 0 0 63 0 0 +Po 0 50574 25584 52077 27087 39 -1 +De 15 0 63 0 0 +Po 0 52077 27087 52077 27520 39 -1 +De 15 0 63 0 0 +Po 0 52077 27520 52087 27530 39 -1 +De 15 0 63 0 0 +Po 3 52087 27530 52087 27530 157 -1 +De 15 1 63 0 0 +Po 0 50288 25584 50574 25584 39 -1 +De 15 0 63 0 800 +Po 0 55476 30436 55679 30639 39 -1 +De 15 0 63 0 400 +Po 0 55476 30054 55476 30436 39 -1 +De 15 0 63 0 0 +Po 0 55465 30043 55476 30054 39 -1 +De 15 0 63 0 0 +Po 3 55465 30043 55465 30043 157 -1 +De 15 1 63 0 0 +Po 0 55469 30039 55465 30043 39 -1 +De 0 0 63 0 0 +Po 0 55469 29981 55469 30039 39 -1 +De 0 0 63 0 0 +Po 0 54530 29042 55469 29981 39 -1 +De 0 0 63 0 0 +Po 0 52566 29042 54530 29042 39 -1 +De 0 0 63 0 0 +Po 0 52087 28563 52566 29042 39 -1 +De 0 0 63 0 0 +Po 3 54301 31220 54301 31220 157 -1 +De 15 1 64 0 0 +Po 0 53249 29449 53888 30088 39 -1 +De 3 0 64 0 0 +Po 0 53888 30088 53888 30807 39 -1 +De 3 0 64 0 0 +Po 0 53888 30807 54301 31220 39 -1 +De 3 0 64 0 0 +Po 0 47790 27885 47790 28263 39 -1 +De 15 0 64 0 800 +Po 0 51220 29449 51299 29449 39 -1 +De 3 0 64 0 0 +Po 0 50472 28701 51220 29449 39 -1 +De 3 0 64 0 0 +Po 0 48228 28701 50472 28701 39 -1 +De 3 0 64 0 0 +Po 0 47913 28386 48228 28701 39 -1 +De 3 0 64 0 0 +Po 3 47913 28386 47913 28386 157 -1 +De 15 1 64 0 0 +Po 0 47790 28263 47913 28386 39 -1 +De 15 0 64 0 0 +Po 0 51299 29449 53249 29449 39 -1 +De 3 0 64 0 0 +Po 0 54498 31417 54498 31426 39 -1 +De 15 0 64 0 400 +Po 0 54301 31220 54498 31417 39 -1 +De 15 0 64 0 0 +Po 0 51221 29308 53353 29308 39 -1 +De 3 0 65 0 0 +Po 0 47118 27354 47244 27480 39 -1 +De 15 0 65 0 0 +Po 3 47244 27480 47244 27480 157 -1 +De 15 1 65 0 0 +Po 0 47244 27480 48347 28583 39 -1 +De 3 0 65 0 0 +Po 0 48347 28583 50496 28583 39 -1 +De 3 0 65 0 0 +Po 0 50496 28583 51221 29308 39 -1 +De 3 0 65 0 0 +Po 0 47062 27354 47118 27354 39 -1 +De 15 0 65 0 800 +Po 0 54498 31013 54498 31032 39 -1 +De 15 0 65 0 400 +Po 0 54331 30846 54498 31013 39 -1 +De 15 0 65 0 0 +Po 3 54331 30846 54331 30846 157 -1 +De 15 1 65 0 0 +Po 0 54331 30827 54331 30846 39 -1 +De 3 0 65 0 0 +Po 0 54094 30590 54331 30827 39 -1 +De 3 0 65 0 0 +Po 0 54094 30049 54094 30590 39 -1 +De 3 0 65 0 0 +Po 0 53353 29308 54094 30049 39 -1 +De 3 0 65 0 0 +Po 0 54370 30167 54370 30168 39 -1 +De 15 0 66 0 0 +Po 0 54447 30245 54498 30245 39 -1 +De 15 0 66 0 400 +Po 0 54370 30168 54447 30245 39 -1 +De 15 0 66 0 0 +Po 0 53346 29163 53366 29163 39 -1 +De 15 0 66 0 0 +Po 0 53366 29163 54370 30167 39 -1 +De 15 0 66 0 0 +Po 0 54370 30167 54360 30157 39 -1 +De 15 0 66 0 0 +Po 0 49363 27885 49363 28152 39 -1 +De 15 0 66 0 800 +Po 0 51121 29163 53346 29163 39 -1 +De 15 0 66 0 0 +Po 0 53346 29163 53336 29163 39 -1 +De 15 0 66 0 0 +Po 0 50373 28415 51121 29163 39 -1 +De 15 0 66 0 0 +Po 0 49626 28415 50373 28415 39 -1 +De 15 0 66 0 0 +Po 0 49363 28152 49626 28415 39 -1 +De 15 0 66 0 0 +Po 0 53917 30394 53917 30452 39 -1 +De 15 0 67 0 0 +Po 0 53917 30452 54104 30639 39 -1 +De 15 0 67 0 400 +Po 0 50666 29004 51040 29378 39 -1 +De 15 0 67 0 0 +Po 0 50312 28650 50666 29004 39 -1 +De 15 0 67 0 0 +Po 0 49803 28650 50312 28650 39 -1 +De 15 0 67 0 0 +Po 0 53917 30394 53917 30354 39 -1 +De 15 0 67 0 0 +Po 0 53917 30058 53917 30394 39 -1 +De 15 0 67 0 0 +Po 0 53237 29378 53917 30058 39 -1 +De 15 0 67 0 0 +Po 0 51040 29378 53237 29378 39 -1 +De 15 0 67 0 0 +Po 0 48577 27885 48577 28348 39 -1 +De 15 0 67 0 800 +Po 0 48879 28650 49803 28650 39 -1 +De 15 0 67 0 0 +Po 0 49803 28650 49806 28650 39 -1 +De 15 0 67 0 0 +Po 0 48577 28348 48879 28650 39 -1 +De 15 0 67 0 0 +Po 0 53524 30315 53642 30433 39 -1 +De 15 0 68 0 0 +Po 0 53150 29606 53524 29980 39 -1 +De 15 0 68 0 0 +Po 0 53524 29980 53524 30315 39 -1 +De 15 0 68 0 0 +Po 0 50984 29606 50865 29487 39 -1 +De 15 0 68 0 0 +Po 0 48184 27885 48184 28239 39 -1 +De 15 0 68 0 800 +Po 0 50230 28852 50481 29103 39 -1 +De 15 0 68 0 0 +Po 0 48797 28852 50230 28852 39 -1 +De 15 0 68 0 0 +Po 0 48184 28239 48797 28852 39 -1 +De 15 0 68 0 0 +Po 0 50865 29487 50481 29103 39 -1 +De 15 0 68 0 0 +Po 0 50984 29606 53150 29606 39 -1 +De 15 0 68 0 0 +Po 0 53878 30806 54104 31032 39 -1 +De 15 0 68 0 400 +Po 0 53878 30571 53878 30806 39 -1 +De 15 0 68 0 0 +Po 0 53740 30433 53878 30571 39 -1 +De 15 0 68 0 0 +Po 0 53642 30433 53740 30433 39 -1 +De 15 0 68 0 0 +Po 0 54055 30039 54075 30059 39 -1 +De 15 0 69 0 0 +Po 0 51052 29248 51082 29278 39 -1 +De 15 0 69 0 0 +Po 0 51082 29278 53293 29278 39 -1 +De 15 0 69 0 0 +Po 0 53293 29278 54054 30039 39 -1 +De 15 0 69 0 0 +Po 0 54054 30039 54055 30039 39 -1 +De 15 0 69 0 0 +Po 0 50610 28806 51052 29248 39 -1 +De 15 0 69 0 0 +Po 0 54104 30089 54104 30245 39 -1 +De 15 0 69 0 400 +Po 0 54075 30060 54104 30089 39 -1 +De 15 0 69 0 0 +Po 0 54075 30059 54075 30060 39 -1 +De 15 0 69 0 0 +Po 0 49166 27885 49166 28199 39 -1 +De 15 0 69 0 800 +Po 0 50347 28543 50610 28806 39 -1 +De 15 0 69 0 0 +Po 0 50610 28806 50601 28797 39 -1 +De 15 0 69 0 0 +Po 0 49510 28543 50347 28543 39 -1 +De 15 0 69 0 0 +Po 0 49166 28199 49510 28543 39 -1 +De 15 0 69 0 0 +Po 0 54774 30531 54774 30532 39 -1 +De 15 0 70 0 0 +Po 0 49560 27885 49560 28142 39 -1 +De 15 0 70 0 800 +Po 0 54685 30442 54774 30531 39 -1 +De 15 0 70 0 0 +Po 0 54685 30118 54685 30442 39 -1 +De 15 0 70 0 0 +Po 0 54518 29951 54685 30118 39 -1 +De 15 0 70 0 0 +Po 0 54301 29951 54518 29951 39 -1 +De 15 0 70 0 0 +Po 0 53356 29006 54301 29951 39 -1 +De 15 0 70 0 0 +Po 0 51122 29006 53356 29006 39 -1 +De 15 0 70 0 0 +Po 0 50423 28307 51122 29006 39 -1 +De 15 0 70 0 0 +Po 0 49725 28307 50423 28307 39 -1 +De 15 0 70 0 0 +Po 0 49560 28142 49725 28307 39 -1 +De 15 0 70 0 0 +Po 0 54881 30639 54892 30639 39 -1 +De 15 0 70 0 400 +Po 0 54774 30532 54881 30639 39 -1 +De 15 0 70 0 0 +Po 0 54695 30837 54696 30837 39 -1 +De 3 0 71 0 0 +Po 0 50513 26960 50945 27392 39 -1 +De 15 0 71 0 0 +Po 0 50945 27392 50945 28021 39 -1 +De 15 0 71 0 0 +Po 0 50945 28021 50955 28031 39 -1 +De 15 0 71 0 0 +Po 3 50955 28031 50955 28031 157 -1 +De 15 1 71 0 0 +Po 0 50955 28031 51920 28996 39 -1 +De 3 0 71 0 0 +Po 0 51920 28996 53426 28996 39 -1 +De 3 0 71 0 0 +Po 0 53426 28996 54685 30255 39 -1 +De 3 0 71 0 0 +Po 0 54685 30255 54685 30827 39 -1 +De 3 0 71 0 0 +Po 0 54685 30827 54695 30837 39 -1 +De 3 0 71 0 0 +Po 0 50288 26960 50513 26960 39 -1 +De 15 0 71 0 800 +Po 0 54891 31032 54892 31032 39 -1 +De 15 0 71 0 400 +Po 0 54715 30856 54891 31032 39 -1 +De 15 0 71 0 0 +Po 3 54715 30856 54715 30856 157 -1 +De 15 1 71 0 0 +Po 0 54696 30837 54715 30856 39 -1 +De 3 0 71 0 0 +Po 0 55488 30846 55493 30846 39 -1 +De 15 0 72 0 0 +Po 0 55493 30846 55679 31032 39 -1 +De 15 0 72 0 400 +Po 0 54756 30004 54756 30008 39 -1 +De 0 0 72 0 0 +Po 0 51585 28737 52193 29345 39 -1 +De 0 0 72 0 0 +Po 0 52193 29345 54097 29345 39 -1 +De 0 0 72 0 0 +Po 0 54097 29345 54756 30004 39 -1 +De 0 0 72 0 0 +Po 0 50288 26174 50599 26174 39 -1 +De 15 0 72 0 800 +Po 3 51585 27697 51585 27697 157 -1 +De 15 1 72 0 0 +Po 0 51585 27160 51585 27697 39 -1 +De 15 0 72 0 0 +Po 0 50599 26174 51585 27160 39 -1 +De 15 0 72 0 0 +Po 0 51585 27697 51585 28737 39 -1 +De 0 0 72 0 0 +Po 0 55496 30838 55496 30835 39 -1 +De 15 0 72 0 0 +Po 0 55488 30846 55496 30838 39 -1 +De 15 0 72 0 0 +Po 3 55488 30846 55488 30846 157 -1 +De 15 1 72 0 0 +Po 0 55480 30846 55488 30846 39 -1 +De 0 0 72 0 0 +Po 0 55480 30531 55480 30846 39 -1 +De 0 0 72 0 0 +Po 0 55189 30240 55480 30531 39 -1 +De 0 0 72 0 0 +Po 0 54988 30240 55189 30240 39 -1 +De 0 0 72 0 0 +Po 0 54756 30008 54988 30240 39 -1 +De 0 0 72 0 0 +Po 0 51752 27530 51752 28646 39 -1 +De 0 0 73 0 0 +Po 0 54892 29915 54892 30245 39 -1 +De 15 0 73 0 400 +Po 0 54890 29913 54892 29915 39 -1 +De 15 0 73 0 0 +Po 3 54890 29913 54890 29913 157 -1 +De 15 1 73 0 0 +Po 0 54768 29791 54890 29913 39 -1 +De 0 0 73 0 0 +Po 0 54685 29791 54768 29791 39 -1 +De 0 0 73 0 0 +Po 0 54138 29244 54685 29791 39 -1 +De 0 0 73 0 0 +Po 0 52350 29244 54138 29244 39 -1 +De 0 0 73 0 0 +Po 0 51752 28646 52350 29244 39 -1 +De 0 0 73 0 0 +Po 0 50288 25977 50544 25977 39 -1 +De 15 0 73 0 800 +Po 0 51752 27530 51762 27520 39 -1 +De 0 0 73 0 0 +Po 3 51752 27530 51752 27530 157 -1 +De 15 1 73 0 0 +Po 0 51752 27185 51752 27530 39 -1 +De 15 0 73 0 0 +Po 0 50544 25977 51752 27185 39 -1 +De 15 0 73 0 0 +Po 0 50288 27157 50504 27157 39 -1 +De 15 0 74 0 800 +Po 3 50945 28268 50945 28268 157 -1 +De 15 1 74 0 0 +Po 0 50945 28268 50945 28307 39 -1 +De 3 0 74 0 0 +Po 0 50945 28307 51811 29173 39 -1 +De 3 0 74 0 0 +Po 0 51811 29173 53425 29173 39 -1 +De 3 0 74 0 0 +Po 0 53425 29173 54518 30266 39 -1 +De 3 0 74 0 0 +Po 0 54518 30266 54518 31064 39 -1 +De 3 0 74 0 0 +Po 0 54518 31064 54724 31270 39 -1 +De 3 0 74 0 0 +Po 3 54724 31270 54724 31270 157 -1 +De 15 1 74 0 0 +Po 0 54724 31270 54880 31426 39 -1 +De 15 0 74 0 0 +Po 0 54880 31426 54892 31426 39 -1 +De 15 0 74 0 400 +Po 0 50915 28268 50945 28268 39 -1 +De 15 0 74 0 0 +Po 0 50787 28140 50915 28268 39 -1 +De 15 0 74 0 0 +Po 0 50787 27440 50787 28140 39 -1 +De 15 0 74 0 0 +Po 0 50504 27157 50787 27440 39 -1 +De 15 0 74 0 0 +Po 0 50288 27157 50296 27165 39 -1 +De 15 0 74 0 800 +Po 0 50995 31576 50694 31576 39 -1 +De 15 0 75 0 800 +Po 0 49921 31535 49768 31535 39 -1 +De 0 0 75 0 400 +Po 0 49961 31575 49921 31535 39 -1 +De 0 0 75 0 0 +Po 0 50693 31575 49961 31575 39 -1 +De 0 0 75 0 0 +Po 3 50693 31575 50693 31575 157 -1 +De 15 1 75 0 0 +Po 0 50694 31576 50693 31575 39 -1 +De 15 0 75 0 0 +Po 0 50995 31320 50040 31320 39 -1 +De 15 0 76 0 800 +Po 0 50020 31338 49768 31338 39 -1 +De 0 0 76 0 400 +Po 0 50039 31319 50020 31338 39 -1 +De 0 0 76 0 0 +Po 3 50039 31319 50039 31319 157 -1 +De 15 1 76 0 0 +Po 0 50040 31320 50039 31319 39 -1 +De 15 0 76 0 0 +Po 0 49775 31922 50027 31922 39 -1 +De 0 0 77 0 800 +Po 0 50117 31832 50995 31832 39 -1 +De 15 0 77 0 400 +Po 0 50051 31898 50117 31832 39 -1 +De 15 0 77 0 0 +Po 3 50051 31898 50051 31898 157 -1 +De 15 1 77 0 0 +Po 0 50027 31922 50051 31898 39 -1 +De 0 0 77 0 0 +Po 0 47941 32134 47425 32134 39 -1 +De 0 0 78 0 800 +Po 0 47216 32343 46643 32343 39 -1 +De 15 0 78 0 400 +Po 0 47425 32134 47216 32343 39 -1 +De 15 0 78 0 0 +Po 3 47425 32134 47425 32134 157 -1 +De 15 1 78 0 0 +Po 0 50995 31064 50688 31064 39 -1 +De 15 0 79 0 800 +Po 0 50189 31142 49768 31142 39 -1 +De 0 0 79 0 400 +Po 0 50264 31067 50189 31142 39 -1 +De 0 0 79 0 0 +Po 0 50685 31067 50264 31067 39 -1 +De 0 0 79 0 0 +Po 3 50685 31067 50685 31067 157 -1 +De 15 1 79 0 0 +Po 0 50688 31064 50685 31067 39 -1 +De 15 0 79 0 0 +Po 0 50995 30808 50048 30808 39 -1 +De 15 0 80 0 800 +Po 0 49909 30945 49768 30945 39 -1 +De 0 0 80 0 400 +Po 0 50047 30807 49909 30945 39 -1 +De 0 0 80 0 0 +Po 3 50047 30807 50047 30807 157 -1 +De 15 1 80 0 0 +Po 0 50048 30808 50047 30807 39 -1 +De 15 0 80 0 0 +Po 0 47937 31535 47145 31535 39 -1 +De 0 0 81 0 800 +Po 0 46843 30808 46643 30808 39 -1 +De 15 0 81 0 400 +Po 0 46941 30906 46843 30808 39 -1 +De 15 0 81 0 0 +Po 3 46941 30906 46941 30906 157 -1 +De 15 1 81 0 0 +Po 0 46941 31331 46941 30906 39 -1 +De 0 0 81 0 0 +Po 0 47145 31535 46941 31331 39 -1 +De 0 0 81 0 0 +Po 0 47937 30945 47646 30945 39 -1 +De 0 0 82 0 800 +Po 0 47417 31576 46643 31576 39 -1 +De 15 0 82 0 400 +Po 0 47575 31418 47417 31576 39 -1 +De 15 0 82 0 0 +Po 0 47575 31016 47575 31418 39 -1 +De 15 0 82 0 0 +Po 0 47646 30945 47575 31016 39 -1 +De 15 0 82 0 0 +Po 3 47646 30945 47646 30945 157 -1 +De 15 1 82 0 0 +Po 0 47941 32330 47353 32330 39 -1 +De 0 0 83 0 800 +Po 0 47111 32088 46643 32088 39 -1 +De 15 0 83 0 400 +Po 0 47165 32142 47111 32088 39 -1 +De 15 0 83 0 0 +Po 3 47165 32142 47165 32142 157 -1 +De 15 1 83 0 0 +Po 0 47353 32330 47165 32142 39 -1 +De 0 0 83 0 0 +Po 0 50995 32088 50706 32088 39 -1 +De 15 0 84 0 800 +Po 0 50657 32119 49775 32119 39 -1 +De 0 0 84 0 400 +Po 0 50697 32079 50657 32119 39 -1 +De 0 0 84 0 0 +Po 3 50697 32079 50697 32079 157 -1 +De 15 1 84 0 0 +Po 0 50706 32088 50697 32079 39 -1 +De 15 0 84 0 0 +Po 0 50995 33367 50677 33367 39 -1 +De 15 0 85 0 800 +Po 3 50055 33610 50055 33610 157 -1 +De 15 1 85 0 0 +Po 0 50055 33610 50039 33626 39 -1 +De 0 0 85 0 0 +Po 0 50039 33626 49795 33626 39 -1 +De 0 0 85 0 400 +Po 0 50224 33441 50055 33610 39 -1 +De 15 0 85 0 0 +Po 0 50603 33441 50224 33441 39 -1 +De 15 0 85 0 0 +Po 0 50677 33367 50603 33441 39 -1 +De 15 0 85 0 0 +Po 0 48219 33358 48108 33358 39 -1 +De 3 0 86 0 0 +Po 0 51567 33358 48219 33358 39 -1 +De 3 0 86 0 0 +Po 0 47018 33237 47139 33358 39 -1 +De 3 0 86 0 0 +Po 0 47139 33358 48108 33358 39 -1 +De 3 0 86 0 0 +Po 0 47018 33237 46959 33169 39 -1 +De 3 0 86 0 0 +Po 0 46643 33111 46891 33111 39 -1 +De 15 0 86 0 800 +Po 0 46891 33111 46999 33219 39 -1 +De 15 0 86 0 0 +Po 0 46999 33219 46959 33169 39 -1 +De 15 0 86 0 0 +Po 3 46959 33169 46959 33169 157 -1 +De 15 1 86 0 0 +Po 0 51823 33358 52591 33358 39 -1 +De 3 0 86 0 0 +Po 0 52740 33209 52923 33026 39 -1 +De 15 0 86 0 0 +Po 3 52740 33209 52740 33209 157 -1 +De 15 1 86 0 0 +Po 0 51658 33358 51823 33358 39 -1 +De 3 0 86 0 0 +Po 0 52923 33026 52923 33001 39 -1 +De 15 0 86 0 400 +Po 0 52591 33358 52740 33209 39 -1 +De 3 0 86 0 0 +Po 0 51610 33264 51610 33310 39 -1 +De 3 0 86 0 0 +Po 0 51610 33310 51658 33358 39 -1 +De 3 0 86 0 0 +Po 0 51610 33264 51610 33315 39 -1 +De 3 0 86 0 0 +Po 0 51610 33315 51567 33358 39 -1 +De 3 0 86 0 0 +Po 0 51614 33358 51614 33268 39 -1 +De 3 0 86 0 0 +Po 0 51587 33241 51370 33241 39 -1 +De 0 0 86 0 400 +Po 0 51610 33264 51587 33241 39 -1 +De 0 0 86 0 0 +Po 3 51610 33264 51610 33264 157 -1 +De 15 1 86 0 0 +Po 0 51614 33268 51610 33264 39 -1 +De 3 0 86 0 0 +Po 0 51567 33358 51614 33358 39 -1 +De 3 0 86 0 0 +Po 0 51614 33358 51658 33358 39 -1 +De 3 0 86 0 0 +Po 0 46643 36437 47000 36437 39 -1 +De 15 0 87 0 800 +Po 0 47044 36393 47351 36393 39 -1 +De 0 0 87 0 400 +Po 0 47004 36433 47044 36393 39 -1 +De 0 0 87 0 0 +Po 3 47004 36433 47004 36433 157 -1 +De 15 1 87 0 0 +Po 0 47000 36437 47004 36433 39 -1 +De 15 0 87 0 0 +Po 0 47351 36590 47209 36590 39 -1 +De 0 0 88 0 800 +Po 0 46850 36949 46643 36949 39 -1 +De 15 0 88 0 400 +Po 0 47000 36799 46850 36949 39 -1 +De 15 0 88 0 0 +Po 3 47000 36799 47000 36799 157 -1 +De 15 1 88 0 0 +Po 0 47209 36590 47000 36799 39 -1 +De 0 0 88 0 0 +Po 0 46643 37204 46900 37204 39 -1 +De 15 0 89 0 800 +Po 0 47188 37492 47362 37492 39 -1 +De 0 0 89 0 400 +Po 0 47035 37339 47188 37492 39 -1 +De 0 0 89 0 0 +Po 3 47035 37339 47035 37339 157 -1 +De 15 1 89 0 0 +Po 0 46900 37204 47035 37339 39 -1 +De 15 0 89 0 0 +Po 0 47362 37689 47028 37689 39 -1 +De 0 0 90 0 800 +Po 0 47001 37716 46643 37716 39 -1 +De 15 0 90 0 400 +Po 0 47028 37689 47001 37716 39 -1 +De 15 0 90 0 0 +Po 3 47028 37689 47028 37689 157 -1 +De 15 1 90 0 0 +Po 0 46643 37972 46973 37972 39 -1 +De 15 0 91 0 800 +Po 0 47060 37885 47362 37885 39 -1 +De 0 0 91 0 400 +Po 0 47020 37925 47060 37885 39 -1 +De 0 0 91 0 0 +Po 3 47020 37925 47020 37925 157 -1 +De 15 1 91 0 0 +Po 0 46973 37972 47020 37925 39 -1 +De 15 0 91 0 0 +Po 0 49838 37496 49973 37496 39 -1 +De 0 0 92 0 800 +Po 0 50320 37204 50995 37204 39 -1 +De 15 0 92 0 400 +Po 0 50265 37204 50320 37204 39 -1 +De 15 0 92 0 0 +Po 0 50150 37319 50265 37204 39 -1 +De 15 0 92 0 0 +Po 3 50150 37319 50150 37319 157 -1 +De 15 1 92 0 0 +Po 0 49973 37496 50150 37319 39 -1 +De 0 0 92 0 0 +Po 0 49846 36535 49925 36535 39 -1 +De 0 0 93 0 800 +Po 0 50339 36949 50995 36949 39 -1 +De 15 0 93 0 400 +Po 0 50126 36736 50339 36949 39 -1 +De 15 0 93 0 0 +Po 3 50126 36736 50126 36736 157 -1 +De 15 1 93 0 0 +Po 0 49925 36535 50126 36736 39 -1 +De 0 0 93 0 0 +Po 0 50709 36949 50995 36949 39 -1 +De 15 0 93 0 400 +Po 0 49846 36142 50666 36142 39 -1 +De 0 0 94 0 800 +Po 0 50705 36181 50995 36181 39 -1 +De 15 0 94 0 400 +Po 0 50685 36161 50705 36181 39 -1 +De 15 0 94 0 0 +Po 3 50685 36161 50685 36161 157 -1 +De 15 1 94 0 0 +Po 0 50666 36142 50685 36161 39 -1 De 0 0 94 0 0 +Po 0 49846 35945 50071 35945 39 -1 +De 0 0 95 0 800 +Po 0 50347 35669 50995 35669 39 -1 +De 15 0 95 0 400 +Po 0 50071 35945 50347 35669 39 -1 +De 15 0 95 0 0 +Po 3 50071 35945 50071 35945 157 -1 +De 15 1 95 0 0 +Po 0 47351 36197 47031 36197 39 -1 +De 0 0 96 0 800 +Po 0 47015 36181 46643 36181 39 -1 +De 15 0 96 0 400 +Po 0 47031 36197 47015 36181 39 -1 +De 15 0 96 0 0 +Po 3 47031 36197 47031 36197 157 -1 +De 15 1 96 0 0 +Po 0 50995 33879 50598 33879 39 -1 +De 15 0 97 0 800 +Po 0 50458 34019 49795 34019 39 -1 +De 0 0 97 0 400 +Po 0 50520 33957 50458 34019 39 -1 +De 0 0 97 0 0 +Po 3 50520 33957 50520 33957 157 -1 +De 15 1 97 0 0 +Po 0 50598 33879 50520 33957 39 -1 +De 15 0 97 0 0 +Po 0 50995 34901 50688 34901 39 -1 +De 15 0 98 0 800 +Po 0 50003 34216 49795 34216 39 -1 +De 0 0 98 0 400 +Po 0 50681 34894 50003 34216 39 -1 +De 0 0 98 0 0 +Po 3 50681 34894 50681 34894 157 -1 +De 15 1 98 0 0 +Po 0 50688 34901 50681 34894 39 -1 +De 15 0 98 0 0 Po 0 57264 30043 57264 30233 39 -1 De 15 0 126 0 0 Po 0 57264 30233 57252 30245 39 -1 @@ -15291,35 +15345,39 @@ Po 0 53437 24874 53461 24874 39 -1 De 15 0 128 0 0 Po 0 53461 24874 53457 24874 39 -1 De 15 0 128 0 0 -Po 0 56469 29980 56469 30241 39 -1 -De 15 0 129 0 0 -Po 0 56469 30241 56465 30245 39 -1 -De 15 0 129 0 400 -Po 0 52930 27044 53718 27044 39 -1 +Po 0 56465 30639 56491 30639 39 -1 De 15 0 129 0 800 -Po 0 56469 29795 56469 29980 39 -1 +Po 0 53554 25274 52930 25274 39 -1 +De 15 0 129 0 400 +Po 0 54079 25799 53554 25274 39 -1 De 15 0 129 0 0 -Po 0 56469 29980 56469 29984 39 -1 +Po 3 54079 25799 54079 25799 157 -1 +De 15 1 129 0 0 +Po 0 54071 25807 54079 25799 39 -1 +De 3 0 129 0 0 +Po 0 54071 27342 54071 25807 39 -1 +De 3 0 129 0 0 +Po 0 56669 29940 54071 27342 39 -1 +De 3 0 129 0 0 +Po 0 56669 30373 56669 29940 39 -1 +De 3 0 129 0 0 +Po 0 56713 30417 56669 30373 39 -1 +De 3 0 129 0 0 +Po 3 56713 30417 56713 30417 157 -1 +De 15 1 129 0 0 +Po 0 56491 30639 56713 30417 39 -1 De 15 0 129 0 0 -Po 0 53718 27044 56469 29795 39 -1 -De 15 0 129 0 0 -Po 0 56276 30850 56276 30874 39 -1 +Po 0 56469 29980 56469 30241 39 -1 De 15 0 130 0 0 -Po 0 56118 31032 56073 31032 39 -1 +Po 0 56469 30241 56465 30245 39 -1 De 15 0 130 0 400 -Po 0 56276 30874 56118 31032 39 -1 -De 15 0 130 0 0 -Po 0 52930 27244 53776 27244 39 -1 +Po 0 52930 27044 53718 27044 39 -1 De 15 0 130 0 800 -Po 0 56276 30835 56276 30850 39 -1 +Po 0 56469 29795 56469 29980 39 -1 De 15 0 130 0 0 -Po 0 56276 30850 56276 30835 39 -1 +Po 0 56469 29980 56469 29984 39 -1 De 15 0 130 0 0 -Po 0 56276 30835 56276 30839 39 -1 -De 15 0 130 0 0 -Po 0 56276 29744 56276 30835 39 -1 -De 15 0 130 0 0 -Po 0 53776 27244 56276 29744 39 -1 +Po 0 53718 27044 56469 29795 39 -1 De 15 0 130 0 0 Po 0 52930 27434 53796 27434 39 -1 De 15 0 131 0 800 @@ -15361,1623 +15419,1665 @@ Po 0 54382 28582 54382 28716 39 -1 De 15 0 132 0 0 Po 0 53434 27634 54382 28582 39 -1 De 15 0 132 0 0 -Po 0 57732 29520 57732 30106 39 -1 -De 3 0 133 0 0 -Po 0 57646 31028 57646 31032 39 -1 -De 15 0 133 0 400 -Po 3 57457 30925 57457 30925 157 -1 -De 15 1 133 0 0 -Po 0 57646 31028 57457 30925 39 -1 -De 15 0 133 0 0 -Po 0 57457 30381 57457 30925 39 -1 -De 3 0 133 0 0 -Po 0 57732 30106 57457 30381 39 -1 -De 3 0 133 0 0 -Po 0 58584 28275 58584 28276 39 -1 -De 15 0 133 0 0 -Po 0 59815 27044 58584 28275 39 -1 -De 15 0 133 0 0 -Po 0 60630 27044 59815 27044 39 -1 -De 15 0 133 0 800 -Po 0 57752 29500 57752 29488 39 -1 -De 0 0 133 0 0 -Po 0 57732 29520 57752 29500 39 -1 -De 0 0 133 0 0 -Po 3 57732 29520 57732 29520 157 -1 -De 15 1 133 0 0 -Po 0 57732 29128 57732 29520 39 -1 -De 15 0 133 0 0 -Po 0 58584 28276 57732 29128 39 -1 -De 15 0 133 0 0 Po 0 60008 38117 60008 38118 39 -1 -De 15 0 134 0 800 +De 15 0 133 0 800 Po 0 59566 40315 59566 40482 39 -1 -De 15 0 134 0 400 +De 15 0 133 0 400 Po 0 59598 40283 59566 40315 39 -1 -De 15 0 134 0 0 +De 15 0 133 0 0 Po 3 59598 40283 59598 40283 157 -1 -De 15 1 134 0 0 +De 15 1 133 0 0 Po 0 59760 40121 59598 40283 39 -1 -De 3 0 134 0 0 +De 3 0 133 0 0 Po 0 59760 38366 59760 40121 39 -1 -De 3 0 134 0 0 +De 3 0 133 0 0 Po 0 59827 38299 59760 38366 39 -1 -De 3 0 134 0 0 +De 3 0 133 0 0 Po 3 59827 38299 59827 38299 157 -1 -De 15 1 134 0 0 +De 15 1 133 0 0 Po 0 60008 38118 59827 38299 39 -1 -De 15 0 134 0 0 +De 15 0 133 0 0 Po 0 53711 36149 53712 36149 39 -1 -De 15 0 135 0 800 +De 15 0 134 0 800 Po 0 57317 39482 57466 39482 39 -1 -De 15 0 135 0 400 +De 15 0 134 0 400 Po 0 57189 39354 57317 39482 39 -1 -De 15 0 135 0 0 +De 15 0 134 0 0 Po 3 57189 39354 57189 39354 157 -1 -De 15 1 135 0 0 +De 15 1 134 0 0 Po 0 56858 39023 57189 39354 39 -1 -De 3 0 135 0 0 +De 3 0 134 0 0 Po 0 56858 36539 56858 39023 39 -1 -De 3 0 135 0 0 +De 3 0 134 0 0 Po 0 56697 36378 56858 36539 39 -1 -De 3 0 135 0 0 +De 3 0 134 0 0 Po 0 53941 36378 56697 36378 39 -1 -De 3 0 135 0 0 +De 3 0 134 0 0 Po 0 53894 36331 53941 36378 39 -1 -De 3 0 135 0 0 +De 3 0 134 0 0 Po 3 53894 36331 53894 36331 157 -1 -De 15 1 135 0 0 +De 15 1 134 0 0 Po 0 53712 36149 53894 36331 39 -1 -De 15 0 135 0 0 +De 15 0 134 0 0 Po 0 59795 40854 59843 40854 39 -1 -De 15 0 136 0 0 +De 15 0 135 0 0 Po 0 59566 40982 59694 40854 39 -1 -De 15 0 136 0 800 +De 15 0 135 0 800 Po 0 59795 40854 59694 40854 39 -1 -De 15 0 136 0 0 +De 15 0 135 0 0 Po 0 59615 39032 59615 38511 39 -1 -De 15 0 136 0 400 +De 15 0 135 0 400 Po 0 59894 39311 59615 39032 39 -1 -De 15 0 136 0 0 +De 15 0 135 0 0 Po 0 59894 40803 59894 39311 39 -1 -De 15 0 136 0 0 +De 15 0 135 0 0 Po 0 59843 40854 59894 40803 39 -1 -De 15 0 136 0 0 +De 15 0 135 0 0 Po 0 56859 36543 56859 36544 39 -1 -De 15 0 139 0 800 +De 15 0 138 0 800 Po 0 59281 39982 59566 39982 39 -1 -De 15 0 139 0 400 +De 15 0 138 0 400 Po 0 59268 39969 59281 39982 39 -1 -De 15 0 139 0 0 +De 15 0 138 0 0 Po 3 59268 39969 59268 39969 157 -1 -De 15 1 139 0 0 +De 15 1 138 0 0 Po 0 58387 39969 59268 39969 39 -1 -De 3 0 139 0 0 +De 3 0 138 0 0 Po 0 57150 38732 58387 39969 39 -1 -De 3 0 139 0 0 +De 3 0 138 0 0 Po 0 57150 36835 57150 38732 39 -1 -De 3 0 139 0 0 +De 3 0 138 0 0 Po 0 57043 36728 57150 36835 39 -1 -De 3 0 139 0 0 +De 3 0 138 0 0 Po 3 57043 36728 57043 36728 157 -1 -De 15 1 139 0 0 +De 15 1 138 0 0 Po 0 56859 36544 57043 36728 39 -1 -De 15 0 139 0 0 +De 15 0 138 0 0 Po 0 49414 31535 49119 31535 39 -1 -De 0 0 140 0 800 +De 0 0 139 0 800 Po 0 52529 33001 52530 33001 39 -1 -De 15 0 140 0 400 +De 15 0 139 0 400 Po 0 52319 32791 52529 33001 39 -1 -De 15 0 140 0 0 +De 15 0 139 0 0 Po 3 52319 32791 52319 32791 157 -1 -De 15 1 140 0 0 +De 15 1 139 0 0 Po 0 51763 32791 52319 32791 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 0 51622 32650 51763 32791 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 0 51622 31905 51622 32650 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 0 51485 31768 51622 31905 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 0 50618 31768 51485 31768 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 0 50504 31654 50618 31768 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 0 49150 31654 50504 31654 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 0 49075 31579 49150 31654 39 -1 -De 3 0 140 0 0 +De 3 0 139 0 0 Po 3 49075 31579 49075 31579 157 -1 -De 15 1 140 0 0 +De 15 1 139 0 0 Po 0 49119 31535 49075 31579 39 -1 -De 0 0 140 0 0 +De 0 0 139 0 0 Po 0 52530 32994 52530 33001 39 -1 -De 15 0 140 0 400 +De 15 0 139 0 400 Po 0 52136 33001 52103 33001 39 -1 -De 15 0 141 0 800 +De 15 0 140 0 800 Po 0 49105 31338 49102 31335 39 -1 -De 0 0 141 0 0 +De 0 0 140 0 0 Po 3 49102 31335 49102 31335 157 -1 -De 15 1 141 0 0 +De 15 1 140 0 0 Po 0 49102 31335 49303 31134 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 0 49303 31134 50103 31134 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 0 50103 31134 50347 31378 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 0 50347 31378 51402 31378 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 0 51402 31378 51752 31728 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 0 51752 31728 51752 32531 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 0 49105 31338 49414 31338 39 -1 -De 0 0 141 0 400 +De 0 0 140 0 400 Po 0 51759 32531 51752 32531 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 0 51858 32630 51759 32531 39 -1 -De 3 0 141 0 0 +De 3 0 140 0 0 Po 3 51858 32630 51858 32630 157 -1 -De 15 1 141 0 0 +De 15 1 140 0 0 Po 0 51858 32756 51858 32630 39 -1 -De 15 0 141 0 0 +De 15 0 140 0 0 Po 0 52103 33001 51858 32756 39 -1 -De 15 0 141 0 0 +De 15 0 140 0 0 Po 0 51788 32992 51752 32992 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 51788 32992 52933 32992 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 52933 32992 53130 32795 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 3 53130 32795 53130 32795 157 -1 -De 15 1 142 0 0 +De 15 1 141 0 0 Po 0 53130 32795 53317 32608 39 -1 -De 15 0 142 0 0 +De 15 0 141 0 0 Po 0 53317 32607 53317 32608 39 -1 -De 15 0 142 0 800 +De 15 0 141 0 800 Po 0 51521 32004 51411 31894 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 51521 32761 51521 32004 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 51752 32992 51521 32761 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 49421 31922 49078 31922 39 -1 -De 0 0 142 0 800 +De 0 0 141 0 800 Po 0 51411 31894 51516 31999 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 50587 31894 51411 31894 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 50418 32063 50587 31894 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 49213 32063 50418 32063 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 0 49075 31925 49213 32063 39 -1 -De 3 0 142 0 0 +De 3 0 141 0 0 Po 3 49075 31925 49075 31925 157 -1 -De 15 1 142 0 0 +De 15 1 141 0 0 Po 0 49078 31922 49075 31925 39 -1 -De 0 0 142 0 0 +De 0 0 141 0 0 Po 0 48295 32134 48760 32134 39 -1 -De 0 0 143 0 800 +De 0 0 142 0 800 Po 0 52136 31053 52136 31032 39 -1 -De 15 0 143 0 400 +De 15 0 142 0 400 Po 0 52307 31224 52136 31053 39 -1 -De 15 0 143 0 0 +De 15 0 142 0 0 Po 3 52307 31224 52307 31224 157 -1 -De 15 1 143 0 0 +De 15 1 142 0 0 Po 0 51705 31826 52307 31224 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 51705 32091 51705 31826 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 51292 32504 51705 32091 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 50858 32504 51292 32504 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 50705 32657 50858 32504 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 50406 32657 50705 32657 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 50189 32874 50406 32657 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 49000 32874 50189 32874 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 48878 32752 49000 32874 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 48878 32252 48878 32752 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 48760 32134 48878 32252 39 -1 -De 0 0 143 0 0 +De 0 0 142 0 0 Po 0 48295 31937 48815 31937 39 -1 -De 0 0 144 0 800 +De 0 0 143 0 800 Po 0 51500 31426 52136 31426 39 -1 -De 15 0 144 0 400 +De 15 0 143 0 400 Po 0 51343 31583 51500 31426 39 -1 -De 15 0 144 0 0 +De 15 0 143 0 0 Po 3 51343 31583 51343 31583 157 -1 -De 15 1 144 0 0 +De 15 1 143 0 0 Po 0 51186 31740 51343 31583 39 -1 -De 0 0 144 0 0 +De 0 0 143 0 0 Po 0 49012 31740 51186 31740 39 -1 -De 0 0 144 0 0 +De 0 0 143 0 0 Po 0 48815 31937 49012 31740 39 -1 -De 0 0 144 0 0 +De 0 0 143 0 0 Po 0 51906 32151 51906 32166 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 49177 31142 49110 31075 39 -1 -De 0 0 145 0 0 +De 0 0 144 0 0 Po 0 49110 31075 49106 31075 39 -1 -De 0 0 145 0 0 +De 0 0 144 0 0 Po 3 49106 31075 49106 31075 157 -1 -De 15 1 145 0 0 +De 15 1 144 0 0 Po 0 49106 31075 49205 30976 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 49205 30976 50169 30976 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 50169 30976 50441 31248 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 50441 31248 51445 31248 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 51445 31248 51906 31709 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 49414 31142 49177 31142 39 -1 -De 0 0 145 0 800 +De 0 0 144 0 800 Po 0 51906 31709 51906 32151 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 53496 32579 53496 32791 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 53240 32323 53496 32579 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 52063 32323 53240 32323 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 51906 32166 52063 32323 39 -1 -De 3 0 145 0 0 +De 3 0 144 0 0 Po 0 53706 33001 53711 33001 39 -1 -De 15 0 145 0 400 +De 15 0 144 0 400 Po 0 53496 32791 53706 33001 39 -1 -De 15 0 145 0 0 +De 15 0 144 0 0 Po 3 53496 32791 53496 32791 157 -1 -De 15 1 145 0 0 +De 15 1 144 0 0 Po 0 52418 32205 52107 32205 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 51521 31142 51039 31142 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 51039 31142 50539 30642 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 50539 30642 50516 30642 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 53882 33587 53874 33587 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 3 53882 33587 53882 33587 157 -1 -De 15 1 146 0 0 +De 15 1 145 0 0 Po 0 53882 33587 54083 33788 39 -1 -De 15 0 146 0 0 +De 15 0 145 0 0 Po 0 54104 33788 54083 33788 39 -1 -De 15 0 146 0 800 +De 15 0 145 0 800 Po 0 53717 32603 53319 32205 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 53717 33430 53717 32603 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 53874 33587 53717 33430 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 49232 30945 49414 30945 39 -1 -De 0 0 146 0 400 +De 0 0 145 0 400 Po 0 49323 30642 50516 30642 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 49126 30839 49323 30642 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 3 49126 30839 49126 30839 157 -1 -De 15 1 146 0 0 +De 15 1 145 0 0 Po 0 49232 30945 49126 30839 39 -1 -De 0 0 146 0 0 +De 0 0 145 0 0 Po 0 53319 32205 52418 32205 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 52012 31633 51521 31142 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 52012 32110 52012 31633 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 52107 32205 52012 32110 39 -1 -De 3 0 146 0 0 +De 3 0 145 0 0 Po 0 48291 31535 48291 31606 39 -1 -De 0 0 147 0 800 +De 0 0 146 0 800 Po 0 52923 32199 52923 32213 39 -1 -De 15 0 147 0 400 +De 15 0 146 0 400 Po 0 53114 32008 52923 32199 39 -1 -De 15 0 147 0 0 +De 15 0 146 0 0 Po 3 53114 32008 53114 32008 157 -1 -De 15 1 147 0 0 +De 15 1 146 0 0 Po 0 52921 31815 53114 32008 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 51874 31815 52921 31815 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 51421 31362 51874 31815 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 50335 31362 51421 31362 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 49764 30791 50335 31362 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 49315 30791 49764 30791 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 49197 30673 49315 30791 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 48922 30673 49197 30673 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 48469 31126 48922 30673 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 48469 31625 48469 31126 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 48425 31669 48469 31625 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 0 48354 31669 48425 31669 39 -1 -De 2 0 147 0 0 +De 2 0 146 0 0 Po 3 48354 31669 48354 31669 157 -1 -De 15 1 147 0 0 +De 15 1 146 0 0 Po 0 48291 31606 48354 31669 39 -1 -De 0 0 147 0 0 +De 0 0 146 0 0 Po 0 51951 32967 51951 32982 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 48291 31338 48641 31338 39 -1 -De 0 0 148 0 800 +De 0 0 147 0 800 Po 0 48998 32967 51951 32967 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 48781 32750 48998 32967 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 48781 31836 48781 32750 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 48702 31757 48781 31836 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 48702 31399 48702 31757 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 48642 31339 48702 31399 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 3 48642 31339 48642 31339 157 -1 -De 15 1 148 0 0 +De 15 1 147 0 0 Po 0 48641 31338 48642 31339 39 -1 -De 0 0 148 0 0 +De 0 0 147 0 0 Po 0 52717 33748 52717 33965 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 51951 32982 52717 33748 39 -1 -De 2 0 148 0 0 +De 2 0 147 0 0 Po 0 52894 33788 52923 33788 39 -1 -De 15 0 148 0 400 +De 15 0 147 0 400 Po 0 52717 33965 52894 33788 39 -1 -De 15 0 148 0 0 +De 15 0 147 0 0 Po 3 52717 33965 52717 33965 157 -1 -De 15 1 148 0 0 +De 15 1 147 0 0 Po 0 52910 33788 52923 33788 39 -1 -De 15 0 148 0 400 +De 15 0 147 0 400 Po 0 48291 31142 48748 31142 39 -1 -De 0 0 149 0 800 +De 0 0 148 0 800 Po 0 53317 33364 53317 33395 39 -1 -De 15 0 149 0 400 +De 15 0 148 0 400 Po 0 53504 33177 53317 33364 39 -1 -De 15 0 149 0 0 +De 15 0 148 0 0 Po 3 53504 33177 53504 33177 157 -1 -De 15 1 149 0 0 +De 15 1 148 0 0 Po 0 53496 33177 53504 33177 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 53311 32992 53496 33177 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 52118 32992 53311 32992 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 51992 32866 52118 32992 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 49039 32866 51992 32866 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 48882 32709 49039 32866 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 48882 31792 48882 32709 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 48803 31713 48882 31792 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 48803 31189 48803 31713 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 0 48752 31138 48803 31189 39 -1 -De 2 0 149 0 0 +De 2 0 148 0 0 Po 3 48752 31138 48752 31138 157 -1 -De 15 1 149 0 0 +De 15 1 148 0 0 Po 0 48748 31142 48752 31138 39 -1 -De 0 0 149 0 0 +De 0 0 148 0 0 Po 0 48291 30945 48890 30945 39 -1 -De 0 0 150 0 800 +De 0 0 149 0 800 Po 0 48917 30964 48917 31650 39 -1 -De 2 0 150 0 0 +De 2 0 149 0 0 Po 0 48894 30941 48917 30964 39 -1 -De 2 0 150 0 0 +De 2 0 149 0 0 Po 3 48894 30941 48894 30941 157 -1 -De 15 1 150 0 0 +De 15 1 149 0 0 Po 0 48890 30945 48894 30941 39 -1 -De 0 0 150 0 0 +De 0 0 149 0 0 Po 0 54100 33001 54104 33001 39 -1 -De 15 0 150 0 400 +De 15 0 149 0 400 Po 0 53902 32803 54100 33001 39 -1 -De 15 0 150 0 0 +De 15 0 149 0 0 Po 3 53902 32803 53902 32803 157 -1 -De 15 1 150 0 0 +De 15 1 149 0 0 Po 0 53300 32201 53902 32803 39 -1 -De 2 0 150 0 0 +De 2 0 149 0 0 Po 0 51744 32201 53300 32201 39 -1 -De 2 0 150 0 0 +De 2 0 149 0 0 Po 0 51283 31740 51744 32201 39 -1 -De 2 0 150 0 0 +De 2 0 149 0 0 Po 0 49007 31740 51283 31740 39 -1 -De 2 0 150 0 0 +De 2 0 149 0 0 Po 0 48917 31650 49007 31740 39 -1 -De 2 0 150 0 0 +De 2 0 149 0 0 Po 0 54080 33001 54104 33001 39 -1 -De 15 0 150 0 400 +De 15 0 149 0 400 Po 0 48295 32527 48571 32527 39 -1 -De 0 0 151 0 800 +De 0 0 150 0 800 Po 0 52922 31820 52923 31820 39 -1 -De 15 0 151 0 400 +De 15 0 150 0 400 Po 0 52720 31618 52922 31820 39 -1 -De 15 0 151 0 0 +De 15 0 150 0 0 Po 3 52720 31618 52720 31618 157 -1 -De 15 1 151 0 0 +De 15 1 150 0 0 Po 0 52503 31835 52720 31618 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 52279 31835 52503 31835 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 51405 32709 52279 31835 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 50958 32709 51405 32709 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 50801 32866 50958 32709 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 50496 32866 50801 32866 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 50265 33097 50496 32866 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 48908 33097 50265 33097 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 48669 32858 48908 33097 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 48669 32625 48669 32858 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 48571 32527 48669 32625 39 -1 -De 0 0 151 0 0 +De 0 0 150 0 0 Po 0 48295 32330 48665 32330 39 -1 -De 0 0 152 0 800 +De 0 0 151 0 800 Po 0 52136 31774 52136 31820 39 -1 -De 15 0 152 0 400 +De 15 0 151 0 400 Po 0 52323 31587 52136 31774 39 -1 -De 15 0 152 0 0 +De 15 0 151 0 0 Po 3 52323 31587 52323 31587 157 -1 -De 15 1 152 0 0 +De 15 1 151 0 0 Po 0 51882 32028 52323 31587 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 51882 32067 51882 32028 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 51339 32610 51882 32067 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 50902 32610 51339 32610 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 50752 32760 50902 32610 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 50445 32760 50752 32760 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 50209 32996 50445 32760 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 48969 32996 50209 32996 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 48776 32803 48969 32996 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 48776 32441 48776 32803 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 48665 32330 48776 32441 39 -1 -De 0 0 152 0 0 +De 0 0 151 0 0 Po 0 52923 32607 52923 32576 39 -1 -De 15 0 153 0 800 +De 15 0 152 0 800 Po 0 49138 32315 49421 32315 39 -1 -De 0 0 153 0 400 +De 0 0 152 0 400 Po 0 49059 32394 49138 32315 39 -1 -De 0 0 153 0 0 +De 0 0 152 0 0 Po 3 49059 32394 49059 32394 157 -1 -De 15 1 153 0 0 +De 15 1 152 0 0 Po 0 49433 32394 49059 32394 39 -1 -De 3 0 153 0 0 +De 3 0 152 0 0 Po 0 49559 32520 49433 32394 39 -1 -De 3 0 153 0 0 +De 3 0 152 0 0 Po 0 50197 32520 49559 32520 39 -1 -De 3 0 153 0 0 +De 3 0 152 0 0 Po 3 50197 32520 50197 32520 157 -1 -De 15 1 153 0 0 +De 15 1 152 0 0 Po 0 50567 32520 50197 32520 39 -1 -De 0 0 153 0 0 +De 0 0 152 0 0 Po 0 51036 32051 50567 32520 39 -1 -De 0 0 153 0 0 +De 0 0 152 0 0 Po 0 51323 32051 51036 32051 39 -1 -De 0 0 153 0 0 +De 0 0 152 0 0 Po 0 51331 32059 51323 32051 39 -1 -De 0 0 153 0 0 +De 0 0 152 0 0 Po 3 51331 32059 51331 32059 157 -1 -De 15 1 153 0 0 +De 15 1 152 0 0 Po 0 51575 32303 51331 32059 39 -1 -De 15 0 153 0 0 +De 15 0 152 0 0 Po 0 51996 32303 51575 32303 39 -1 -De 15 0 153 0 0 +De 15 0 152 0 0 Po 0 52102 32409 51996 32303 39 -1 -De 15 0 153 0 0 +De 15 0 152 0 0 Po 0 52756 32409 52102 32409 39 -1 -De 15 0 153 0 0 +De 15 0 152 0 0 Po 0 52923 32576 52756 32409 39 -1 -De 15 0 153 0 0 +De 15 0 152 0 0 Po 0 50294 32283 51272 32283 39 -1 -De 3 0 154 0 0 +De 3 0 153 0 0 Po 0 52131 32607 51949 32425 39 -1 -De 15 0 154 0 0 +De 15 0 153 0 0 Po 0 51949 32425 51465 32425 39 -1 -De 15 0 154 0 0 +De 15 0 153 0 0 Po 0 50294 32283 50192 32181 39 -1 -De 3 0 154 0 0 +De 3 0 153 0 0 Po 0 50192 32181 49075 32181 39 -1 -De 3 0 154 0 0 +De 3 0 153 0 0 Po 0 49075 32181 49067 32173 39 -1 -De 3 0 154 0 0 +De 3 0 153 0 0 Po 0 49067 32173 49063 32173 39 -1 -De 3 0 154 0 0 +De 3 0 153 0 0 Po 3 49063 32173 49063 32173 157 -1 -De 15 1 154 0 0 +De 15 1 153 0 0 Po 0 49063 32173 49117 32119 39 -1 -De 0 0 154 0 0 +De 0 0 153 0 0 Po 0 49421 32119 49117 32119 39 -1 -De 0 0 154 0 800 +De 0 0 153 0 800 Po 0 52131 32607 52136 32607 39 -1 -De 15 0 154 0 400 +De 15 0 153 0 400 Po 0 51414 32425 51465 32425 39 -1 -De 15 0 154 0 0 +De 15 0 153 0 0 Po 0 51272 32283 51414 32425 39 -1 -De 15 0 154 0 0 +De 15 0 153 0 0 Po 3 51272 32283 51272 32283 157 -1 -De 15 1 154 0 0 +De 15 1 153 0 0 Po 0 51791 33776 49189 33776 39 -1 -De 3 0 155 0 0 +De 3 0 154 0 0 Po 0 51791 33776 52928 33776 39 -1 -De 3 0 155 0 0 +De 3 0 154 0 0 Po 0 49189 33776 49181 33768 39 -1 -De 3 0 155 0 0 +De 3 0 154 0 0 Po 0 49441 33626 49039 33626 39 -1 -De 0 0 155 0 800 +De 0 0 154 0 800 Po 0 53288 33788 53317 33788 39 -1 -De 15 0 155 0 400 +De 15 0 154 0 400 Po 0 53102 33602 53288 33788 39 -1 -De 15 0 155 0 0 +De 15 0 154 0 0 Po 3 53102 33602 53102 33602 157 -1 -De 15 1 155 0 0 +De 15 1 154 0 0 Po 0 49035 33622 49181 33768 39 -1 -De 3 0 155 0 0 +De 3 0 154 0 0 Po 3 49035 33622 49035 33622 157 -1 -De 15 1 155 0 0 +De 15 1 154 0 0 Po 0 49039 33626 49035 33622 39 -1 -De 0 0 155 0 0 +De 0 0 154 0 0 Po 0 52928 33776 53102 33602 39 -1 -De 3 0 155 0 0 +De 3 0 154 0 0 Po 0 48820 30535 49267 30535 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 48503 33105 48469 33071 39 -1 -De 0 0 156 0 0 +De 0 0 155 0 0 Po 3 48469 33071 48469 33071 157 -1 -De 15 1 156 0 0 +De 15 1 155 0 0 Po 0 48469 33071 48165 32767 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 48165 32767 48165 31190 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 48165 31190 48820 30535 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 51000 31028 52485 31028 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 52485 31028 52689 31232 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 3 52689 31232 52689 31232 157 -1 -De 15 1 156 0 0 +De 15 1 155 0 0 Po 0 52689 31232 52530 31391 39 -1 -De 15 0 156 0 0 +De 15 0 155 0 0 Po 0 52530 31426 52530 31391 39 -1 -De 15 0 156 0 800 +De 15 0 155 0 800 Po 0 48503 33105 48503 33268 39 -1 -De 0 0 156 0 400 +De 0 0 155 0 400 Po 0 50830 30858 51000 31028 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 50456 30858 50830 30858 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 50228 30630 50456 30858 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 49362 30630 50228 30630 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 49267 30535 49362 30630 39 -1 -De 2 0 156 0 0 +De 2 0 155 0 0 Po 0 49484 38086 49229 38086 39 -1 -De 0 0 157 0 800 +De 0 0 156 0 800 Po 0 49073 37932 49073 37588 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 49228 38087 49073 37932 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 3 49228 38087 49228 38087 157 -1 -De 15 1 157 0 0 +De 15 1 156 0 0 Po 0 49229 38086 49228 38087 39 -1 -De 0 0 157 0 0 +De 0 0 156 0 0 Po 0 49244 37417 49073 37588 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 49073 37588 49071 37590 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 51871 35988 51917 35988 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 49244 37417 49244 37181 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 49244 37181 49870 36555 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 49870 36555 50571 36555 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 50571 36555 51138 35988 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 51138 35988 51871 35988 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 52923 35014 52923 34968 39 -1 -De 15 0 157 0 400 +De 15 0 156 0 400 Po 0 53114 35205 52923 35014 39 -1 -De 15 0 157 0 0 +De 15 0 156 0 0 Po 3 53114 35205 53114 35205 157 -1 -De 15 1 157 0 0 +De 15 1 156 0 0 Po 0 52957 35362 53114 35205 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 52543 35362 52957 35362 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 51917 35988 52543 35362 39 -1 -De 3 0 157 0 0 +De 3 0 156 0 0 Po 0 52898 34968 52923 34968 39 -1 -De 15 0 157 0 400 +De 15 0 156 0 400 Po 0 49681 36138 50141 36138 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 49059 37087 49244 36902 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 49244 36902 49244 36575 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 49244 36575 49681 36138 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 50810 35575 51582 35575 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 51582 35575 51740 35417 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 51740 35417 51740 35110 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 51740 35110 51748 35102 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 3 51748 35102 51748 35102 157 -1 -De 15 1 158 0 0 +De 15 1 157 0 0 Po 0 51748 35102 51882 34968 39 -1 -De 15 0 158 0 0 +De 15 0 157 0 0 Po 0 52136 34968 51882 34968 39 -1 -De 15 0 158 0 800 +De 15 0 157 0 800 Po 0 48956 37889 48890 37823 39 -1 -De 0 0 158 0 0 +De 0 0 157 0 0 Po 3 48890 37823 48890 37823 157 -1 -De 15 1 158 0 0 +De 15 1 157 0 0 Po 0 48890 37823 48890 37441 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 48890 37441 49059 37272 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 49059 37272 49059 37087 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 49176 37889 49484 37889 39 -1 -De 0 0 158 0 400 +De 0 0 157 0 400 Po 0 49176 37889 48956 37889 39 -1 -De 0 0 158 0 0 +De 0 0 157 0 0 Po 0 50551 35575 50810 35575 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 50319 35807 50551 35575 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 50319 35960 50319 35807 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 50141 36138 50319 35960 39 -1 -De 3 0 158 0 0 +De 3 0 157 0 0 Po 0 50141 36138 50141 36130 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 47965 36393 48161 36197 39 -1 -De 0 0 159 0 0 +De 0 0 158 0 0 Po 3 48161 36197 48161 36197 157 -1 -De 15 1 159 0 0 +De 15 1 158 0 0 Po 0 48161 36197 48220 36138 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 48220 36138 50141 36138 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 51685 35563 50716 35563 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 47965 36393 47705 36393 39 -1 -De 0 0 159 0 400 +De 0 0 158 0 400 Po 0 50500 35563 50716 35563 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 50276 35787 50500 35563 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 50276 35995 50276 35787 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 50141 36130 50276 35995 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 51685 35563 51992 35563 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 52920 35755 52728 35563 39 -1 -De 15 0 159 0 0 +De 15 0 158 0 0 Po 0 52920 35755 52923 35755 39 -1 -De 15 0 159 0 400 +De 15 0 158 0 400 Po 0 51992 35563 52728 35563 39 -1 -De 15 0 159 0 0 +De 15 0 158 0 0 Po 3 51992 35563 51992 35563 157 -1 -De 15 1 159 0 0 +De 15 1 158 0 0 Po 0 51685 35563 51681 35567 39 -1 -De 2 0 159 0 0 +De 2 0 158 0 0 Po 0 48138 36583 48338 36583 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 0 51377 35776 51807 35776 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 0 52111 35780 52136 35755 39 -1 -De 15 0 160 0 400 +De 15 0 159 0 400 Po 0 51811 35780 52111 35780 39 -1 -De 15 0 160 0 0 +De 15 0 159 0 0 Po 0 51807 35776 51811 35780 39 -1 -De 15 0 160 0 0 +De 15 0 159 0 0 Po 3 51807 35776 51807 35776 157 -1 -De 15 1 160 0 0 +De 15 1 159 0 0 Po 0 50610 36543 51377 35776 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 0 49890 36543 50610 36543 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 0 49690 36343 49890 36543 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 0 48578 36343 49690 36343 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 0 48338 36583 48578 36343 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 0 47705 36590 48131 36590 39 -1 -De 0 0 160 0 800 +De 0 0 159 0 800 Po 0 48138 36583 48149 36594 39 -1 -De 2 0 160 0 0 +De 2 0 159 0 0 Po 3 48138 36583 48138 36583 157 -1 -De 15 1 160 0 0 +De 15 1 159 0 0 Po 0 48131 36590 48138 36583 39 -1 -De 0 0 160 0 0 +De 0 0 159 0 0 Po 0 50811 37012 51204 37012 39 -1 -De 2 0 161 0 0 +De 2 0 160 0 0 Po 0 47846 37492 48031 37307 39 -1 -De 0 0 161 0 0 +De 0 0 160 0 0 Po 3 48031 37307 48031 37307 157 -1 -De 15 1 161 0 0 +De 15 1 160 0 0 Po 0 48031 37307 48326 37012 39 -1 -De 2 0 161 0 0 +De 2 0 160 0 0 Po 0 48326 37012 50811 37012 39 -1 -De 2 0 161 0 0 +De 2 0 160 0 0 Po 0 47716 37492 47846 37492 39 -1 -De 0 0 161 0 800 +De 0 0 160 0 800 Po 0 52894 36543 52923 36543 39 -1 -De 15 0 161 0 400 +De 15 0 160 0 400 Po 0 52705 36354 52894 36543 39 -1 -De 15 0 161 0 0 +De 15 0 160 0 0 Po 3 52705 36354 52705 36354 157 -1 -De 15 1 161 0 0 +De 15 1 160 0 0 Po 0 52520 36539 52705 36354 39 -1 -De 2 0 161 0 0 +De 2 0 160 0 0 Po 0 51677 36539 52520 36539 39 -1 -De 2 0 161 0 0 +De 2 0 160 0 0 Po 0 51204 37012 51677 36539 39 -1 -De 2 0 161 0 0 +De 2 0 160 0 0 Po 0 50902 37138 51381 37138 39 -1 -De 2 0 162 0 0 +De 2 0 161 0 0 Po 0 50902 37138 50071 37138 39 -1 -De 2 0 162 0 0 +De 2 0 161 0 0 Po 0 50071 37138 49709 37500 39 -1 -De 2 0 162 0 0 +De 2 0 161 0 0 Po 0 49709 37500 48122 37500 39 -1 -De 2 0 162 0 0 +De 2 0 161 0 0 Po 0 48122 37500 48051 37571 39 -1 -De 2 0 162 0 0 +De 2 0 161 0 0 Po 3 48051 37571 48051 37571 157 -1 -De 15 1 162 0 0 +De 15 1 161 0 0 Po 0 48051 37571 47933 37689 39 -1 -De 0 0 162 0 0 +De 0 0 161 0 0 Po 0 47716 37689 47933 37689 39 -1 -De 0 0 162 0 800 +De 0 0 161 0 800 Po 0 51976 36543 52136 36543 39 -1 -De 15 0 162 0 400 +De 15 0 161 0 400 Po 0 51799 36720 51976 36543 39 -1 -De 15 0 162 0 0 +De 15 0 161 0 0 Po 3 51799 36720 51799 36720 157 -1 -De 15 1 162 0 0 +De 15 1 161 0 0 Po 0 51381 37138 51799 36720 39 -1 -De 2 0 162 0 0 +De 2 0 161 0 0 Po 0 50075 37634 50122 37634 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 0 51747 37276 51854 37169 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 0 50480 37276 51747 37276 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 0 50122 37634 50480 37276 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 0 52521 36936 52327 37130 39 -1 -De 15 0 163 0 0 +De 15 0 162 0 0 Po 3 51854 37169 51854 37169 157 -1 -De 15 1 163 0 0 +De 15 1 162 0 0 Po 0 51854 37169 51893 37130 39 -1 -De 15 0 163 0 0 +De 15 0 162 0 0 Po 0 51893 37130 52327 37130 39 -1 -De 15 0 163 0 0 +De 15 0 162 0 0 Po 0 52521 36936 52530 36936 39 -1 -De 15 0 163 0 400 +De 15 0 162 0 400 Po 0 50240 37634 50075 37634 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 0 50075 37634 48276 37634 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 0 48276 37634 48091 37819 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 0 48091 37819 48075 37819 39 -1 -De 2 0 163 0 0 +De 2 0 162 0 0 Po 3 48075 37819 48075 37819 157 -1 -De 15 1 163 0 0 +De 15 1 162 0 0 Po 0 48075 37819 48009 37885 39 -1 -De 0 0 163 0 0 +De 0 0 162 0 0 Po 0 47716 37885 48009 37885 39 -1 -De 0 0 163 0 800 +De 0 0 162 0 800 Po 0 51165 37610 51508 37610 39 -1 -De 2 0 164 0 0 +De 2 0 163 0 0 Po 0 47755 38082 47972 38299 39 -1 -De 0 0 164 0 0 +De 0 0 163 0 0 Po 3 47972 38299 47972 38299 157 -1 -De 15 1 164 0 0 +De 15 1 163 0 0 Po 0 47972 38299 48169 38496 39 -1 -De 2 0 164 0 0 +De 2 0 163 0 0 Po 0 48169 38496 50279 38496 39 -1 -De 2 0 164 0 0 +De 2 0 163 0 0 Po 0 50279 38496 51165 37610 39 -1 -De 2 0 164 0 0 +De 2 0 163 0 0 Po 0 47716 38082 47755 38082 39 -1 -De 0 0 164 0 800 +De 0 0 163 0 800 Po 0 51638 37126 51828 36936 39 -1 -De 15 0 164 0 0 +De 15 0 163 0 0 Po 0 51638 37480 51638 37126 39 -1 -De 15 0 164 0 0 +De 15 0 163 0 0 Po 0 51508 37610 51638 37480 39 -1 -De 15 0 164 0 0 +De 15 0 163 0 0 Po 3 51508 37610 51508 37610 157 -1 -De 15 1 164 0 0 +De 15 1 163 0 0 Po 0 51828 36936 52136 36936 39 -1 -De 15 0 164 0 400 +De 15 0 163 0 400 Po 0 49805 35659 50050 35659 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 51039 35276 51217 35098 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 50433 35276 51039 35276 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 50050 35659 50433 35276 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 49232 37693 48752 37213 39 -1 -De 0 0 165 0 0 +De 0 0 164 0 0 Po 0 49484 37693 49232 37693 39 -1 -De 0 0 165 0 800 +De 0 0 164 0 800 Po 0 48760 37118 49055 36823 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 48760 37205 48760 37118 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 48752 37213 48760 37205 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 3 48752 37213 48752 37213 157 -1 -De 15 1 165 0 0 +De 15 1 164 0 0 Po 0 52530 34574 52529 34574 39 -1 -De 15 0 165 0 800 +De 15 0 164 0 800 Po 0 51496 35098 51217 35098 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 51748 34846 51496 35098 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 3 51748 34846 51748 34846 157 -1 -De 15 1 165 0 0 +De 15 1 164 0 0 Po 0 51811 34783 51748 34846 39 -1 -De 15 0 165 0 0 +De 15 0 164 0 0 Po 0 52320 34783 51811 34783 39 -1 -De 15 0 165 0 0 +De 15 0 164 0 0 Po 0 52529 34574 52320 34783 39 -1 -De 15 0 165 0 0 +De 15 0 164 0 0 Po 0 49055 36409 49055 36823 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 49807 35657 49805 35659 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 49805 35659 49055 36409 39 -1 -De 3 0 165 0 0 +De 3 0 164 0 0 Po 0 49696 35268 50066 35268 39 -1 -De 3 0 166 0 0 +De 3 0 165 0 0 Po 0 48850 36114 49696 35268 39 -1 -De 3 0 166 0 0 +De 3 0 165 0 0 Po 0 49484 37496 49315 37496 39 -1 -De 0 0 166 0 800 +De 0 0 165 0 800 Po 0 51741 34574 52136 34574 39 -1 -De 15 0 166 0 400 +De 15 0 165 0 400 Po 0 51398 34917 51741 34574 39 -1 -De 15 0 166 0 0 +De 15 0 165 0 0 Po 3 51398 34917 51398 34917 157 -1 -De 15 1 166 0 0 +De 15 1 165 0 0 Po 3 48850 36760 48850 36760 157 -1 -De 15 1 166 0 0 +De 15 1 165 0 0 Po 0 48850 37031 48850 36760 39 -1 -De 0 0 166 0 0 +De 0 0 165 0 0 Po 0 49315 37496 48850 37031 39 -1 -De 0 0 166 0 0 +De 0 0 165 0 0 Po 0 48850 36760 48850 36114 39 -1 -De 3 0 166 0 0 +De 3 0 165 0 0 Po 0 51157 34917 51398 34917 39 -1 -De 3 0 166 0 0 +De 3 0 165 0 0 Po 0 50980 35094 51157 34917 39 -1 -De 3 0 166 0 0 +De 3 0 165 0 0 Po 0 50240 35094 50980 35094 39 -1 -De 3 0 166 0 0 +De 3 0 165 0 0 Po 0 50066 35268 50240 35094 39 -1 -De 3 0 166 0 0 +De 3 0 165 0 0 Po 0 48697 35634 48697 35346 39 -1 -De 0 0 167 0 0 +De 0 0 166 0 0 Po 0 49492 36535 49066 36535 39 -1 -De 0 0 167 0 800 +De 0 0 166 0 800 Po 0 49066 36535 48697 36166 39 -1 -De 0 0 167 0 0 +De 0 0 166 0 0 Po 0 48697 35634 48697 36166 39 -1 -De 0 0 167 0 0 +De 0 0 166 0 0 Po 0 49586 34457 49878 34457 39 -1 -De 0 0 167 0 0 +De 0 0 166 0 0 Po 0 48697 35346 49586 34457 39 -1 -De 0 0 167 0 0 +De 0 0 166 0 0 Po 0 49874 34457 49878 34457 39 -1 -De 0 0 167 0 0 +De 0 0 166 0 0 Po 3 49878 34457 49878 34457 157 -1 -De 15 1 167 0 0 +De 15 1 166 0 0 Po 0 49878 34457 50052 34283 39 -1 -De 2 0 167 0 0 +De 2 0 166 0 0 Po 0 50052 34283 51391 34283 39 -1 -De 2 0 167 0 0 +De 2 0 166 0 0 Po 0 52923 33395 52923 33396 39 -1 -De 15 0 167 0 800 +De 15 0 166 0 800 Po 0 52728 33591 52165 33591 39 -1 -De 15 0 167 0 0 +De 15 0 166 0 0 Po 0 52923 33396 52728 33591 39 -1 -De 15 0 167 0 0 +De 15 0 166 0 0 Po 0 51894 33775 52078 33591 39 -1 -De 15 0 167 0 0 +De 15 0 166 0 0 Po 0 52078 33591 52165 33591 39 -1 -De 15 0 167 0 0 +De 15 0 166 0 0 Po 0 51709 33965 51894 33780 39 -1 -De 15 0 167 0 0 +De 15 0 166 0 0 Po 3 51709 33965 51709 33965 157 -1 -De 15 1 167 0 0 +De 15 1 166 0 0 Po 0 51391 34283 51709 33965 39 -1 -De 2 0 167 0 0 +De 2 0 166 0 0 Po 0 51894 33780 51894 33775 39 -1 -De 15 0 167 0 0 +De 15 0 166 0 0 Po 0 48858 35654 48858 35387 39 -1 -De 0 0 168 0 0 +De 0 0 167 0 0 Po 0 49492 36338 49059 36338 39 -1 -De 0 0 168 0 800 +De 0 0 167 0 800 Po 0 49059 36338 48858 36137 39 -1 -De 0 0 168 0 0 +De 0 0 167 0 0 Po 0 49689 34551 49689 34358 39 -1 -De 2 0 168 0 0 +De 2 0 167 0 0 Po 0 49819 34681 49689 34551 39 -1 -De 2 0 168 0 0 +De 2 0 167 0 0 Po 0 49819 34776 49819 34681 39 -1 -De 2 0 168 0 0 +De 2 0 167 0 0 Po 0 49772 34823 49819 34776 39 -1 -De 2 0 168 0 0 +De 2 0 167 0 0 Po 3 49772 34823 49772 34823 157 -1 -De 15 1 168 0 0 +De 15 1 167 0 0 Po 0 49689 34823 49772 34823 39 -1 -De 0 0 168 0 0 +De 0 0 167 0 0 Po 0 52081 33395 52136 33395 39 -1 -De 15 0 168 0 400 +De 15 0 167 0 400 Po 0 51712 33764 52081 33395 39 -1 -De 15 0 168 0 0 +De 15 0 167 0 0 Po 0 51595 33764 51712 33764 39 -1 -De 15 0 168 0 0 +De 15 0 167 0 0 Po 0 51402 33957 51595 33764 39 -1 -De 15 0 168 0 0 +De 15 0 167 0 0 Po 3 51402 33957 51402 33957 157 -1 -De 15 1 168 0 0 +De 15 1 167 0 0 Po 0 51212 34147 51402 33957 39 -1 -De 2 0 168 0 0 +De 2 0 167 0 0 Po 0 49900 34147 51212 34147 39 -1 -De 2 0 168 0 0 +De 2 0 167 0 0 Po 0 49689 34358 49900 34147 39 -1 -De 2 0 168 0 0 +De 2 0 167 0 0 Po 0 48858 35654 48858 36137 39 -1 -De 0 0 168 0 0 +De 0 0 167 0 0 Po 0 49422 34823 49689 34823 39 -1 -De 0 0 168 0 0 +De 0 0 167 0 0 Po 0 48858 35387 49422 34823 39 -1 -De 0 0 168 0 0 +De 0 0 167 0 0 Po 0 50437 34693 50354 34693 39 -1 -De 2 0 169 0 0 +De 2 0 168 0 0 Po 0 49205 36142 49142 36079 39 -1 -De 0 0 169 0 0 +De 0 0 168 0 0 Po 0 50437 34693 51575 34693 39 -1 -De 2 0 169 0 0 +De 2 0 168 0 0 Po 0 51575 34693 52071 34197 39 -1 -De 2 0 169 0 0 +De 2 0 168 0 0 Po 0 52071 34197 52107 34197 39 -1 -De 2 0 169 0 0 +De 2 0 168 0 0 Po 0 52107 34197 52343 33961 39 -1 -De 2 0 169 0 0 +De 2 0 168 0 0 Po 3 52343 33961 52343 33961 157 -1 -De 15 1 169 0 0 +De 15 1 168 0 0 Po 0 52343 33961 52516 33788 39 -1 -De 15 0 169 0 0 +De 15 0 168 0 0 Po 0 52530 33788 52516 33788 39 -1 -De 15 0 169 0 800 +De 15 0 168 0 800 Po 0 49205 36142 49492 36142 39 -1 -De 0 0 169 0 400 +De 0 0 168 0 400 Po 0 49118 36055 49142 36079 39 -1 -De 0 0 169 0 0 +De 0 0 168 0 0 Po 0 49118 35504 49118 36055 39 -1 -De 0 0 169 0 0 +De 0 0 168 0 0 Po 0 49303 35319 49118 35504 39 -1 -De 0 0 169 0 0 +De 0 0 168 0 0 Po 3 49303 35319 49303 35319 157 -1 -De 15 1 169 0 0 +De 15 1 168 0 0 Po 0 49728 35319 49303 35319 39 -1 -De 2 0 169 0 0 +De 2 0 168 0 0 Po 0 50354 34693 49728 35319 39 -1 -De 2 0 169 0 0 +De 2 0 168 0 0 Po 0 52530 33788 52530 33789 39 -1 -De 15 0 169 0 800 +De 15 0 168 0 800 Po 0 49492 35945 49492 35134 39 -1 -De 0 0 170 0 800 +De 0 0 169 0 800 Po 0 49862 35004 50401 34465 39 -1 -De 2 0 170 0 0 +De 2 0 169 0 0 Po 0 49622 35004 49862 35004 39 -1 -De 2 0 170 0 0 +De 2 0 169 0 0 Po 0 49492 35134 49622 35004 39 -1 -De 2 0 170 0 0 +De 2 0 169 0 0 Po 3 49492 35134 49492 35134 157 -1 -De 15 1 170 0 0 +De 15 1 169 0 0 Po 0 50401 34465 50449 34465 39 -1 -De 2 0 170 0 0 +De 2 0 169 0 0 Po 0 52136 33809 51488 34457 39 -1 -De 15 0 170 0 0 +De 15 0 169 0 0 Po 3 51488 34457 51488 34457 157 -1 -De 15 1 170 0 0 +De 15 1 169 0 0 Po 0 51488 34457 51480 34465 39 -1 -De 2 0 170 0 0 +De 2 0 169 0 0 Po 0 51480 34465 50449 34465 39 -1 -De 2 0 170 0 0 +De 2 0 169 0 0 Po 0 50449 34465 50401 34465 39 -1 -De 2 0 170 0 0 +De 2 0 169 0 0 Po 0 52136 33809 52136 33788 39 -1 -De 15 0 170 0 400 +De 15 0 169 0 400 Po 0 52136 33788 52134 33788 39 -1 -De 15 0 170 0 800 +De 15 0 169 0 800 Po 0 50323 35165 51543 35165 39 -1 -De 2 0 171 0 0 +De 2 0 170 0 0 Po 3 51976 35165 51976 35165 157 -1 -De 15 1 171 0 0 +De 15 1 170 0 0 Po 0 51976 35165 52338 35165 39 -1 -De 15 0 171 0 0 +De 15 0 170 0 0 Po 0 47705 36000 47705 35866 39 -1 -De 0 0 171 0 800 +De 0 0 170 0 800 Po 0 49953 35535 50323 35165 39 -1 -De 2 0 171 0 0 +De 2 0 170 0 0 Po 0 48138 35535 49953 35535 39 -1 -De 2 0 171 0 0 +De 2 0 170 0 0 Po 0 48055 35618 48138 35535 39 -1 -De 2 0 171 0 0 +De 2 0 170 0 0 Po 3 48055 35618 48055 35618 157 -1 -De 15 1 171 0 0 +De 15 1 170 0 0 Po 0 47953 35618 48055 35618 39 -1 -De 0 0 171 0 0 +De 0 0 170 0 0 Po 0 47705 35866 47953 35618 39 -1 -De 0 0 171 0 0 +De 0 0 170 0 0 Po 0 52530 35357 52530 35361 39 -1 -De 15 0 171 0 400 +De 15 0 170 0 400 Po 0 52530 35357 52338 35165 39 -1 -De 15 0 171 0 0 +De 15 0 170 0 0 Po 0 51854 35287 51976 35165 39 -1 -De 2 0 171 0 0 +De 2 0 170 0 0 Po 0 51665 35287 51854 35287 39 -1 -De 2 0 171 0 0 +De 2 0 170 0 0 Po 0 51543 35165 51665 35287 39 -1 -De 2 0 171 0 0 +De 2 0 170 0 0 Po 0 50453 35362 51488 35362 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 50102 35713 50453 35362 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 47705 36197 47854 36197 39 -1 -De 0 0 172 0 800 +De 0 0 171 0 800 Po 0 48342 35713 50067 35713 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 48169 35886 48342 35713 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 3 48169 35886 48169 35886 157 -1 -De 15 1 172 0 0 +De 15 1 171 0 0 Po 0 48165 35886 48169 35886 39 -1 -De 0 0 172 0 0 +De 0 0 171 0 0 Po 0 47854 36197 48165 35886 39 -1 -De 0 0 172 0 0 +De 0 0 171 0 0 Po 0 50067 35713 50094 35713 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 50094 35713 50102 35713 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 51488 35362 51508 35382 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 51529 35361 52136 35361 39 -1 -De 15 0 172 0 400 +De 15 0 171 0 400 Po 0 51508 35382 51529 35361 39 -1 -De 15 0 172 0 0 +De 15 0 171 0 0 Po 3 51508 35382 51508 35382 157 -1 -De 15 1 172 0 0 +De 15 1 171 0 0 Po 0 51504 35382 51508 35382 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 51484 35362 51504 35382 39 -1 -De 2 0 172 0 0 +De 2 0 171 0 0 Po 0 52135 35362 52136 35361 39 -1 -De 15 0 172 0 400 +De 15 0 171 0 400 Po 0 49121 34106 50417 34106 39 -1 -De 3 0 173 0 0 +De 3 0 172 0 0 Po 0 49036 34019 49035 34020 39 -1 -De 0 0 173 0 0 +De 0 0 172 0 0 Po 3 49035 34020 49035 34020 157 -1 -De 15 1 173 0 0 +De 15 1 172 0 0 Po 0 49035 34020 49121 34106 39 -1 -De 3 0 173 0 0 +De 3 0 172 0 0 Po 0 53122 33992 53122 33996 39 -1 -De 3 0 173 0 0 +De 3 0 172 0 0 Po 0 53317 34182 53312 34182 39 -1 -De 15 0 173 0 800 +De 15 0 172 0 800 Po 0 53122 33992 53312 34182 39 -1 -De 15 0 173 0 0 +De 15 0 172 0 0 Po 3 53122 33992 53122 33992 157 -1 -De 15 1 173 0 0 +De 15 1 172 0 0 Po 0 52972 34146 52075 34146 39 -1 -De 3 0 173 0 0 +De 3 0 172 0 0 Po 0 53122 33996 52972 34146 39 -1 -De 3 0 173 0 0 +De 3 0 172 0 0 Po 0 50457 34146 52075 34146 39 -1 -De 3 0 173 0 0 +De 3 0 172 0 0 Po 0 50417 34106 50457 34146 39 -1 -De 3 0 173 0 0 +De 3 0 172 0 0 Po 0 49036 34019 49441 34019 39 -1 -De 0 0 173 0 400 +De 0 0 172 0 400 Po 0 49039 34276 51630 34276 39 -1 -De 3 0 174 0 0 +De 3 0 173 0 0 Po 0 49441 34216 49099 34216 39 -1 -De 0 0 174 0 800 +De 0 0 173 0 800 Po 3 49039 34276 49039 34276 157 -1 -De 15 1 174 0 0 +De 15 1 173 0 0 Po 0 49099 34216 49039 34276 39 -1 -De 0 0 174 0 0 +De 0 0 173 0 0 Po 0 52561 34513 52724 34350 39 -1 -De 3 0 174 0 0 +De 3 0 173 0 0 Po 0 51867 34513 52561 34513 39 -1 -De 3 0 174 0 0 +De 3 0 173 0 0 Po 0 51630 34276 51867 34513 39 -1 -De 3 0 174 0 0 +De 3 0 173 0 0 Po 0 52892 34182 52923 34182 39 -1 -De 15 0 174 0 400 +De 15 0 173 0 400 Po 0 52724 34350 52892 34182 39 -1 -De 15 0 174 0 0 +De 15 0 173 0 0 Po 3 52724 34350 52724 34350 157 -1 -De 15 1 174 0 0 +De 15 1 173 0 0 Po 0 52713 34339 52724 34350 39 -1 -De 3 0 174 0 0 +De 3 0 173 0 0 Po 0 49421 32512 49177 32512 39 -1 -De 0 0 175 0 800 +De 0 0 174 0 800 Po 3 53512 33591 53512 33591 157 -1 -De 15 1 175 0 0 +De 15 1 174 0 0 Po 0 53512 33591 53709 33788 39 -1 -De 15 0 175 0 0 +De 15 0 174 0 0 Po 0 53709 33788 53711 33788 39 -1 -De 15 0 175 0 400 +De 15 0 174 0 400 Po 0 53307 33386 53512 33591 39 -1 -De 0 0 175 0 0 +De 0 0 174 0 0 Po 0 52169 33386 53307 33386 39 -1 -De 0 0 175 0 0 +De 0 0 174 0 0 Po 0 51956 33173 52169 33386 39 -1 -De 0 0 175 0 0 +De 0 0 174 0 0 Po 0 51846 33173 51956 33173 39 -1 -De 0 0 175 0 0 +De 0 0 174 0 0 Po 3 51846 33173 51846 33173 157 -1 -De 15 1 175 0 0 +De 15 1 174 0 0 Po 0 51747 33173 51846 33173 39 -1 -De 3 0 175 0 0 +De 3 0 174 0 0 Po 0 51487 32913 51747 33173 39 -1 -De 3 0 175 0 0 +De 3 0 174 0 0 Po 0 50000 32913 51487 32913 39 -1 -De 3 0 175 0 0 +De 3 0 174 0 0 Po 0 49815 32728 50000 32913 39 -1 -De 3 0 175 0 0 +De 3 0 174 0 0 Po 0 49141 32728 49815 32728 39 -1 -De 3 0 175 0 0 +De 3 0 174 0 0 Po 0 49051 32638 49141 32728 39 -1 -De 3 0 175 0 0 +De 3 0 174 0 0 Po 3 49051 32638 49051 32638 157 -1 -De 15 1 175 0 0 +De 15 1 174 0 0 Po 0 49177 32512 49051 32638 39 -1 -De 0 0 175 0 0 +De 0 0 174 0 0 Po 0 51634 34638 51736 34638 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 0 52571 34634 52575 34638 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 0 51740 34634 52571 34634 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 0 51736 34638 51740 34634 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 0 48503 34055 48520 34055 39 -1 -De 0 0 176 0 800 +De 0 0 175 0 800 Po 0 52923 34588 52923 34574 39 -1 -De 15 0 176 0 400 +De 15 0 175 0 400 Po 0 52575 34638 52724 34787 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 3 52724 34787 52724 34787 157 -1 -De 15 1 176 0 0 +De 15 1 175 0 0 Po 0 52724 34787 52923 34588 39 -1 -De 15 0 176 0 0 +De 15 0 175 0 0 Po 0 49103 34638 51276 34638 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 0 51276 34638 51634 34638 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 0 48772 34307 49103 34638 39 -1 -De 3 0 176 0 0 +De 3 0 175 0 0 Po 3 48772 34307 48772 34307 157 -1 -De 15 1 176 0 0 +De 15 1 175 0 0 Po 0 48520 34055 48772 34307 39 -1 -De 0 0 176 0 0 +De 0 0 175 0 0 Po 0 51559 36350 50788 36350 39 -1 -De 0 0 177 0 0 +De 0 0 176 0 0 Po 0 49213 36871 49267 36925 39 -1 -De 0 0 177 0 0 +De 0 0 176 0 0 Po 0 49267 36925 50213 36925 39 -1 -De 0 0 177 0 0 +De 0 0 176 0 0 Po 0 50213 36925 50788 36350 39 -1 -De 0 0 177 0 0 +De 0 0 176 0 0 Po 0 48503 35039 48503 36160 39 -1 -De 0 0 177 0 800 +De 0 0 176 0 800 Po 0 48503 36160 49213 36870 39 -1 -De 0 0 177 0 0 +De 0 0 176 0 0 Po 0 49213 36870 49213 36871 39 -1 -De 0 0 177 0 0 +De 0 0 176 0 0 Po 3 51559 36350 51559 36350 157 -1 -De 15 1 177 0 0 +De 15 1 176 0 0 Po 0 51559 36350 51566 36343 39 -1 -De 15 0 177 0 0 +De 15 0 176 0 0 Po 0 51566 36343 52336 36343 39 -1 -De 15 0 177 0 0 +De 15 0 176 0 0 Po 0 52336 36343 52530 36149 39 -1 -De 15 0 177 0 400 +De 15 0 176 0 400 Po 0 52327 32433 52330 32433 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 48937 33823 48854 33740 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 48854 33740 48854 33563 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 48854 33563 48976 33441 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 48976 33441 50527 33441 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 50527 33441 50941 33027 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 50941 33027 51733 33027 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 51733 33027 52327 32433 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 49441 33823 48937 33823 39 -1 -De 0 0 178 0 800 +De 0 0 177 0 800 Po 0 52550 32213 52530 32213 39 -1 -De 15 0 178 0 400 +De 15 0 177 0 400 Po 0 52732 32031 52550 32213 39 -1 -De 15 0 178 0 0 +De 15 0 177 0 0 Po 3 52732 32031 52732 32031 157 -1 -De 15 1 178 0 0 +De 15 1 177 0 0 Po 0 52330 32433 52732 32031 39 -1 -De 0 0 178 0 0 +De 0 0 177 0 0 Po 0 52516 32213 52530 32213 39 -1 -De 15 0 178 0 400 +De 15 0 177 0 400 Po 0 58434 30245 58434 29850 59 -1 -De 15 0 218 0 800 +De 15 0 217 0 800 Po 0 58434 29850 58949 29335 59 -1 -De 15 0 218 0 0 +De 15 0 217 0 0 Po 0 58434 30245 58434 30188 59 -1 -De 15 0 218 0 800 +De 15 0 217 0 800 Po 0 58949 29327 58949 29335 59 -1 -De 3 0 218 0 0 +De 3 0 217 0 0 Po 3 58949 29335 58949 29335 157 -1 -De 15 1 218 0 0 +De 15 1 217 0 0 Po 0 62457 16291 62457 16480 59 -1 -De 0 0 218 0 800 +De 0 0 217 0 800 Po 0 58949 28899 58949 29327 59 -1 -De 3 0 218 0 0 +De 3 0 217 0 0 Po 0 59146 28702 58949 28899 59 -1 -De 3 0 218 0 0 +De 3 0 217 0 0 Po 0 59146 20098 59146 28702 59 -1 -De 3 0 218 0 0 +De 3 0 217 0 0 Po 0 61130 18114 59146 20098 59 -1 -De 3 0 218 0 0 +De 3 0 217 0 0 Po 0 61130 17807 61130 18114 59 -1 -De 3 0 218 0 0 +De 3 0 217 0 0 Po 0 62173 16764 61130 17807 59 -1 -De 3 0 218 0 0 +De 3 0 217 0 0 Po 3 62173 16764 62173 16764 157 -1 -De 15 1 218 0 0 +De 15 1 217 0 0 Po 0 62457 16480 62173 16764 59 -1 -De 0 0 218 0 0 +De 0 0 217 0 0 Po 0 58945 29339 58945 29354 59 -1 -De 15 0 218 0 0 +De 15 0 217 0 0 Po 0 58949 29335 58945 29339 59 -1 +De 15 0 217 0 0 +Po 0 58040 31032 58040 31019 59 -1 +De 15 0 218 0 800 +Po 0 61591 16578 61441 16728 59 -1 +De 0 0 218 0 0 +Po 0 61441 16728 61441 16732 59 -1 +De 0 0 218 0 0 +Po 3 61441 16732 61441 16732 157 -1 +De 15 1 218 0 0 +Po 0 61441 16732 61006 17167 59 -1 +De 3 0 218 0 0 +Po 0 61006 17167 61006 18062 59 -1 +De 3 0 218 0 0 +Po 0 61006 18062 59025 20043 59 -1 +De 3 0 218 0 0 +Po 0 59025 20043 59025 28651 59 -1 +De 3 0 218 0 0 +Po 0 59025 28651 58772 28904 59 -1 +De 3 0 218 0 0 +Po 0 58772 28904 58772 29153 59 -1 +De 3 0 218 0 0 +Po 0 58772 29153 58776 29157 59 -1 +De 3 0 218 0 0 +Po 0 61591 16578 61591 16291 59 -1 +De 0 0 218 0 400 +Po 0 58354 29579 58776 29157 59 -1 +De 3 0 218 0 0 +Po 0 58354 30728 58354 29579 59 -1 +De 3 0 218 0 0 +Po 0 58173 30874 58354 30728 59 -1 +De 3 0 218 0 0 +Po 3 58173 30874 58173 30874 157 -1 +De 15 1 218 0 0 +Po 0 58201 30858 58173 30874 59 -1 +De 15 0 218 0 0 +Po 0 58040 31019 58201 30858 59 -1 De 15 0 218 0 0 -Po 0 58827 30245 58827 29787 59 -1 -De 15 0 219 0 800 -Po 0 63323 16492 63323 16291 59 -1 -De 0 0 219 0 400 -Po 3 59118 29197 59118 29197 157 -1 -De 15 1 219 0 0 -Po 0 59118 28976 59118 29197 59 -1 -De 3 0 219 0 0 -Po 0 59267 28827 59118 28976 59 -1 -De 3 0 219 0 0 -Po 0 59267 20150 59267 28827 59 -1 -De 3 0 219 0 0 -Po 0 62165 17252 59267 20150 59 -1 -De 3 0 219 0 0 -Po 0 62586 17252 62165 17252 59 -1 -De 3 0 219 0 0 -Po 0 63039 16799 62586 17252 59 -1 -De 3 0 219 0 0 -Po 3 63039 16799 63039 16799 157 -1 -De 15 1 219 0 0 -Po 0 63039 16776 63039 16799 59 -1 -De 0 0 219 0 0 -Po 0 63323 16492 63039 16776 59 -1 -De 0 0 219 0 0 -Po 0 59118 29496 59118 29197 59 -1 -De 15 0 219 0 0 -Po 0 58827 29787 59118 29496 59 -1 -De 15 0 219 0 0 -Po 0 58827 30245 58827 30240 59 -1 -De 15 0 219 0 800 -Po 0 59390 29232 59390 29311 59 -1 -De 3 0 220 0 0 -Po 0 58969 30500 59000 30469 59 -1 -De 15 0 220 0 0 -Po 0 59000 30469 59000 29882 59 -1 -De 15 0 220 0 0 -Po 0 59000 29882 59390 29492 59 -1 -De 15 0 220 0 0 -Po 0 59390 29492 59390 29311 59 -1 -De 15 0 220 0 0 -Po 0 59390 29311 59390 29323 59 -1 -De 0 0 220 0 0 -Po 3 59390 29311 59390 29311 157 -1 -De 15 1 220 0 0 -Po 0 58830 30639 58827 30639 59 -1 -De 15 0 220 0 400 -Po 0 58969 30500 58969 30500 59 -1 -De 15 0 220 0 0 -Po 0 58969 30500 58830 30639 59 -1 -De 15 0 220 0 0 -Po 0 63756 16291 63756 16437 59 -1 -De 0 0 220 0 800 -Po 0 59390 20205 59390 29232 59 -1 -De 3 0 220 0 0 -Po 0 59390 29232 59390 29236 59 -1 -De 3 0 220 0 0 -Po 0 59390 29236 59390 29236 59 -1 -De 3 0 220 0 0 -Po 0 62134 17461 59390 20205 59 -1 -De 3 0 220 0 0 -Po 0 62732 17461 62134 17461 59 -1 -De 3 0 220 0 0 -Po 0 63358 16835 62732 17461 59 -1 -De 3 0 220 0 0 -Po 3 63358 16835 63358 16835 157 -1 -De 15 1 220 0 0 -Po 0 63756 16437 63358 16835 59 -1 -De 0 0 220 0 0 Po 0 58378 28973 58075 29276 59 -1 -De 15 0 221 0 0 +De 15 0 219 0 0 Po 0 58378 28973 58378 28878 59 -1 -De 15 0 221 0 0 +De 15 0 219 0 0 Po 0 58075 30008 58075 29276 59 -1 -De 15 0 221 0 0 +De 15 0 219 0 0 Po 0 60764 16748 60764 17961 59 -1 -De 3 0 221 0 0 +De 3 0 219 0 0 Po 0 60787 16725 60764 16748 59 -1 -De 0 0 221 0 0 +De 0 0 219 0 0 Po 0 60787 16725 60787 16353 59 -1 -De 0 0 221 0 0 +De 0 0 219 0 0 Po 0 60787 16353 60725 16291 59 -1 -De 0 0 221 0 400 +De 0 0 219 0 400 Po 3 60764 16748 60764 16748 157 -1 -De 15 1 221 0 0 +De 15 1 219 0 0 Po 0 60764 17961 58783 19942 59 -1 -De 3 0 221 0 0 +De 3 0 219 0 0 Po 0 58783 28473 58783 19942 59 -1 -De 3 0 221 0 0 +De 3 0 219 0 0 Po 0 58673 28583 58783 28473 59 -1 -De 3 0 221 0 0 +De 3 0 219 0 0 Po 3 58673 28583 58673 28583 157 -1 -De 15 1 221 0 0 +De 15 1 219 0 0 Po 0 58378 28878 58673 28583 59 -1 -De 15 0 221 0 0 +De 15 0 219 0 0 Po 0 58075 30008 58075 30210 59 -1 -De 15 0 221 0 0 +De 15 0 219 0 0 Po 0 58075 30210 58040 30245 59 -1 -De 15 0 221 0 400 +De 15 0 219 0 400 Po 0 58075 30008 58075 30012 59 -1 -De 15 0 221 0 0 +De 15 0 219 0 0 Po 0 58252 29386 58252 30429 59 -1 -De 15 0 222 0 0 +De 15 0 220 0 0 Po 0 58042 30639 58224 30457 59 -1 -De 15 0 222 0 0 +De 15 0 220 0 0 Po 0 58040 30639 58042 30639 59 -1 -De 15 0 222 0 800 +De 15 0 220 0 800 Po 0 58252 29386 58594 29044 59 -1 -De 15 0 222 0 0 +De 15 0 220 0 0 Po 0 58594 29044 58594 28910 59 -1 -De 15 0 222 0 0 +De 15 0 220 0 0 Po 0 58594 28910 58598 28906 59 -1 -De 15 0 222 0 0 +De 15 0 220 0 0 Po 3 58598 28906 58598 28906 157 -1 -De 15 1 222 0 0 +De 15 1 220 0 0 Po 0 58598 28906 58904 28600 59 -1 -De 3 0 222 0 0 +De 3 0 220 0 0 Po 0 61158 16653 61158 16291 59 -1 -De 0 0 222 0 400 +De 0 0 220 0 400 Po 0 58904 19992 58904 28600 59 -1 -De 3 0 222 0 0 +De 3 0 220 0 0 Po 0 60885 18011 58904 19992 59 -1 -De 3 0 222 0 0 +De 3 0 220 0 0 Po 0 60885 16926 60885 18011 59 -1 -De 3 0 222 0 0 +De 3 0 220 0 0 Po 0 61083 16728 60885 16926 59 -1 -De 3 0 222 0 0 +De 3 0 220 0 0 Po 3 61083 16728 61083 16728 157 -1 -De 15 1 222 0 0 +De 15 1 220 0 0 Po 0 61158 16653 61083 16728 59 -1 -De 0 0 222 0 0 +De 0 0 220 0 0 Po 0 58252 30429 58224 30457 59 -1 -De 15 0 222 0 0 +De 15 0 220 0 0 +Po 0 58976 30961 59245 30961 59 -1 +De 0 0 221 0 0 +Po 0 58804 30908 58857 30961 59 -1 +De 0 0 221 0 0 +Po 0 58857 30961 58976 30961 59 -1 +De 0 0 221 0 0 +Po 0 57961 30062 58330 30431 59 -1 +De 0 0 221 0 0 +Po 0 58330 30432 58804 30906 59 -1 +De 0 0 221 0 0 +Po 0 58330 30431 58330 30432 59 -1 +De 0 0 221 0 0 +Po 0 58804 30906 58804 30908 59 -1 +De 0 0 221 0 0 +Po 0 59316 31032 59221 31032 59 -1 +De 15 0 221 0 400 +Po 0 59406 31122 59316 31032 59 -1 +De 15 0 221 0 0 +Po 3 59406 31122 59406 31122 157 -1 +De 15 1 221 0 0 +Po 0 59245 30961 59406 31122 59 -1 +De 0 0 221 0 0 Po 0 56012 26781 56281 26781 59 -1 -De 0 0 223 0 800 -Po 0 56559 28660 57965 30066 59 -1 -De 0 0 223 0 0 +De 0 0 221 0 800 +Po 0 56559 28660 57961 30062 59 -1 +De 0 0 221 0 0 +Po 0 57961 30062 57965 30066 59 -1 +De 0 0 221 0 0 Po 0 56559 27059 56559 28660 59 -1 -De 0 0 223 0 0 +De 0 0 221 0 0 Po 0 56281 26781 56559 27059 59 -1 -De 0 0 223 0 0 +De 0 0 221 0 0 Po 0 57998 31289 57998 31777 59 -1 -De 0 0 224 0 0 +De 0 0 222 0 0 Po 0 58280 32059 58434 32213 59 -1 -De 15 0 224 0 400 +De 15 0 222 0 400 Po 3 58280 32059 58280 32059 157 -1 -De 15 1 224 0 0 +De 15 1 222 0 0 Po 0 57998 31777 58280 32059 59 -1 -De 0 0 224 0 0 +De 0 0 222 0 0 Po 0 57998 30613 57998 31289 59 -1 -De 0 0 224 0 0 +De 0 0 222 0 0 Po 0 57996 31291 57998 31289 39 -1 -De 0 0 224 0 0 +De 0 0 222 0 0 Po 0 57998 30613 57598 30213 59 -1 -De 0 0 224 0 0 +De 0 0 222 0 0 Po 0 55619 27763 55619 28234 59 -1 -De 0 0 224 0 800 +De 0 0 222 0 800 Po 0 57598 30213 57602 30213 59 -1 -De 0 0 224 0 0 +De 0 0 222 0 0 Po 0 55619 28234 57598 30213 59 -1 -De 0 0 224 0 0 +De 0 0 222 0 0 Po 0 57862 31268 57862 32016 59 -1 -De 0 0 225 0 0 +De 0 0 223 0 0 Po 0 57862 30701 57862 31268 59 -1 -De 0 0 225 0 0 +De 0 0 223 0 0 Po 0 58040 32194 58040 32213 59 -1 -De 15 0 225 0 400 +De 15 0 223 0 400 Po 0 57929 32083 58040 32194 59 -1 -De 15 0 225 0 0 +De 15 0 223 0 0 Po 3 57929 32083 57929 32083 157 -1 -De 15 1 225 0 0 +De 15 1 223 0 0 Po 0 57862 32016 57929 32083 59 -1 -De 0 0 225 0 0 +De 0 0 223 0 0 Po 0 55422 27763 55422 28209 59 -1 -De 0 0 225 0 800 +De 0 0 223 0 800 Po 0 57862 30649 57862 30701 59 -1 -De 0 0 225 0 0 +De 0 0 223 0 0 Po 0 57862 30701 57862 30701 59 -1 -De 0 0 225 0 0 +De 0 0 223 0 0 Po 0 55422 28209 57862 30649 59 -1 +De 0 0 223 0 0 +Po 0 57724 26781 57993 26781 59 -1 +De 0 0 224 0 800 +Po 0 60086 30245 60008 30245 59 -1 +De 15 0 224 0 400 +Po 0 60189 30142 60086 30245 59 -1 +De 15 0 224 0 0 +Po 3 60189 30142 60189 30142 157 -1 +De 15 1 224 0 0 +Po 0 59551 29504 60189 30142 59 -1 +De 0 0 224 0 0 +Po 0 58878 29504 59551 29504 59 -1 +De 0 0 224 0 0 +Po 0 58315 28941 58878 29504 59 -1 +De 0 0 224 0 0 +Po 0 58315 27103 58315 28941 59 -1 +De 0 0 224 0 0 +Po 0 57993 26781 58315 27103 59 -1 +De 0 0 224 0 0 +Po 0 59615 30245 59480 30245 59 -1 +De 15 0 225 0 800 +Po 0 57331 28473 57331 27763 59 -1 +De 0 0 225 0 400 +Po 0 58725 29867 57331 28473 59 -1 De 0 0 225 0 0 -Po 0 57331 27763 57331 28651 59 -1 -De 0 0 226 0 800 -Po 0 57331 28651 58530 29850 59 -1 +Po 0 58954 29867 58725 29867 59 -1 +De 0 0 225 0 0 +Po 0 59335 30248 58954 29867 59 -1 +De 0 0 225 0 0 +Po 0 59453 30248 59335 30248 59 -1 +De 0 0 225 0 0 +Po 0 59465 30260 59453 30248 59 -1 +De 0 0 225 0 0 +Po 3 59465 30260 59465 30260 157 -1 +De 15 1 225 0 0 +Po 0 59480 30245 59465 30260 59 -1 +De 15 0 225 0 0 +Po 0 59634 29931 59634 29933 59 -1 +De 0 0 226 0 0 +Po 0 59449 29746 59634 29931 59 -1 +De 0 0 226 0 0 +Po 0 59220 29746 59449 29746 59 -1 +De 0 0 226 0 0 +Po 0 60008 30532 60008 30639 59 -1 +De 15 0 226 0 400 +Po 0 59941 30465 60008 30532 59 -1 +De 15 0 226 0 0 +Po 3 59941 30465 59941 30465 157 -1 +De 15 1 226 0 0 +Po 0 59850 30374 59941 30465 59 -1 +De 0 0 226 0 0 +Po 0 59850 30149 59850 30374 59 -1 +De 0 0 226 0 0 +Po 0 59634 29933 59850 30149 59 -1 +De 0 0 226 0 0 +Po 0 57527 27763 57527 28497 59 -1 +De 0 0 226 0 800 +Po 0 58776 29746 59220 29746 59 -1 +De 0 0 226 0 0 +Po 0 59220 29746 59221 29746 59 -1 +De 0 0 226 0 0 +Po 0 57527 28497 58776 29746 59 -1 De 0 0 226 0 0 -Po 0 57724 27763 57724 28700 59 -1 -De 0 0 227 0 800 -Po 0 57724 28700 58682 29658 59 -1 -De 0 0 227 0 0 Po 0 57413 30478 57252 30639 39 -1 -De 15 0 228 0 400 +De 15 0 227 0 400 Po 0 57413 29162 57413 30478 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 57944 28631 57413 29162 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 57944 28630 57944 28631 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 60630 25464 60109 25464 39 -1 -De 15 0 228 0 800 +De 15 0 227 0 800 Po 0 57944 28630 57941 28630 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 59674 26900 57959 28615 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 57959 28615 57944 28630 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 59674 25899 59674 26900 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 60109 25464 59674 25899 39 -1 -De 15 0 228 0 0 +De 15 0 227 0 0 Po 0 57571 29370 57571 29976 39 -1 -De 3 0 229 0 0 +De 3 0 228 0 0 Po 0 57271 31054 57445 31228 39 -1 -De 3 0 229 0 0 +De 3 0 228 0 0 Po 0 57271 30276 57271 31054 39 -1 -De 3 0 229 0 0 +De 3 0 228 0 0 Po 0 57571 29976 57271 30276 39 -1 -De 3 0 229 0 0 +De 3 0 228 0 0 Po 0 57646 31426 57643 31426 39 -1 -De 15 0 229 0 800 +De 15 0 228 0 800 Po 3 57445 31228 57445 31228 157 -1 -De 15 1 229 0 0 +De 15 1 228 0 0 Po 0 57643 31426 57445 31228 39 -1 -De 15 0 229 0 0 +De 15 0 228 0 0 Po 0 57441 31224 57441 31213 39 -1 -De 3 0 229 0 0 +De 3 0 228 0 0 Po 0 57445 31228 57441 31224 39 -1 -De 3 0 229 0 0 +De 3 0 228 0 0 Po 0 58327 28390 58217 28500 39 -1 -De 15 0 229 0 0 +De 15 0 228 0 0 Po 0 57567 29374 57567 29378 39 -1 -De 0 0 229 0 0 +De 0 0 228 0 0 Po 0 57567 29378 57567 29382 39 -1 -De 0 0 229 0 0 +De 0 0 228 0 0 Po 0 57571 29370 57567 29374 39 -1 -De 0 0 229 0 0 +De 0 0 228 0 0 Po 3 57571 29370 57571 29370 157 -1 -De 15 1 229 0 0 +De 15 1 228 0 0 Po 0 57586 29355 57571 29370 39 -1 -De 15 0 229 0 0 +De 15 0 228 0 0 Po 0 57586 29131 57586 29355 39 -1 -De 15 0 229 0 0 +De 15 0 228 0 0 Po 0 58217 28500 57586 29131 39 -1 -De 15 0 229 0 0 +De 15 0 228 0 0 Po 0 59873 26844 58327 28390 39 -1 -De 15 0 229 0 0 +De 15 0 228 0 0 Po 0 58327 28390 58324 28393 39 -1 -De 15 0 229 0 0 +De 15 0 228 0 0 Po 0 60630 26844 59873 26844 39 -1 -De 15 0 229 0 800 +De 15 0 228 0 800 Po 0 52930 25074 53648 25074 39 -1 -De 15 0 230 0 800 +De 15 0 229 0 800 Po 0 56859 29985 56859 30245 39 -1 -De 15 0 230 0 400 +De 15 0 229 0 400 Po 0 54252 27378 56859 29985 39 -1 -De 15 0 230 0 0 +De 15 0 229 0 0 Po 0 54252 25678 54252 27378 39 -1 -De 15 0 230 0 0 +De 15 0 229 0 0 Po 0 53648 25074 54252 25678 39 -1 +De 15 0 229 0 0 +Po 0 56276 30850 56276 30874 39 -1 De 15 0 230 0 0 -Po 0 56465 30639 56491 30639 39 -1 -De 15 0 231 0 800 -Po 0 53554 25274 52930 25274 39 -1 +Po 0 56118 31032 56073 31032 39 -1 +De 15 0 230 0 400 +Po 0 56276 30874 56118 31032 39 -1 +De 15 0 230 0 0 +Po 0 52930 27244 53776 27244 39 -1 +De 15 0 230 0 800 +Po 0 56276 30835 56276 30850 39 -1 +De 15 0 230 0 0 +Po 0 56276 30850 56276 30835 39 -1 +De 15 0 230 0 0 +Po 0 56276 30835 56276 30839 39 -1 +De 15 0 230 0 0 +Po 0 56276 29744 56276 30835 39 -1 +De 15 0 230 0 0 +Po 0 53776 27244 56276 29744 39 -1 +De 15 0 230 0 0 +Po 0 57732 29520 57732 30106 39 -1 +De 3 0 231 0 0 +Po 0 57646 31028 57646 31032 39 -1 De 15 0 231 0 400 -Po 0 54079 25799 53554 25274 39 -1 +Po 3 57457 30925 57457 30925 157 -1 +De 15 1 231 0 0 +Po 0 57646 31028 57457 30925 39 -1 De 15 0 231 0 0 -Po 3 54079 25799 54079 25799 157 -1 +Po 0 57457 30381 57457 30925 39 -1 +De 3 0 231 0 0 +Po 0 57732 30106 57457 30381 39 -1 +De 3 0 231 0 0 +Po 0 58584 28275 58584 28276 39 -1 +De 15 0 231 0 0 +Po 0 59815 27044 58584 28275 39 -1 +De 15 0 231 0 0 +Po 0 60630 27044 59815 27044 39 -1 +De 15 0 231 0 800 +Po 0 57752 29500 57752 29488 39 -1 +De 0 0 231 0 0 +Po 0 57732 29520 57752 29500 39 -1 +De 0 0 231 0 0 +Po 3 57732 29520 57732 29520 157 -1 De 15 1 231 0 0 -Po 0 54071 25807 54079 25799 39 -1 -De 3 0 231 0 0 -Po 0 54071 27342 54071 25807 39 -1 -De 3 0 231 0 0 -Po 0 56669 29940 54071 27342 39 -1 -De 3 0 231 0 0 -Po 0 56669 30373 56669 29940 39 -1 -De 3 0 231 0 0 -Po 0 56713 30417 56669 30373 39 -1 -De 3 0 231 0 0 -Po 3 56713 30417 56713 30417 157 -1 -De 15 1 231 0 0 -Po 0 56491 30639 56713 30417 39 -1 +Po 0 57732 29128 57732 29520 39 -1 +De 15 0 231 0 0 +Po 0 58584 28276 57732 29128 39 -1 De 15 0 231 0 0 Po 0 57890 29113 57890 30001 39 -1 De 15 0 232 0 0 @@ -17021,526 +17121,604 @@ Po 0 59472 25811 59472 26804 39 -1 De 15 0 233 0 0 Po 0 60209 25074 59472 25811 39 -1 De 15 0 233 0 0 -Po 0 58040 31032 58040 31019 59 -1 +Po 0 58827 30245 58827 29787 59 -1 De 15 0 234 0 800 -Po 0 61591 16578 61441 16728 59 -1 -De 0 0 234 0 0 -Po 0 61441 16728 61441 16732 59 -1 -De 0 0 234 0 0 -Po 3 61441 16732 61441 16732 157 -1 -De 15 1 234 0 0 -Po 0 61441 16732 61006 17167 59 -1 -De 3 0 234 0 0 -Po 0 61006 17167 61006 18062 59 -1 -De 3 0 234 0 0 -Po 0 61006 18062 59025 20043 59 -1 -De 3 0 234 0 0 -Po 0 59025 20043 59025 28651 59 -1 -De 3 0 234 0 0 -Po 0 59025 28651 58772 28904 59 -1 -De 3 0 234 0 0 -Po 0 58772 28904 58772 29153 59 -1 -De 3 0 234 0 0 -Po 0 58772 29153 58776 29157 59 -1 -De 3 0 234 0 0 -Po 0 61591 16578 61591 16291 59 -1 +Po 0 63323 16492 63323 16291 59 -1 De 0 0 234 0 400 -Po 0 58354 29579 58776 29157 59 -1 -De 3 0 234 0 0 -Po 0 58354 30728 58354 29579 59 -1 -De 3 0 234 0 0 -Po 0 58173 30874 58354 30728 59 -1 -De 3 0 234 0 0 -Po 3 58173 30874 58173 30874 157 -1 +Po 3 59118 29197 59118 29197 157 -1 De 15 1 234 0 0 -Po 0 58201 30858 58173 30874 59 -1 +Po 0 59118 28976 59118 29197 59 -1 +De 3 0 234 0 0 +Po 0 59267 28827 59118 28976 59 -1 +De 3 0 234 0 0 +Po 0 59267 20150 59267 28827 59 -1 +De 3 0 234 0 0 +Po 0 62165 17252 59267 20150 59 -1 +De 3 0 234 0 0 +Po 0 62586 17252 62165 17252 59 -1 +De 3 0 234 0 0 +Po 0 63039 16799 62586 17252 59 -1 +De 3 0 234 0 0 +Po 3 63039 16799 63039 16799 157 -1 +De 15 1 234 0 0 +Po 0 63039 16776 63039 16799 59 -1 +De 0 0 234 0 0 +Po 0 63323 16492 63039 16776 59 -1 +De 0 0 234 0 0 +Po 0 59118 29496 59118 29197 59 -1 De 15 0 234 0 0 -Po 0 58040 31019 58201 30858 59 -1 +Po 0 58827 29787 59118 29496 59 -1 De 15 0 234 0 0 -Po 0 59126 31169 59394 31169 59 -1 +Po 0 58827 30245 58827 30240 59 -1 +De 15 0 234 0 800 +Po 0 59390 29232 59390 29311 59 -1 +De 3 0 235 0 0 +Po 0 58969 30500 59000 30469 59 -1 +De 15 0 235 0 0 +Po 0 59000 30469 59000 29882 59 -1 +De 15 0 235 0 0 +Po 0 59000 29882 59390 29492 59 -1 +De 15 0 235 0 0 +Po 0 59390 29492 59390 29311 59 -1 +De 15 0 235 0 0 +Po 0 59390 29311 59390 29323 59 -1 De 0 0 235 0 0 -Po 0 59273 31032 59221 31032 59 -1 -De 15 0 235 0 C00 -Po 0 59402 31161 59273 31032 59 -1 -De 15 0 235 0 400 -Po 3 59402 31161 59402 31161 157 -1 +Po 3 59390 29311 59390 29311 157 -1 De 15 1 235 0 0 -Po 0 59394 31169 59402 31161 59 -1 -De 0 0 235 0 0 -Po 0 58447 30720 58447 30723 59 -1 -De 0 0 235 0 0 -Po 0 56012 28283 56012 28285 59 -1 -De 0 0 235 0 0 -Po 0 56012 27763 56012 28283 59 -1 +Po 0 58830 30639 58827 30639 59 -1 +De 15 0 235 0 400 +Po 0 58969 30500 58969 30500 59 -1 +De 15 0 235 0 0 +Po 0 58969 30500 58830 30639 59 -1 +De 15 0 235 0 0 +Po 0 63756 16291 63756 16437 59 -1 De 0 0 235 0 800 -Po 0 56012 28285 58314 30587 59 -1 +Po 0 59390 20205 59390 29232 59 -1 +De 3 0 235 0 0 +Po 0 59390 29232 59390 29236 59 -1 +De 3 0 235 0 0 +Po 0 59390 29236 59390 29236 59 -1 +De 3 0 235 0 0 +Po 0 62134 17461 59390 20205 59 -1 +De 3 0 235 0 0 +Po 0 62732 17461 62134 17461 59 -1 +De 3 0 235 0 0 +Po 0 63358 16835 62732 17461 59 -1 +De 3 0 235 0 0 +Po 3 63358 16835 63358 16835 157 -1 +De 15 1 235 0 0 +Po 0 63756 16437 63358 16835 59 -1 De 0 0 235 0 0 -Po 0 58447 30720 58314 30587 59 -1 -De 0 0 235 0 0 -Po 0 58893 31169 59126 31169 59 -1 -De 0 0 235 0 0 -Po 0 59126 31169 59122 31169 59 -1 -De 0 0 235 0 0 -Po 0 58447 30723 58893 31169 59 -1 -De 0 0 235 0 0 -Po 0 58134 30577 58134 30579 59 -1 -De 0 0 236 0 0 -Po 0 59208 31426 59221 31426 59 -1 -De 15 0 236 0 400 -Po 0 59016 31618 59208 31426 59 -1 -De 15 0 236 0 0 -Po 3 59016 31618 59016 31618 157 -1 -De 15 1 236 0 0 -Po 0 59012 31618 59016 31618 59 -1 -De 0 0 236 0 0 -Po 0 58421 31027 59012 31618 59 -1 -De 0 0 236 0 0 -Po 0 58421 30866 58421 31027 59 -1 -De 0 0 236 0 0 -Po 0 58134 30579 58421 30866 59 -1 -De 0 0 236 0 0 -Po 0 58138 30581 58134 30577 59 -1 -De 0 0 236 0 0 -Po 0 58134 30577 57799 30242 59 -1 -De 0 0 236 0 0 -Po 0 55815 27763 55815 28258 59 -1 +Po 0 55619 26781 55619 26625 59 -1 De 0 0 236 0 800 -Po 0 55815 28258 57799 30242 59 -1 +Po 0 56378 19511 56799 19090 59 -1 +De 0 0 236 0 400 +Po 0 56378 20067 56378 19511 59 -1 De 0 0 236 0 0 -Po 0 57799 30242 57785 30228 59 -1 +Po 0 56277 20168 56378 20067 59 -1 De 0 0 236 0 0 -Po 0 57724 26781 57989 26781 59 -1 +Po 0 56277 21521 56277 20168 59 -1 +De 0 0 236 0 0 +Po 0 55693 22105 56277 21521 59 -1 +De 0 0 236 0 0 +Po 0 55693 26551 55693 22105 59 -1 +De 0 0 236 0 0 +Po 0 55619 26625 55693 26551 59 -1 +De 0 0 236 0 0 +Po 0 55815 26781 55815 22154 59 -1 De 0 0 237 0 800 -Po 0 58350 29154 58768 29572 59 -1 +Po 0 56398 20279 56799 19878 59 -1 +De 0 0 237 0 400 +Po 0 56398 21571 56398 20279 59 -1 De 0 0 237 0 0 -Po 0 58350 27142 58350 29154 59 -1 +Po 0 55815 22154 56398 21571 59 -1 De 0 0 237 0 0 -Po 0 57989 26781 58350 27142 59 -1 -De 0 0 237 0 0 -Po 0 57134 27763 57134 28626 59 -1 -De 0 0 238 0 800 -Po 0 57134 28626 58484 29976 59 -1 +Po 0 59138 31169 59200 31169 59 -1 De 0 0 238 0 0 -Po 0 57527 27763 57527 28675 59 -1 -De 0 0 239 0 800 -Po 0 57527 28675 58607 29755 59 -1 +Po 0 59493 31426 59615 31426 59 -1 +De 15 0 238 0 400 +Po 0 59441 31374 59493 31426 59 -1 +De 15 0 238 0 0 +Po 3 59441 31374 59441 31374 157 -1 +De 15 1 238 0 0 +Po 0 59405 31374 59441 31374 59 -1 +De 0 0 238 0 0 +Po 0 59200 31169 59405 31374 59 -1 +De 0 0 238 0 0 +Po 0 58447 30720 58447 30723 59 -1 +De 0 0 238 0 0 +Po 0 56012 28283 56012 28285 59 -1 +De 0 0 238 0 0 +Po 0 56012 27763 56012 28283 59 -1 +De 0 0 238 0 800 +Po 0 56012 28285 58314 30587 59 -1 +De 0 0 238 0 0 +Po 0 58447 30720 58314 30587 59 -1 +De 0 0 238 0 0 +Po 0 58893 31169 59138 31169 59 -1 +De 0 0 238 0 0 +Po 0 59138 31169 59126 31169 59 -1 +De 0 0 238 0 0 +Po 0 59126 31169 59122 31169 59 -1 +De 0 0 238 0 0 +Po 0 58447 30723 58893 31169 59 -1 +De 0 0 238 0 0 +Po 0 58134 30577 58134 30579 59 -1 De 0 0 239 0 0 -Po 0 57920 27370 58044 27370 79 -1 -De 0 0 240 0 800 -Po 0 58044 27370 58134 27280 79 -1 -De 0 0 240 0 0 -Po 0 57920 27174 58028 27174 79 -1 -De 0 0 240 0 800 -Po 0 58141 27287 58146 27287 79 -1 -De 15 0 240 0 0 -Po 0 58134 27280 58141 27287 79 -1 -De 15 0 240 0 0 -Po 3 58134 27280 58134 27280 157 -1 -De 15 1 240 0 0 -Po 0 58028 27174 58134 27280 79 -1 -De 0 0 240 0 0 -Po 0 56208 27174 56304 27174 79 -1 -De 0 0 240 0 800 -Po 0 56272 27370 56208 27370 79 -1 -De 0 0 240 0 C00 -Po 0 56386 27256 56272 27370 79 -1 -De 0 0 240 0 400 -Po 3 56386 27256 56386 27256 157 -1 -De 15 1 240 0 0 -Po 0 56304 27174 56386 27256 79 -1 -De 0 0 240 0 0 -Po 0 52136 30245 52134 30245 79 -1 -De 15 0 240 0 800 -Po 3 51976 30087 51976 30087 157 -1 -De 15 1 240 0 0 -Po 0 52134 30245 51976 30087 79 -1 -De 15 0 240 0 0 -Po 0 54498 31820 54493 31820 79 -1 -De 15 0 240 0 800 -Po 3 54299 31626 54299 31626 157 -1 -De 15 1 240 0 0 -Po 0 54493 31820 54299 31626 79 -1 -De 15 0 240 0 0 -Po 0 55679 33788 55680 33788 79 -1 -De 15 0 240 0 800 -Po 0 58827 31426 58827 31417 79 -1 -De 15 0 240 0 800 -Po 3 59016 31346 59016 31346 157 -1 -De 15 1 240 0 0 -Po 0 58827 31417 59016 31346 79 -1 -De 15 0 240 0 0 -Po 0 58434 30639 58434 30637 79 -1 -De 15 0 240 0 800 -Po 0 58543 30528 58547 30524 79 -1 -De 0 0 240 0 0 -Po 3 58543 30528 58543 30528 157 -1 -De 15 1 240 0 0 -Po 0 58434 30637 58543 30528 79 -1 -De 15 0 240 0 0 -Po 0 48416 39693 48416 39180 79 -1 -De 15 0 240 0 800 -Po 0 48421 39165 48421 39173 79 -1 -De 0 0 240 0 0 -Po 3 48421 39165 48421 39165 157 -1 -De 15 1 240 0 0 -Po 0 48421 39175 48421 39165 79 -1 -De 15 0 240 0 0 -Po 0 48416 39180 48421 39175 79 -1 -De 15 0 240 0 0 -Po 0 47570 29400 47570 30025 79 -1 -De 15 0 240 0 800 -Po 3 47570 30025 47570 30025 157 -1 -De 15 1 240 0 0 -Po 0 47674 29921 48572 29921 79 -1 -De 0 0 240 0 400 -Po 0 47570 30025 47674 29921 79 -1 -De 0 0 240 0 0 -Po 0 47311 30284 47311 30531 79 -1 -De 15 0 240 0 0 -Po 0 47570 30025 47311 30284 79 -1 -De 15 0 240 0 0 -Po 0 50041 30413 48736 30413 79 -1 -De 0 0 240 0 800 -Po 0 48572 30249 48572 29921 79 -1 -De 0 0 240 0 400 -Po 0 48736 30413 48572 30249 79 -1 -De 0 0 240 0 0 -Po 0 46358 38366 46358 37957 79 -1 -De 15 0 240 0 0 -Po 0 46268 36693 46643 36693 79 -1 -De 15 0 240 0 400 -Po 0 46031 36930 46268 36693 79 -1 -De 15 0 240 0 0 -Po 0 46031 37630 46031 36930 79 -1 -De 15 0 240 0 0 -Po 0 46358 37957 46031 37630 79 -1 -De 15 0 240 0 0 -Po 0 46643 38228 46489 38228 79 -1 -De 15 0 240 0 800 -Po 0 46461 38740 46643 38740 79 -1 -De 15 0 240 0 400 -Po 0 46358 38637 46461 38740 79 -1 -De 15 0 240 0 0 -Po 0 46358 38359 46358 38366 79 -1 -De 15 0 240 0 0 -Po 0 46358 38366 46358 38637 79 -1 -De 15 0 240 0 0 -Po 0 46489 38228 46358 38359 79 -1 -De 15 0 240 0 0 -Po 0 48416 39693 47197 39693 79 -1 -De 15 0 240 0 800 -Po 0 46643 39139 46643 38740 79 -1 -De 15 0 240 0 400 -Po 0 47197 39693 46643 39139 79 -1 -De 15 0 240 0 0 -Po 0 50995 37460 50580 37460 79 -1 -De 15 0 240 0 800 -Po 0 50100 39266 50100 39079 79 -1 -De 0 0 240 0 400 -Po 0 50232 39398 50100 39266 79 -1 -De 0 0 240 0 0 -Po 0 50570 39398 50232 39398 79 -1 -De 0 0 240 0 0 -Po 0 50874 39094 50570 39398 79 -1 -De 0 0 240 0 0 -Po 0 50874 37607 50874 39094 79 -1 -De 0 0 240 0 0 -Po 0 50736 37469 50874 37607 79 -1 -De 0 0 240 0 0 -Po 0 50579 37469 50736 37469 79 -1 -De 0 0 240 0 0 -Po 0 50575 37465 50579 37469 79 -1 -De 0 0 240 0 0 -Po 3 50575 37465 50575 37465 157 -1 -De 15 1 240 0 0 -Po 0 50580 37460 50575 37465 79 -1 -De 15 0 240 0 0 -Po 0 50995 35925 50571 35925 79 -1 -De 15 0 240 0 800 -Po 0 49838 35373 49838 35166 79 -1 -De 0 0 240 0 400 -Po 0 49926 35461 49838 35373 79 -1 -De 0 0 240 0 0 -Po 0 50107 35461 49926 35461 79 -1 -De 0 0 240 0 0 -Po 0 50559 35913 50107 35461 79 -1 -De 0 0 240 0 0 -Po 3 50559 35913 50559 35913 157 -1 -De 15 1 240 0 0 -Po 0 50571 35925 50559 35913 79 -1 -De 15 0 240 0 0 -Po 0 53345 34358 53504 34358 79 -1 -De 0 0 240 0 800 -Po 0 53680 34182 53711 34182 79 -1 -De 15 0 240 0 400 -Po 0 53512 34350 53680 34182 79 -1 -De 15 0 240 0 0 -Po 3 53512 34350 53512 34350 157 -1 -De 15 1 240 0 0 -Po 0 53504 34358 53512 34350 79 -1 -De 0 0 240 0 0 -Po 0 51624 31200 51624 31053 79 -1 -De 0 0 240 0 800 -Po 0 51358 30177 51358 30009 79 -1 -De 0 0 240 0 400 -Po 0 51772 30591 51358 30177 79 -1 -De 0 0 240 0 0 -Po 0 51772 30905 51772 30591 79 -1 -De 0 0 240 0 0 -Po 0 51624 31053 51772 30905 79 -1 -De 0 0 240 0 0 -Po 0 50107 38701 50107 39072 79 -1 -De 0 0 240 0 800 -Po 0 50107 39072 50100 39079 79 -1 -De 0 0 240 0 400 -Po 0 47593 30531 47311 30531 79 -1 -De 0 0 240 0 800 -Po 0 47290 30552 46643 30552 79 -1 -De 15 0 240 0 400 -Po 0 47311 30531 47290 30552 79 -1 -De 15 0 240 0 0 -Po 3 47311 30531 47311 30531 157 -1 -De 15 1 240 0 0 -Po 0 47617 34154 47617 34646 79 -1 -De 0 0 240 0 C00 -Po 0 47030 35323 47039 35323 79 -1 -De 0 0 240 0 0 -Po 0 47617 34745 47617 34646 79 -1 -De 0 0 240 0 400 -Po 0 47039 35323 47617 34745 79 -1 -De 0 0 240 0 0 -Po 0 47030 35535 47030 35323 79 -1 -De 0 0 240 0 800 -Po 0 47030 35323 47030 35317 79 -1 -De 0 0 240 0 0 -Po 3 46870 35157 46870 35157 157 -1 -De 15 1 240 0 0 -Po 0 46870 35157 46643 35157 79 -1 -De 15 0 240 0 400 -Po 0 47030 35317 46870 35157 79 -1 -De 0 0 240 0 0 -Po 0 50995 32855 50743 32855 79 -1 -De 15 0 240 0 800 -Po 0 50342 33256 49004 33256 79 -1 -De 0 0 240 0 0 -Po 0 50472 33126 50342 33256 79 -1 -De 0 0 240 0 0 -Po 3 50472 33126 50472 33126 157 -1 -De 15 1 240 0 0 -Po 0 50743 32855 50472 33126 79 -1 -De 15 0 240 0 0 -Po 0 53528 31642 53823 31642 79 -1 -De 15 0 240 0 0 -Po 0 53317 31431 53528 31642 79 -1 -De 15 0 240 0 0 -Po 0 53317 31426 53317 31431 79 -1 -De 15 0 240 0 800 -Po 0 53819 31638 53803 31638 79 -1 -De 15 0 240 0 0 -Po 0 53823 31642 53819 31638 79 -1 -De 15 0 240 0 0 -Po 0 52530 34968 52530 34979 79 -1 -De 15 0 240 0 800 -Po 0 52701 35150 52705 35150 79 -1 -De 0 0 240 0 0 -Po 3 52701 35150 52701 35150 157 -1 -De 15 1 240 0 0 -Po 0 52530 34979 52701 35150 79 -1 -De 15 0 240 0 0 -Po 0 52530 33395 52530 33329 79 -1 -De 15 0 240 0 800 -Po 3 52390 33189 52390 33189 157 -1 -De 15 1 240 0 0 -Po 0 52530 33329 52390 33189 79 -1 -De 15 0 240 0 0 -Po 0 52530 31820 52530 31844 79 -1 -De 15 0 240 0 800 -Po 0 52350 32024 52346 32024 79 -1 -De 0 0 240 0 0 -Po 3 52350 32024 52350 32024 157 -1 -De 15 1 240 0 0 -Po 0 52530 31844 52350 32024 79 -1 -De 15 0 240 0 0 -Po 0 47593 24659 47593 24502 79 -1 -De 15 0 240 0 800 -Po 0 47790 24479 47705 24394 79 -1 -De 15 0 240 0 0 -Po 0 47705 24394 47701 24394 79 -1 -De 15 0 240 0 0 -Po 3 47701 24394 47701 24394 157 -1 -De 15 1 240 0 0 -Po 0 47790 24479 47790 24659 79 -1 -De 15 0 240 0 400 -Po 0 47593 24502 47701 24394 79 -1 -De 15 0 240 0 0 -Po 0 47129 23858 47129 23808 79 -1 -De 0 0 240 0 800 -Po 0 47129 23808 47303 23634 79 -1 -De 0 0 240 0 0 -Po 0 46350 24257 46350 24055 79 -1 -De 15 0 240 0 800 -Po 3 46346 24051 46346 24051 157 -1 -De 15 1 240 0 0 -Po 0 46350 24055 46346 24051 79 -1 -De 15 0 240 0 0 -Po 0 47503 23642 47307 23642 79 -1 -De 15 0 240 0 800 -Po 0 47307 23642 47303 23638 79 -1 -De 15 0 240 0 0 -Po 0 47303 23638 47303 23634 79 -1 -De 15 0 240 0 0 -Po 3 47303 23634 47303 23634 157 -1 -De 15 1 240 0 0 -Po 0 47303 23634 47307 23634 79 -1 -De 0 0 240 0 0 -Po 0 47141 23445 47141 23846 79 -1 -De 0 0 240 0 800 -Po 0 47141 23846 47129 23858 79 -1 -De 0 0 240 0 400 -Po 0 60402 30245 60408 30245 79 -1 -De 15 0 240 0 800 -Po 0 60598 30055 60587 30055 79 -1 -De 0 0 240 0 0 -Po 3 60598 30055 60598 30055 157 -1 -De 15 1 240 0 0 -Po 0 60408 30245 60598 30055 79 -1 -De 15 0 240 0 0 -Po 0 57646 31820 57653 31820 79 -1 -De 15 0 240 0 800 -Po 3 57469 31697 57469 31697 157 -1 -De 15 1 240 0 0 -Po 0 57653 31820 57469 31697 79 -1 -De 15 0 240 0 0 -Po 0 56491 30173 56491 30487 79 -1 -De 0 0 240 0 800 -Po 0 56815 30811 56866 30811 79 -1 -De 0 0 240 0 0 -Po 0 56491 30487 56815 30811 79 -1 -De 0 0 240 0 0 -Po 0 56859 30639 56859 30804 79 -1 -De 15 0 240 0 800 -Po 0 56862 30815 56862 30823 79 -1 -De 0 0 240 0 0 -Po 0 56866 30811 56862 30815 79 -1 -De 0 0 240 0 0 -Po 3 56866 30811 56866 30811 157 -1 -De 15 1 240 0 0 -Po 0 56859 30804 56866 30811 79 -1 -De 15 0 240 0 0 -Po 0 60630 26054 60204 26054 79 -1 -De 15 0 240 0 800 -Po 0 60069 25919 59941 25919 79 -1 -De 0 0 240 0 400 -Po 0 60197 26047 60069 25919 79 -1 -De 0 0 240 0 0 -Po 3 60197 26047 60197 26047 157 -1 -De 15 1 240 0 0 -Po 0 60204 26054 60197 26047 79 -1 -De 15 0 240 0 0 -Po 0 59965 24371 59965 24173 79 -1 -De 0 0 240 0 800 -Po 0 59965 24173 59965 24169 79 -1 -De 3 0 240 0 0 -Po 3 59965 24173 59965 24173 157 -1 -De 15 1 240 0 0 -Po 0 52930 26054 53328 26054 79 -1 -De 15 0 240 0 800 -Po 0 53445 25846 53445 25997 79 -1 -De 0 0 240 0 400 -Po 3 53445 25846 53445 25846 157 -1 -De 15 1 240 0 0 -Po 0 53445 25937 53445 25846 79 -1 -De 15 0 240 0 0 -Po 0 53328 26054 53445 25937 79 -1 -De 15 0 240 0 0 -Po 0 53908 30142 53908 30285 79 -1 -De 0 0 240 0 800 -Po 0 53908 30285 53543 30650 79 -1 -De 0 0 240 0 0 -Po 0 55286 30639 55286 30631 79 -1 -De 15 0 240 0 800 -Po 3 55098 30443 55098 30443 157 -1 -De 15 1 240 0 0 -Po 0 55286 30631 55098 30443 79 -1 -De 15 0 240 0 0 -Po 0 53711 30639 53574 30639 79 -1 -De 15 0 240 0 800 -Po 0 53543 30650 53533 30650 79 -1 -De 0 0 240 0 0 -Po 3 53543 30650 53543 30650 157 -1 -De 15 1 240 0 0 -Po 0 53563 30650 53543 30650 39 -1 -De 15 0 240 0 0 -Po 0 53574 30639 53563 30650 39 -1 -De 15 0 240 0 0 -Po 0 48499 27453 48499 27229 79 -1 -De 0 0 240 0 800 -Po 3 48494 27224 48494 27224 157 -1 -De 15 1 240 0 0 -Po 0 48499 27229 48494 27224 79 -1 -De 0 0 240 0 0 -Po 0 47062 26567 46734 26567 79 -1 -De 15 0 240 0 800 -Po 3 46732 26565 46732 26565 157 -1 -De 15 1 240 0 0 -Po 0 46734 26567 46732 26565 79 -1 -De 15 0 240 0 0 -Po 0 47062 25584 46676 25584 79 -1 -De 15 0 240 0 800 -Po 3 46673 25591 46673 25591 157 -1 -De 15 1 240 0 0 -Po 0 46673 25587 46673 25591 79 -1 -De 15 0 240 0 0 -Po 0 46676 25584 46673 25587 79 -1 -De 15 0 240 0 0 -Po 0 50615 18560 50862 18560 79 -1 -De 0 0 240 0 800 -Po 3 50862 18560 50862 18560 157 -1 -De 15 1 240 0 0 -Po 0 50615 18560 50615 18117 79 -1 -De 0 0 240 0 800 -Po 0 50615 18117 50605 18107 79 -1 -De 0 0 240 0 400 -Po 0 49757 27885 49910 27885 79 -1 -De 15 0 240 0 800 -Po 0 49911 27884 49902 27884 79 -1 -De 0 0 240 0 0 -Po 3 49911 27884 49911 27884 157 -1 -De 15 1 240 0 0 -Po 0 49910 27885 49911 27884 79 -1 -De 15 0 240 0 0 -Po 0 48970 27885 48970 28156 79 -1 -De 15 0 240 0 800 -Po 0 48957 28169 48957 28189 79 -1 -De 0 0 240 0 0 -Po 0 48967 28159 48957 28169 79 -1 -De 0 0 240 0 0 -Po 3 48967 28159 48967 28159 157 -1 -De 15 1 240 0 0 -Po 0 48970 28156 48967 28159 79 -1 -De 15 0 240 0 0 -Po 0 47062 25190 46708 25190 39 -1 -De 15 0 243 0 800 -Po 0 46973 25193 47043 25263 39 -1 -De 0 0 243 0 400 -Po 0 46713 25193 46973 25193 39 -1 -De 0 0 243 0 0 -Po 0 46717 25161 46713 25193 39 -1 -De 0 0 243 0 0 -Po 3 46717 25161 46717 25161 157 -1 +Po 0 59208 31426 59221 31426 59 -1 +De 15 0 239 0 400 +Po 0 59016 31618 59208 31426 59 -1 +De 15 0 239 0 0 +Po 3 59016 31618 59016 31618 157 -1 +De 15 1 239 0 0 +Po 0 59012 31618 59016 31618 59 -1 +De 0 0 239 0 0 +Po 0 58421 31027 59012 31618 59 -1 +De 0 0 239 0 0 +Po 0 58421 30866 58421 31027 59 -1 +De 0 0 239 0 0 +Po 0 58134 30579 58421 30866 59 -1 +De 0 0 239 0 0 +Po 0 58138 30581 58134 30577 59 -1 +De 0 0 239 0 0 +Po 0 58134 30577 57799 30242 59 -1 +De 0 0 239 0 0 +Po 0 55815 27763 55815 28258 59 -1 +De 0 0 239 0 800 +Po 0 55815 28258 57799 30242 59 -1 +De 0 0 239 0 0 +Po 0 57799 30242 57785 30228 59 -1 +De 0 0 239 0 0 +Po 0 57134 27763 57134 28448 59 -1 +De 0 0 242 0 800 +Po 0 59619 30639 59615 30639 59 -1 +De 15 0 242 0 400 +Po 0 59760 30780 59619 30639 59 -1 +De 15 0 242 0 0 +Po 3 59760 30780 59760 30780 157 -1 +De 15 1 242 0 0 +Po 0 59641 30661 59760 30780 59 -1 +De 0 0 242 0 0 +Po 0 59216 30661 59641 30661 59 -1 +De 0 0 242 0 0 +Po 0 58677 30122 59216 30661 59 -1 +De 0 0 242 0 0 +Po 0 58677 29991 58677 30122 59 -1 +De 0 0 242 0 0 +Po 0 57134 28448 58677 29991 59 -1 +De 0 0 242 0 0 +Po 0 57724 27763 57724 28522 59 -1 +De 0 0 243 0 800 +Po 0 60402 30634 60402 30639 59 -1 +De 15 0 243 0 400 +Po 0 60256 30488 60402 30634 59 -1 +De 15 0 243 0 0 +Po 3 60256 30488 60256 30488 157 -1 De 15 1 243 0 0 -Po 0 46709 25191 46717 25161 39 -1 -De 15 0 243 0 0 -Po 0 46708 25190 46709 25191 39 -1 -De 15 0 243 0 0 -Po 0 50207 24130 50207 23890 39 -1 +Po 0 60020 30252 60256 30488 59 -1 +De 0 0 243 0 0 +Po 0 60020 30145 60020 30252 59 -1 +De 0 0 243 0 0 +Po 0 59500 29625 60020 30145 59 -1 +De 0 0 243 0 0 +Po 0 58827 29625 59500 29625 59 -1 +De 0 0 243 0 0 +Po 0 57724 28522 58827 29625 59 -1 +De 0 0 243 0 0 +Po 0 57920 27370 58044 27370 79 -1 De 0 0 244 0 800 -Po 0 50207 23890 51374 22723 39 -1 +Po 0 58044 27370 58134 27280 79 -1 +De 0 0 244 0 0 +Po 0 57920 27174 58028 27174 79 -1 +De 0 0 244 0 800 +Po 0 58141 27287 58146 27287 79 -1 +De 15 0 244 0 0 +Po 0 58134 27280 58141 27287 79 -1 +De 15 0 244 0 0 +Po 3 58134 27280 58134 27280 157 -1 +De 15 1 244 0 0 +Po 0 58028 27174 58134 27280 79 -1 +De 0 0 244 0 0 +Po 0 56208 27174 56304 27174 79 -1 +De 0 0 244 0 800 +Po 0 56272 27370 56208 27370 79 -1 De 0 0 244 0 400 +Po 0 56386 27256 56272 27370 79 -1 +De 0 0 244 0 0 +Po 3 56386 27256 56386 27256 157 -1 +De 15 1 244 0 0 +Po 0 56304 27174 56386 27256 79 -1 +De 0 0 244 0 0 +Po 0 52136 30245 52134 30245 79 -1 +De 15 0 244 0 800 +Po 3 51976 30087 51976 30087 157 -1 +De 15 1 244 0 0 +Po 0 52134 30245 51976 30087 79 -1 +De 15 0 244 0 0 +Po 0 54498 31820 54493 31820 79 -1 +De 15 0 244 0 800 +Po 3 54299 31626 54299 31626 157 -1 +De 15 1 244 0 0 +Po 0 54493 31820 54299 31626 79 -1 +De 15 0 244 0 0 +Po 0 55679 33788 55680 33788 79 -1 +De 15 0 244 0 800 +Po 0 58827 31426 58827 31417 79 -1 +De 15 0 244 0 800 +Po 3 59016 31346 59016 31346 157 -1 +De 15 1 244 0 0 +Po 0 58827 31417 59016 31346 79 -1 +De 15 0 244 0 0 +Po 0 58434 30639 58434 30637 79 -1 +De 15 0 244 0 800 +Po 3 58669 30402 58669 30402 157 -1 +De 15 1 244 0 0 +Po 0 58434 30637 58669 30402 79 -1 +De 15 0 244 0 0 +Po 0 48416 39693 48416 39180 79 -1 +De 15 0 244 0 800 +Po 0 48421 39165 48421 39173 79 -1 +De 0 0 244 0 0 +Po 3 48421 39165 48421 39165 157 -1 +De 15 1 244 0 0 +Po 0 48421 39175 48421 39165 79 -1 +De 15 0 244 0 0 +Po 0 48416 39180 48421 39175 79 -1 +De 15 0 244 0 0 +Po 0 47570 29400 47570 30025 79 -1 +De 15 0 244 0 800 +Po 3 47570 30025 47570 30025 157 -1 +De 15 1 244 0 0 +Po 0 47674 29921 48572 29921 79 -1 +De 0 0 244 0 400 +Po 0 47570 30025 47674 29921 79 -1 +De 0 0 244 0 0 +Po 0 47311 30284 47311 30531 79 -1 +De 15 0 244 0 0 +Po 0 47570 30025 47311 30284 79 -1 +De 15 0 244 0 0 +Po 0 50041 30413 48736 30413 79 -1 +De 0 0 244 0 800 +Po 0 48572 30249 48572 29921 79 -1 +De 0 0 244 0 400 +Po 0 48736 30413 48572 30249 79 -1 +De 0 0 244 0 0 +Po 0 46358 38366 46358 37957 79 -1 +De 15 0 244 0 0 +Po 0 46268 36693 46643 36693 79 -1 +De 15 0 244 0 400 +Po 0 46031 36930 46268 36693 79 -1 +De 15 0 244 0 0 +Po 0 46031 37630 46031 36930 79 -1 +De 15 0 244 0 0 +Po 0 46358 37957 46031 37630 79 -1 +De 15 0 244 0 0 +Po 0 46643 38228 46489 38228 79 -1 +De 15 0 244 0 800 +Po 0 46461 38740 46643 38740 79 -1 +De 15 0 244 0 400 +Po 0 46358 38637 46461 38740 79 -1 +De 15 0 244 0 0 +Po 0 46358 38359 46358 38366 79 -1 +De 15 0 244 0 0 +Po 0 46358 38366 46358 38637 79 -1 +De 15 0 244 0 0 +Po 0 46489 38228 46358 38359 79 -1 +De 15 0 244 0 0 +Po 0 48416 39693 47197 39693 79 -1 +De 15 0 244 0 800 +Po 0 46643 39139 46643 38740 79 -1 +De 15 0 244 0 400 +Po 0 47197 39693 46643 39139 79 -1 +De 15 0 244 0 0 +Po 0 50995 37460 50580 37460 79 -1 +De 15 0 244 0 800 +Po 0 50100 39266 50100 39079 79 -1 +De 0 0 244 0 400 +Po 0 50232 39398 50100 39266 79 -1 +De 0 0 244 0 0 +Po 0 50570 39398 50232 39398 79 -1 +De 0 0 244 0 0 +Po 0 50874 39094 50570 39398 79 -1 +De 0 0 244 0 0 +Po 0 50874 37607 50874 39094 79 -1 +De 0 0 244 0 0 +Po 0 50736 37469 50874 37607 79 -1 +De 0 0 244 0 0 +Po 0 50579 37469 50736 37469 79 -1 +De 0 0 244 0 0 +Po 0 50575 37465 50579 37469 79 -1 +De 0 0 244 0 0 +Po 3 50575 37465 50575 37465 157 -1 +De 15 1 244 0 0 +Po 0 50580 37460 50575 37465 79 -1 +De 15 0 244 0 0 +Po 0 50995 35925 50571 35925 79 -1 +De 15 0 244 0 800 +Po 0 49838 35373 49838 35166 79 -1 +De 0 0 244 0 400 +Po 0 49926 35461 49838 35373 79 -1 +De 0 0 244 0 0 +Po 0 50107 35461 49926 35461 79 -1 +De 0 0 244 0 0 +Po 0 50559 35913 50107 35461 79 -1 +De 0 0 244 0 0 +Po 3 50559 35913 50559 35913 157 -1 +De 15 1 244 0 0 +Po 0 50571 35925 50559 35913 79 -1 +De 15 0 244 0 0 +Po 0 53345 34358 53504 34358 79 -1 +De 0 0 244 0 800 +Po 0 53680 34182 53711 34182 79 -1 +De 15 0 244 0 400 +Po 0 53512 34350 53680 34182 79 -1 +De 15 0 244 0 0 +Po 3 53512 34350 53512 34350 157 -1 +De 15 1 244 0 0 +Po 0 53504 34358 53512 34350 79 -1 +De 0 0 244 0 0 +Po 0 51624 31200 51624 31053 79 -1 +De 0 0 244 0 800 +Po 0 51358 30177 51358 30009 79 -1 +De 0 0 244 0 400 +Po 0 51772 30591 51358 30177 79 -1 +De 0 0 244 0 0 +Po 0 51772 30905 51772 30591 79 -1 +De 0 0 244 0 0 +Po 0 51624 31053 51772 30905 79 -1 +De 0 0 244 0 0 +Po 0 50107 38701 50107 39072 79 -1 +De 0 0 244 0 800 +Po 0 50107 39072 50100 39079 79 -1 +De 0 0 244 0 400 +Po 0 47593 30531 47311 30531 79 -1 +De 0 0 244 0 800 +Po 0 47290 30552 46643 30552 79 -1 +De 15 0 244 0 400 +Po 0 47311 30531 47290 30552 79 -1 +De 15 0 244 0 0 +Po 3 47311 30531 47311 30531 157 -1 +De 15 1 244 0 0 +Po 0 47617 34154 47617 34646 79 -1 +De 0 0 244 0 C00 +Po 0 47030 35323 47039 35323 79 -1 +De 0 0 244 0 0 +Po 0 47617 34745 47617 34646 79 -1 +De 0 0 244 0 400 +Po 0 47039 35323 47617 34745 79 -1 +De 0 0 244 0 0 +Po 0 47030 35535 47030 35323 79 -1 +De 0 0 244 0 800 +Po 0 47030 35323 47030 35317 79 -1 +De 0 0 244 0 0 +Po 3 46870 35157 46870 35157 157 -1 +De 15 1 244 0 0 +Po 0 46870 35157 46643 35157 79 -1 +De 15 0 244 0 400 +Po 0 47030 35317 46870 35157 79 -1 +De 0 0 244 0 0 +Po 0 50995 32855 50743 32855 79 -1 +De 15 0 244 0 800 +Po 0 50342 33256 49004 33256 79 -1 +De 0 0 244 0 0 +Po 0 50472 33126 50342 33256 79 -1 +De 0 0 244 0 0 +Po 3 50472 33126 50472 33126 157 -1 +De 15 1 244 0 0 +Po 0 50743 32855 50472 33126 79 -1 +De 15 0 244 0 0 +Po 0 53528 31642 53823 31642 79 -1 +De 15 0 244 0 0 +Po 0 53317 31431 53528 31642 79 -1 +De 15 0 244 0 0 +Po 0 53317 31426 53317 31431 79 -1 +De 15 0 244 0 800 +Po 0 53819 31638 53803 31638 79 -1 +De 15 0 244 0 0 +Po 0 53823 31642 53819 31638 79 -1 +De 15 0 244 0 0 +Po 0 52530 34968 52530 34979 79 -1 +De 15 0 244 0 800 +Po 0 52701 35150 52705 35150 79 -1 +De 0 0 244 0 0 +Po 3 52701 35150 52701 35150 157 -1 +De 15 1 244 0 0 +Po 0 52530 34979 52701 35150 79 -1 +De 15 0 244 0 0 +Po 0 52530 33395 52530 33329 79 -1 +De 15 0 244 0 800 +Po 3 52390 33189 52390 33189 157 -1 +De 15 1 244 0 0 +Po 0 52530 33329 52390 33189 79 -1 +De 15 0 244 0 0 +Po 0 52530 31820 52530 31844 79 -1 +De 15 0 244 0 800 +Po 0 52350 32024 52346 32024 79 -1 +De 0 0 244 0 0 +Po 3 52350 32024 52350 32024 157 -1 +De 15 1 244 0 0 +Po 0 52530 31844 52350 32024 79 -1 +De 15 0 244 0 0 +Po 0 47593 24659 47593 24502 79 -1 +De 15 0 244 0 800 +Po 0 47790 24479 47705 24394 79 -1 +De 15 0 244 0 0 +Po 0 47705 24394 47701 24394 79 -1 +De 15 0 244 0 0 +Po 3 47701 24394 47701 24394 157 -1 +De 15 1 244 0 0 +Po 0 47790 24479 47790 24659 79 -1 +De 15 0 244 0 400 +Po 0 47593 24502 47701 24394 79 -1 +De 15 0 244 0 0 +Po 0 47129 23858 47129 23808 79 -1 +De 0 0 244 0 800 +Po 0 47129 23808 47303 23634 79 -1 +De 0 0 244 0 0 +Po 0 46350 24257 46350 24055 79 -1 +De 15 0 244 0 800 +Po 3 46346 24051 46346 24051 157 -1 +De 15 1 244 0 0 +Po 0 46350 24055 46346 24051 79 -1 +De 15 0 244 0 0 +Po 0 47503 23642 47307 23642 79 -1 +De 15 0 244 0 800 +Po 0 47307 23642 47303 23638 79 -1 +De 15 0 244 0 0 +Po 0 47303 23638 47303 23634 79 -1 +De 15 0 244 0 0 +Po 3 47303 23634 47303 23634 157 -1 +De 15 1 244 0 0 +Po 0 47303 23634 47307 23634 79 -1 +De 0 0 244 0 0 +Po 0 47141 23445 47141 23846 79 -1 +De 0 0 244 0 800 +Po 0 47141 23846 47129 23858 79 -1 +De 0 0 244 0 400 +Po 0 60402 30245 60408 30245 79 -1 +De 15 0 244 0 800 +Po 0 60598 30055 60587 30055 79 -1 +De 0 0 244 0 0 +Po 3 60598 30055 60598 30055 157 -1 +De 15 1 244 0 0 +Po 0 60408 30245 60598 30055 79 -1 +De 15 0 244 0 0 +Po 0 57646 31820 57653 31820 79 -1 +De 15 0 244 0 800 +Po 3 57469 31697 57469 31697 157 -1 +De 15 1 244 0 0 +Po 0 57653 31820 57469 31697 79 -1 +De 15 0 244 0 0 +Po 0 56491 30173 56491 30487 79 -1 +De 0 0 244 0 800 +Po 0 56815 30811 56866 30811 79 -1 +De 0 0 244 0 0 +Po 0 56491 30487 56815 30811 79 -1 +De 0 0 244 0 0 +Po 0 56859 30639 56859 30804 79 -1 +De 15 0 244 0 800 +Po 0 56862 30815 56862 30823 79 -1 +De 0 0 244 0 0 +Po 0 56866 30811 56862 30815 79 -1 +De 0 0 244 0 0 +Po 3 56866 30811 56866 30811 157 -1 +De 15 1 244 0 0 +Po 0 56859 30804 56866 30811 79 -1 +De 15 0 244 0 0 +Po 0 60630 26054 60204 26054 79 -1 +De 15 0 244 0 800 +Po 0 60069 25919 59941 25919 79 -1 +De 0 0 244 0 400 +Po 0 60197 26047 60069 25919 79 -1 +De 0 0 244 0 0 +Po 3 60197 26047 60197 26047 157 -1 +De 15 1 244 0 0 +Po 0 60204 26054 60197 26047 79 -1 +De 15 0 244 0 0 +Po 0 59965 24371 59965 24173 79 -1 +De 0 0 244 0 800 +Po 0 59965 24173 59965 24169 79 -1 +De 3 0 244 0 0 +Po 3 59965 24173 59965 24173 157 -1 +De 15 1 244 0 0 +Po 0 52930 26054 53328 26054 79 -1 +De 15 0 244 0 800 +Po 0 53445 25846 53445 25997 79 -1 +De 0 0 244 0 400 +Po 3 53445 25846 53445 25846 157 -1 +De 15 1 244 0 0 +Po 0 53445 25937 53445 25846 79 -1 +De 15 0 244 0 0 +Po 0 53328 26054 53445 25937 79 -1 +De 15 0 244 0 0 +Po 0 53908 30142 53908 30285 79 -1 +De 0 0 244 0 800 +Po 0 53908 30285 53543 30650 79 -1 +De 0 0 244 0 0 +Po 0 55286 30639 55286 30631 79 -1 +De 15 0 244 0 800 +Po 3 55098 30443 55098 30443 157 -1 +De 15 1 244 0 0 +Po 0 55286 30631 55098 30443 79 -1 +De 15 0 244 0 0 +Po 0 53711 30639 53574 30639 79 -1 +De 15 0 244 0 800 +Po 0 53543 30650 53533 30650 79 -1 +De 0 0 244 0 0 +Po 3 53543 30650 53543 30650 157 -1 +De 15 1 244 0 0 +Po 0 53563 30650 53543 30650 39 -1 +De 15 0 244 0 0 +Po 0 53574 30639 53563 30650 39 -1 +De 15 0 244 0 0 +Po 0 48499 27453 48499 27229 79 -1 +De 0 0 244 0 800 +Po 3 48494 27224 48494 27224 157 -1 +De 15 1 244 0 0 +Po 0 48499 27229 48494 27224 79 -1 +De 0 0 244 0 0 +Po 0 47062 26567 46734 26567 79 -1 +De 15 0 244 0 800 +Po 3 46732 26565 46732 26565 157 -1 +De 15 1 244 0 0 +Po 0 46734 26567 46732 26565 79 -1 +De 15 0 244 0 0 +Po 0 47062 25584 46676 25584 79 -1 +De 15 0 244 0 800 +Po 3 46673 25591 46673 25591 157 -1 +De 15 1 244 0 0 +Po 0 46673 25587 46673 25591 79 -1 +De 15 0 244 0 0 +Po 0 46676 25584 46673 25587 79 -1 +De 15 0 244 0 0 +Po 0 50615 18560 50862 18560 79 -1 +De 0 0 244 0 800 +Po 3 50862 18560 50862 18560 157 -1 +De 15 1 244 0 0 +Po 0 50615 18560 50615 18117 79 -1 +De 0 0 244 0 800 +Po 0 50615 18117 50605 18107 79 -1 +De 0 0 244 0 400 +Po 0 49757 27885 49910 27885 79 -1 +De 15 0 244 0 800 +Po 0 49911 27884 49902 27884 79 -1 +De 0 0 244 0 0 +Po 3 49911 27884 49911 27884 157 -1 +De 15 1 244 0 0 +Po 0 49910 27885 49911 27884 79 -1 +De 15 0 244 0 0 +Po 0 48970 27885 48970 28156 79 -1 +De 15 0 244 0 800 +Po 0 48957 28169 48957 28189 79 -1 +De 0 0 244 0 0 +Po 0 48967 28159 48957 28169 79 -1 +De 0 0 244 0 0 +Po 3 48967 28159 48967 28159 157 -1 +De 15 1 244 0 0 +Po 0 48970 28156 48967 28159 79 -1 +De 15 0 244 0 0 +Po 0 47062 25190 46708 25190 39 -1 +De 15 0 247 0 800 +Po 0 46973 25193 47043 25263 39 -1 +De 0 0 247 0 400 +Po 0 46713 25193 46973 25193 39 -1 +De 0 0 247 0 0 +Po 0 46717 25161 46713 25193 39 -1 +De 0 0 247 0 0 +Po 3 46717 25161 46717 25161 157 -1 +De 15 1 247 0 0 +Po 0 46709 25191 46717 25161 39 -1 +De 15 0 247 0 0 +Po 0 46708 25190 46709 25191 39 -1 +De 15 0 247 0 0 +Po 0 50207 24130 50207 23890 39 -1 +De 0 0 248 0 800 +Po 0 50207 23890 51374 22723 39 -1 +De 0 0 248 0 400 Po 0 48889 24124 48074 23309 39 -1 -De 0 0 245 0 800 +De 0 0 249 0 800 Po 0 48074 23309 48074 22723 39 -1 -De 0 0 245 0 400 +De 0 0 249 0 400 $EndTRACK $ZONE $EndZONE diff --git a/kicad/xue-rnc/xue-rnc.cmp b/kicad/xue-rnc/xue-rnc.cmp index 075f51e..8043dd5 100644 --- a/kicad/xue-rnc/xue-rnc.cmp +++ b/kicad/xue-rnc/xue-rnc.cmp @@ -1,4 +1,4 @@ -Cmp-Mod V01 Created by CvPCB (2010-07-15 BZR 2414)-unstable date = Sun 22 Aug 2010 07:04:19 PM COT +Cmp-Mod V01 Genere par PcbNew le Tue 24 Aug 2010 06:53:45 AM COT BeginCmp TimeStamp = /4C4320F3/4C5D7F9F; @@ -94,15 +94,15 @@ EndCmp BeginCmp TimeStamp = /4C5F1EDC/4C5F2037; Reference = C14; -ValeurCmp = 1uF; -IdModule = 0603; +ValeurCmp = 100nF; +IdModule = 0402; EndCmp BeginCmp TimeStamp = /4C5F1EDC/4C5F2039; Reference = C15; -ValeurCmp = 470nF; -IdModule = 0603; +ValeurCmp = 100nF; +IdModule = 0402; EndCmp BeginCmp @@ -242,20 +242,20 @@ BeginCmp TimeStamp = /4C5F1EDC/4C6552BE; Reference = C35; ValeurCmp = 1uF; -IdModule = 0805; +IdModule = 0603; EndCmp BeginCmp TimeStamp = /4C5F1EDC/4C6552BD; Reference = C36; -ValeurCmp = 1uF; -IdModule = 0805; +ValeurCmp = 100nF; +IdModule = 0402; EndCmp BeginCmp TimeStamp = /4C5F1EDC/4C6552BC; Reference = C37; -ValeurCmp = 470nF; +ValeurCmp = 100nF; IdModule = 0402; EndCmp @@ -595,6 +595,34 @@ ValeurCmp = 100nF; IdModule = 0402; EndCmp +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9C5; +Reference = C86; +ValeurCmp = 1uF; +IdModule = 0603; +EndCmp + +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9C4; +Reference = C87; +ValeurCmp = 100nF; +IdModule = 0402; +EndCmp + +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9C3; +Reference = C88; +ValeurCmp = 100nF; +IdModule = 0402; +EndCmp + +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9BE; +Reference = C89; +ValeurCmp = 4.7nF; +IdModule = 0402; +EndCmp + BeginCmp TimeStamp = /4C5F1EDC/4C5F2B55; Reference = F1; @@ -603,7 +631,7 @@ IdModule = 1210; EndCmp BeginCmp -TimeStamp = /4C5F1EDC/4C6552BA; +TimeStamp = /4C5F1EDC/4C71C9C1; Reference = F2; ValeurCmp = MICROSMD075F; IdModule = 1210; @@ -672,13 +700,6 @@ ValeurCmp = FB; IdModule = 0603; EndCmp -BeginCmp -TimeStamp = /4C5F1EDC/4C6552B0; -Reference = L6; -ValeurCmp = FB; -IdModule = 0603; -EndCmp - BeginCmp TimeStamp = /4C5F1EDC/4C6552B1; Reference = L7; @@ -700,6 +721,20 @@ ValeurCmp = 2.2uH; IdModule = 1210; EndCmp +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9B8; +Reference = L10; +ValeurCmp = FB; +IdModule = 0603; +EndCmp + +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9B9; +Reference = L11; +ValeurCmp = FB; +IdModule = 0603; +EndCmp + BeginCmp TimeStamp = /4C4320F3/4C5D7F39; Reference = R1; @@ -910,6 +945,13 @@ ValeurCmp = 330; IdModule = 0402; EndCmp +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9BD; +Reference = R31; +ValeurCmp = 1M; +IdModule = 0402; +EndCmp + BeginCmp TimeStamp = /4C431A63/4C69C6B2; Reference = RP1; @@ -1120,6 +1162,13 @@ ValeurCmp = A7108; IdModule = SOT23-5; EndCmp +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9B0; +Reference = U13; +ValeurCmp = MIC2550-MLF; +IdModule = MLF16; +EndCmp + BeginCmp TimeStamp = /4C5F1EDC/4C5F2CA7; Reference = V1; @@ -1148,4 +1197,18 @@ ValeurCmp = V0402MHS03; IdModule = 0603; EndCmp +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9BF; +Reference = V5; +ValeurCmp = V0402MHS03; +IdModule = 0603; +EndCmp + +BeginCmp +TimeStamp = /4C5F1EDC/4C71C9C0; +Reference = V6; +ValeurCmp = V0402MHS03; +IdModule = 0603; +EndCmp + EndListe diff --git a/kicad/xue-rnc/xue-rnc.net b/kicad/xue-rnc/xue-rnc.net index 414ca94..7bfc362 100644 --- a/kicad/xue-rnc/xue-rnc.net +++ b/kicad/xue-rnc/xue-rnc.net @@ -1,4 +1,4 @@ -# EESchema Netlist Version 1.1 created Mon 23 Aug 2010 10:34:45 PM COT +# EESchema Netlist Version 1.1 created Tue 24 Aug 2010 06:53:18 AM COT ( ( /4C716A4D/4C716CAB $noname J6 CONN_8X2 {Lib=CONN_8X2} ( 1 /DBG_PRG/FPGA_TCK ) @@ -156,12 +156,12 @@ ( CD ? ) ( 1 /FPGA_Spartan6/SD_DAT2 ) ( 2 /FPGA_Spartan6/SD_DAT3 ) - ( 3 /Non_volatile_memories/SD_CMD ) + ( 3 /FPGA_Spartan6/SD_CMD ) ( 4 +3.3V ) ( 5 /FPGA_Spartan6/SD_CLK ) ( 6 GND ) - ( 7 /FPGA_Spartan6/SD_DAT0 ) - ( 8 /FPGA_Spartan6/SD_DAT1 ) + ( 7 /Non_volatile_memories/SD_DAT0 ) + ( 8 /Non_volatile_memories/SD_DAT1 ) ) ( /4C4227FE/4B76F108 $noname U5 NAND {Lib=HY27UG088G5M} ( 1 ? ) @@ -171,7 +171,7 @@ ( 5 ? ) ( 6 /Non_volatile_memories/NF_RNB ) ( 7 /Non_volatile_memories/NF_RNB ) - ( 8 /FPGA_Spartan6/NF_RE_N ) + ( 8 /Non_volatile_memories/NF_RE_N ) ( 9 /Non_volatile_memories/NF_CS1_N ) ( 10 ? ) ( 11 ? ) @@ -195,7 +195,7 @@ ( 29 /FPGA_Spartan6/NF_D0 ) ( 30 /FPGA_Spartan6/NF_D1 ) ( 31 /Non_volatile_memories/NF_D2 ) - ( 32 /Non_volatile_memories/NF_D3 ) + ( 32 /FPGA_Spartan6/NF_D3 ) ( 33 ? ) ( 34 ? ) ( 35 ? ) @@ -205,7 +205,7 @@ ( 39 ? ) ( 40 ? ) ( 41 /FPGA_Spartan6/NF_D4 ) - ( 42 /FPGA_Spartan6/NF_D5 ) + ( 42 /Non_volatile_memories/NF_D5 ) ( 43 /FPGA_Spartan6/NF_D6 ) ( 44 /FPGA_Spartan6/NF_D7 ) ( 45 ? ) @@ -217,16 +217,16 @@ ( 1 +3.3V ) ( 2 GND ) ) - ( /4C5F1EDC/4C71C9C4 0603 C87 1uF {Lib=C} + ( /4C5F1EDC/4C71C9C4 0402 C87 100nF {Lib=C} ( 1 +3.3V ) ( 2 GND ) ) - ( /4C5F1EDC/4C71C9C3 0402 C88 470nF {Lib=C} + ( /4C5F1EDC/4C71C9C3 0402 C88 100nF {Lib=C} ( 1 +2.5V ) ( 2 GND ) ) ( /4C5F1EDC/4C71C9C1 1210 F2 MICROSMD075F {Lib=MICROSMD075F} - ( 1 N-000415 ) + ( 1 N-000418 ) ( 2 +5V ) ) ( /4C5F1EDC/4C71C9C0 0603 V6 V0402MHS03 {Lib=V0402MHS03} @@ -234,7 +234,7 @@ ( 2 GND ) ) ( /4C5F1EDC/4C71C9BF 0603 V5 V0402MHS03 {Lib=V0402MHS03} - ( 1 N-000417 ) + ( 1 N-000420 ) ( 2 GND ) ) ( /4C5F1EDC/4C71C9BE 0402 C89 4.7nF {Lib=C} @@ -250,7 +250,7 @@ ( 2 GND ) ) ( /4C5F1EDC/4C71C9B8 0603 L10 FB {Lib=INDUCTOR} - ( 1 N-000415 ) + ( 1 N-000418 ) ( 2 ? ) ) ( /4C5F1EDC/4C71C9B0 MLF16 U13 MIC2550-MLF {Lib=MIC2550-MLF} @@ -262,7 +262,7 @@ ( 7 GND ) ( 9 ? ) ( 10 N-000435 ) - ( 11 N-000417 ) + ( 11 N-000420 ) ( 12 +3.3V ) ( 14 +3.3V ) ( 15 +2.5V ) @@ -270,13 +270,13 @@ ( /4C5F1EDC/4C71BA25 MLF16 U7 MIC2550-MLF {Lib=MIC2550-MLF} ( 1 /USB/USBD_SPD ) ( 2 /FPGA_Spartan6/USBD_RCV ) - ( 3 /USB/USBD_VP ) - ( 4 /FPGA_Spartan6/USBD_VM ) + ( 3 /FPGA_Spartan6/USBD_VP ) + ( 4 /USB/USBD_VM ) ( 6 GND ) ( 7 GND ) - ( 9 /USB/USBD_OE_N ) - ( 10 N-000421 ) - ( 11 N-000422 ) + ( 9 /FPGA_Spartan6/USBD_OE_N ) + ( 10 /USB/USBD_D- ) + ( 11 /USB/USBD_D+ ) ( 12 +3.3V ) ( 14 +3.3V ) ( 15 +2.5V ) @@ -289,8 +289,8 @@ ( 6 GND ) ( 7 GND ) ( 9 /FPGA_Spartan6/USBA_OE_N ) - ( 10 N-000423 ) - ( 11 N-000424 ) + ( 10 /USB/USBA_D- ) + ( 11 /USB/USBA_D+ ) ( 12 +3.3V ) ( 14 +3.3V ) ( 15 +2.5V ) @@ -299,28 +299,28 @@ ( 1 +3.3V ) ( 2 GND ) ) - ( /4C5F1EDC/4C6552BD $noname C36 1uF {Lib=C} + ( /4C5F1EDC/4C6552BD 0402 C36 100nF {Lib=C} ( 1 +3.3V ) ( 2 GND ) ) - ( /4C5F1EDC/4C6552BC $noname C37 470nF {Lib=C} + ( /4C5F1EDC/4C6552BC 0402 C37 100nF {Lib=C} ( 1 +2.5V ) ( 2 GND ) ) ( /4C5F1EDC/4C6552B9 $noname V4 V0402MHS03 {Lib=V0402MHS03} - ( 1 N-000421 ) + ( 1 /USB/USBD_D- ) ( 2 GND ) ) ( /4C5F1EDC/4C6552B8 $noname V3 V0402MHS03 {Lib=V0402MHS03} - ( 1 N-000422 ) + ( 1 /USB/USBD_D+ ) ( 2 GND ) ) ( /4C5F1EDC/4C6552B7 $noname C38 4.7nF {Lib=C} - ( 1 N-000430 ) + ( 1 N-000429 ) ( 2 GND ) ) ( /4C5F1EDC/4C6552B6 $noname R15 1M {Lib=R} - ( 1 N-000430 ) + ( 1 N-000429 ) ( 2 GND ) ) ( /4C5F1EDC/4C6552B1 0603 L7 FB {Lib=INDUCTOR} @@ -329,26 +329,26 @@ ) ( /4C5F1EDC/4C63F252 0603 L4 FB {Lib=INDUCTOR} ( 1 N-000427 ) - ( 2 N-000425 ) + ( 2 N-000426 ) ) ( /4C5F1EDC/4C63F248 0603 L5 FB {Lib=INDUCTOR} - ( 1 N-000426 ) + ( 1 N-000432 ) ( 2 GND ) ) ( /4C5F1EDC/4C5F2D27 $noname R10 1M {Lib=R} - ( 1 N-000428 ) + ( 1 N-000431 ) ( 2 GND ) ) ( /4C5F1EDC/4C5F2D1E $noname C16 4.7nF {Lib=C} - ( 1 N-000428 ) + ( 1 N-000431 ) ( 2 GND ) ) ( /4C5F1EDC/4C5F2CA7 0603 V1 V0402MHS03 {Lib=V0402MHS03} - ( 1 N-000424 ) + ( 1 /USB/USBA_D+ ) ( 2 GND ) ) ( /4C5F1EDC/4C5F2CA3 0603 V2 V0402MHS03 {Lib=V0402MHS03} - ( 1 N-000423 ) + ( 1 /USB/USBA_D- ) ( 2 GND ) ) ( /4C5F1EDC/4C5F2B55 $noname F1 MICROSMD075F {Lib=MICROSMD075F} @@ -356,20 +356,20 @@ ( 2 +5V ) ) ( /4C5F1EDC/4C5F23DD $noname J5 USB-48204-0001 {Lib=USB-48204-0001} - ( S1 N-000428 ) - ( S2 N-000428 ) - ( S3 N-000428 ) - ( S4 N-000428 ) - ( 1 N-000425 ) - ( 2 N-000423 ) - ( 3 N-000424 ) - ( 4 N-000426 ) + ( S1 N-000431 ) + ( S2 N-000431 ) + ( S3 N-000431 ) + ( S4 N-000431 ) + ( 1 N-000426 ) + ( 2 /USB/USBA_D- ) + ( 3 /USB/USBA_D+ ) + ( 4 N-000432 ) ) - ( /4C5F1EDC/4C5F2039 $noname C15 470nF {Lib=C} + ( /4C5F1EDC/4C5F2039 $noname C15 100nF {Lib=C} ( 1 +2.5V ) ( 2 GND ) ) - ( /4C5F1EDC/4C5F2037 $noname C14 1uF {Lib=C} + ( /4C5F1EDC/4C5F2037 0402 C14 100nF {Lib=C} ( 1 +3.3V ) ( 2 GND ) ) @@ -395,18 +395,18 @@ ) ( /4C431A63/4C6B216E 0402 R23 33 {Lib=R} ( 1 /FPGA_Spartan6/R_M0_UDM ) - ( 2 /FPGA_Spartan6/M0_UDM ) + ( 2 /DDR_Banks/M0_UDM ) ) ( /4C431A63/4C6B216D 0402 R22 33 {Lib=R} ( 1 /FPGA_Spartan6/R_M0_UDQS ) - ( 2 /FPGA_Spartan6/M0_UDQS ) + ( 2 /DDR_Banks/M0_UDQS ) ) ( /4C431A63/4C6B216B 0402 R24 33 {Lib=R} ( 1 /FPGA_Spartan6/R_M0_CKE ) ( 2 /DDR_Banks/M0_CKE ) ) ( /4C431A63/4C6B1B90 0402 R21 120 {Lib=R} - ( 1 /FPGA_Spartan6/M0_CLK ) + ( 1 /DDR_Banks/M0_CLK ) ( 2 /FPGA_Spartan6/M0_CLK# ) ) ( /4C431A63/4C6A0D58 R_PACK4-0402 RP14 R_PACK4 {Lib=R_PACK4} @@ -414,10 +414,10 @@ ( 2 /FPGA_Spartan6/R_M0_A1 ) ( 3 /FPGA_Spartan6/R_M0_A2 ) ( 4 /FPGA_Spartan6/R_M0_A3 ) - ( 5 /DDR_Banks/M0_A3 ) + ( 5 /FPGA_Spartan6/M0_A3 ) ( 6 /FPGA_Spartan6/M0_A2 ) ( 7 /FPGA_Spartan6/M0_A1 ) - ( 8 /DDR_Banks/M0_A0 ) + ( 8 /FPGA_Spartan6/M0_A0 ) ) ( /4C431A63/4C6A0D57 R_PACK4-0402 RP15 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M0_RAS# ) @@ -426,8 +426,8 @@ ( 4 /FPGA_Spartan6/R_M0_A10 ) ( 5 /FPGA_Spartan6/M0_A10 ) ( 6 /FPGA_Spartan6/M0_BA1 ) - ( 7 /FPGA_Spartan6/M0_BA0 ) - ( 8 /FPGA_Spartan6/M0_RAS# ) + ( 7 /DDR_Banks/M0_BA0 ) + ( 8 /DDR_Banks/M0_RAS# ) ) ( /4C431A63/4C6A0D56 R_PACK4-0402 RP16 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M0_LDQS ) @@ -435,18 +435,18 @@ ( 3 /FPGA_Spartan6/R_M0_WE# ) ( 4 /FPGA_Spartan6/R_M0_CAS# ) ( 5 /FPGA_Spartan6/M0_CAS# ) - ( 6 /FPGA_Spartan6/M0_WE# ) - ( 7 /DDR_Banks/M0_LDM ) - ( 8 /DDR_Banks/M0_LDQS ) + ( 6 /DDR_Banks/M0_WE# ) + ( 7 /FPGA_Spartan6/M0_LDM ) + ( 8 /FPGA_Spartan6/M0_LDQS ) ) ( /4C431A63/4C6A0D55 R_PACK4-0402 RP17 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M0_A7 ) ( 2 /FPGA_Spartan6/R_M0_A6 ) ( 3 /FPGA_Spartan6/R_M0_A5 ) ( 4 /FPGA_Spartan6/R_M0_A4 ) - ( 5 /DDR_Banks/M0_A4 ) - ( 6 /FPGA_Spartan6/M0_A5 ) - ( 7 /FPGA_Spartan6/M0_A6 ) + ( 5 /FPGA_Spartan6/M0_A4 ) + ( 6 /DDR_Banks/M0_A5 ) + ( 7 /DDR_Banks/M0_A6 ) ( 8 /FPGA_Spartan6/M0_A7 ) ) ( /4C431A63/4C6A0D54 R_PACK4-0402 RP18 R_PACK4 {Lib=R_PACK4} @@ -454,20 +454,20 @@ ( 2 /FPGA_Spartan6/R_M0_A11 ) ( 3 /FPGA_Spartan6/R_M0_A9 ) ( 4 /FPGA_Spartan6/R_M0_A8 ) - ( 5 /FPGA_Spartan6/M0_A8 ) - ( 6 /DDR_Banks/M0_A9 ) - ( 7 /DDR_Banks/M0_A11 ) - ( 8 /FPGA_Spartan6/M0_A12 ) + ( 5 /DDR_Banks/M0_A8 ) + ( 6 /FPGA_Spartan6/M0_A9 ) + ( 7 /FPGA_Spartan6/M0_A11 ) + ( 8 /DDR_Banks/M0_A12 ) ) ( /4C431A63/4C69FCE8 R_PACK4-0402 RP12 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M0_DQ4 ) ( 2 /FPGA_Spartan6/R_M0_DQ5 ) ( 3 /FPGA_Spartan6/R_M0_DQ6 ) ( 4 /FPGA_Spartan6/R_M0_DQ7 ) - ( 5 /DDR_Banks/M0_DQ7 ) + ( 5 /FPGA_Spartan6/M0_DQ7 ) ( 6 /FPGA_Spartan6/M0_DQ6 ) ( 7 /DDR_Banks/M0_DQ5 ) - ( 8 /DDR_Banks/M0_DQ4 ) + ( 8 /FPGA_Spartan6/M0_DQ4 ) ) ( /4C431A63/4C69FCE7 R_PACK4-0402 RP13 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M0_DQ0 ) @@ -475,9 +475,9 @@ ( 3 /FPGA_Spartan6/R_M0_DQ2 ) ( 4 /FPGA_Spartan6/R_M0_DQ3 ) ( 5 /FPGA_Spartan6/M0_DQ3 ) - ( 6 /FPGA_Spartan6/M0_DQ2 ) - ( 7 /FPGA_Spartan6/M0_DQ1 ) - ( 8 /FPGA_Spartan6/M0_DQ0 ) + ( 6 /DDR_Banks/M0_DQ2 ) + ( 7 /DDR_Banks/M0_DQ1 ) + ( 8 /DDR_Banks/M0_DQ0 ) ) ( /4C431A63/4C69FCE6 R_PACK4-0402 RP11 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M0_DQ8 ) @@ -485,31 +485,31 @@ ( 3 /FPGA_Spartan6/R_M0_DQ10 ) ( 4 /FPGA_Spartan6/R_M0_DQ11 ) ( 5 /FPGA_Spartan6/M0_DQ11 ) - ( 6 /DDR_Banks/M0_DQ10 ) + ( 6 /FPGA_Spartan6/M0_DQ10 ) ( 7 /FPGA_Spartan6/M0_DQ9 ) - ( 8 /FPGA_Spartan6/M0_DQ8 ) + ( 8 /DDR_Banks/M0_DQ8 ) ) ( /4C431A63/4C69FC19 R_PACK4-0402 RP10 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M0_DQ12 ) ( 2 /FPGA_Spartan6/R_M0_DQ13 ) ( 3 /FPGA_Spartan6/R_M0_DQ14 ) ( 4 /FPGA_Spartan6/R_M0_DQ15 ) - ( 5 /FPGA_Spartan6/M0_DQ15 ) - ( 6 /DDR_Banks/M0_DQ14 ) + ( 5 /DDR_Banks/M0_DQ15 ) + ( 6 /FPGA_Spartan6/M0_DQ14 ) ( 7 /FPGA_Spartan6/M0_DQ13 ) ( 8 /FPGA_Spartan6/M0_DQ12 ) ) ( /4C431A63/4C69E7DD 0402 R19 33 {Lib=R} ( 1 /FPGA_Spartan6/R_M1_UDQS ) - ( 2 /FPGA_Spartan6/M1_UDQS ) + ( 2 /DDR_Banks/M1_UDQS ) ) ( /4C431A63/4C69E92D 0402 R20 33 {Lib=R} ( 1 /FPGA_Spartan6/R_M1_CS# ) - ( 2 /DDR_Banks/M1_CS# ) + ( 2 /FPGA_Spartan6/M1_CS# ) ) ( /4C431A63/4C69E7F8 0402 R17 33 {Lib=R} ( 1 /FPGA_Spartan6/R_M1_CKE ) - ( 2 /FPGA_Spartan6/M1_CKE ) + ( 2 /DDR_Banks/M1_CKE ) ) ( /4C431A63/4C69E7C2 0402 R18 33 {Lib=R} ( 1 /FPGA_Spartan6/R_M1_UDM ) @@ -517,8 +517,8 @@ ) ( /4C431A63/4C69E3A6 $noname RP9 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/M1_DQ11 ) - ( 2 /FPGA_Spartan6/M1_DQ10 ) - ( 3 /DDR_Banks/M1_DQ9 ) + ( 2 /DDR_Banks/M1_DQ10 ) + ( 3 /FPGA_Spartan6/M1_DQ9 ) ( 4 /FPGA_Spartan6/M1_DQ8 ) ( 5 /FPGA_Spartan6/R_M1_DQ8 ) ( 6 /FPGA_Spartan6/R_M1_DQ9 ) @@ -529,21 +529,21 @@ ( 1 /FPGA_Spartan6/M1_DQ15 ) ( 2 /FPGA_Spartan6/M1_DQ14 ) ( 3 /FPGA_Spartan6/M1_DQ13 ) - ( 4 /FPGA_Spartan6/M1_DQ12 ) + ( 4 /DDR_Banks/M1_DQ12 ) ( 5 /FPGA_Spartan6/R_M1_DQ12 ) ( 6 /FPGA_Spartan6/R_M1_DQ13 ) ( 7 /FPGA_Spartan6/R_M1_DQ14 ) ( 8 /FPGA_Spartan6/R_M1_DQ15 ) ) ( /4C431A63/4C69DF7A 0402 R16 120 {Lib=R} - ( 1 /DDR_Banks/M1_CLK# ) - ( 2 /FPGA_Spartan6/M1_CLK ) + ( 1 /FPGA_Spartan6/M1_CLK# ) + ( 2 /DDR_Banks/M1_CLK ) ) ( /4C431A63/4C69DC05 $noname RP7 R_PACK4 {Lib=R_PACK4} - ( 1 /FPGA_Spartan6/M1_A12 ) - ( 2 /DDR_Banks/M1_A11 ) - ( 3 /FPGA_Spartan6/M1_A9 ) - ( 4 /FPGA_Spartan6/M1_A8 ) + ( 1 /DDR_Banks/M1_A12 ) + ( 2 /FPGA_Spartan6/M1_A11 ) + ( 3 /DDR_Banks/M1_A9 ) + ( 4 /DDR_Banks/M1_A8 ) ( 5 /FPGA_Spartan6/R_M1_A8 ) ( 6 /FPGA_Spartan6/R_M1_A9 ) ( 7 /FPGA_Spartan6/R_M1_A11 ) @@ -551,8 +551,8 @@ ) ( /4C431A63/4C69DA8A $noname RP6 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/M1_A7 ) - ( 2 /DDR_Banks/M1_A6 ) - ( 3 /FPGA_Spartan6/M1_A5 ) + ( 2 /FPGA_Spartan6/M1_A6 ) + ( 3 /DDR_Banks/M1_A5 ) ( 4 /FPGA_Spartan6/M1_A4 ) ( 5 ? ) ( 6 /FPGA_Spartan6/R_M1_A5 ) @@ -564,10 +564,10 @@ ( 2 /FPGA_Spartan6/R_M1_DQ1 ) ( 3 /FPGA_Spartan6/R_M1_DQ2 ) ( 4 /FPGA_Spartan6/R_M1_DQ3 ) - ( 5 /FPGA_Spartan6/M1_DQ3 ) + ( 5 /DDR_Banks/M1_DQ3 ) ( 6 /FPGA_Spartan6/M1_DQ2 ) ( 7 /FPGA_Spartan6/M1_DQ1 ) - ( 8 /DDR_Banks/M1_DQ0 ) + ( 8 /FPGA_Spartan6/M1_DQ0 ) ) ( /4C431A63/4C69D3A4 $noname RP3 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M1_LDQS ) @@ -575,8 +575,8 @@ ( 3 /FPGA_Spartan6/R_M1_WE# ) ( 4 /FPGA_Spartan6/R_M1_CAS# ) ( 5 /FPGA_Spartan6/M1_CAS# ) - ( 6 /DDR_Banks/M1_WE# ) - ( 7 /FPGA_Spartan6/M1_LDM ) + ( 6 /FPGA_Spartan6/M1_WE# ) + ( 7 /DDR_Banks/M1_LDM ) ( 8 /FPGA_Spartan6/M1_LDQS ) ) ( /4C431A63/4C69D3A3 $noname RP4 R_PACK4 {Lib=R_PACK4} @@ -585,9 +585,9 @@ ( 3 /FPGA_Spartan6/R_M1_DQ6 ) ( 4 /FPGA_Spartan6/R_M1_DQ7 ) ( 5 /FPGA_Spartan6/M1_DQ7 ) - ( 6 /FPGA_Spartan6/M1_DQ6 ) - ( 7 /FPGA_Spartan6/M1_DQ5 ) - ( 8 /DDR_Banks/M1_DQ4 ) + ( 6 /DDR_Banks/M1_DQ6 ) + ( 7 /DDR_Banks/M1_DQ5 ) + ( 8 /FPGA_Spartan6/M1_DQ4 ) ) ( /4C431A63/4C69CEE8 $noname RP2 R_PACK4 {Lib=R_PACK4} ( 1 /FPGA_Spartan6/R_M1_RAS# ) @@ -595,7 +595,7 @@ ( 3 /FPGA_Spartan6/R_M1_BA1 ) ( 4 /FPGA_Spartan6/R_M1_A10 ) ( 5 /FPGA_Spartan6/M1_A10 ) - ( 6 /DDR_Banks/M1_BA1 ) + ( 6 /FPGA_Spartan6/M1_BA1 ) ( 7 /DDR_Banks/M1_BA0 ) ( 8 /FPGA_Spartan6/M1_RAS# ) ) @@ -606,8 +606,8 @@ ( 4 /FPGA_Spartan6/R_M1_A3 ) ( 5 /FPGA_Spartan6/M1_A3 ) ( 6 /FPGA_Spartan6/M1_A2 ) - ( 7 /DDR_Banks/M1_A1 ) - ( 8 /FPGA_Spartan6/M1_A0 ) + ( 7 /FPGA_Spartan6/M1_A1 ) + ( 8 /DDR_Banks/M1_A0 ) ) ( /4C431A63/4C656D9D $noname C66 470nF {Lib=C} ( 1 +2.5V ) @@ -770,8 +770,8 @@ ( F21 /FPGA_Spartan6/R_M1_A0 ) ( D21 /FPGA_Spartan6/R_M1_CKE ) ( C21 +2.5V ) - ( B21 ? ) - ( A21 ? ) + ( B21 /FPGA_Spartan6/USBD_VP ) + ( A21 /FPGA_Spartan6/USBD_OE_N ) ( W20 ? ) ( V20 ? ) ( U20 /FPGA_Spartan6/R_M1_DQ12 ) @@ -783,14 +783,14 @@ ( L20 /FPGA_Spartan6/R_M1_LDQS ) ( K20 /FPGA_Spartan6/R_M1_A5 ) ( J20 /FPGA_Spartan6/R_M1_DQ4 ) - ( H20 /FPGA_Spartan6/M1_CLK ) + ( H20 /DDR_Banks/M1_CLK ) ( G20 /FPGA_Spartan6/R_M1_A3 ) ( F20 ? ) ( E20 /FPGA_Spartan6/R_M1_A7 ) - ( D20 ? ) + ( D20 /USB/USBA_VM ) ( C20 /FPGA_Spartan6/R_M1_A8 ) - ( B20 /FPGA_Spartan6/USBA_OE_N ) - ( A20 ? ) + ( B20 /USB/USBD_SPD ) + ( A20 /FPGA_Spartan6/USBD_RCV ) ( P8 ? ) ( M8 ? ) ( K8 ? ) @@ -832,7 +832,7 @@ ( L4 /FPGA_Spartan6/R_M0_LDM ) ( K4 /FPGA_Spartan6/R_M0_CAS# ) ( J4 /FPGA_Spartan6/R_M0_A6 ) - ( H4 /FPGA_Spartan6/M0_CLK ) + ( H4 /DDR_Banks/M0_CLK ) ( G4 /FPGA_Spartan6/R_M0_A10 ) ( F4 +2.5V ) ( E4 ? ) @@ -856,48 +856,48 @@ ( C3 ? ) ( B3 ? ) ( G10 +3.3V ) - ( D10 /FPGA_Spartan6/ETH_TXD0 ) + ( D10 /Ethernet_Phy/ETH_TXD0 ) ( C10 /FPGA_Spartan6/ETH_TXD2 ) ( B10 /FPGA_Spartan6/ETH_CRS ) - ( A10 /FPGA_Spartan6/ETH_INT ) + ( A10 /Ethernet_Phy/ETH_INT ) ( E9 +3.3V ) - ( D9 /FPGA_Spartan6/ETH_TXEN ) + ( D9 /Ethernet_Phy/ETH_TXEN ) ( C9 /Ethernet_Phy/ETH_TXD1 ) ( A9 /Ethernet_Phy/ETH_COL ) ( D8 /FPGA_Spartan6/ETH_TXER ) ( C8 /FPGA_Spartan6/ETH_TXC ) - ( B8 /Ethernet_Phy/ETH_RXER ) - ( A8 /Ethernet_Phy/ETH_TXD3 ) + ( B8 /FPGA_Spartan6/ETH_RXER ) + ( A8 /FPGA_Spartan6/ETH_TXD3 ) ( D7 /FPGA_Spartan6/ETH_MDC ) ( C7 /FPGA_Spartan6/ETH_RESET_N ) ( B7 +3.3V ) ( A7 /FPGA_Spartan6/ETH_RXC ) ( D6 /Ethernet_Phy/ETH_MDIO ) - ( C6 /Ethernet_Phy/ETH_RXD2 ) - ( B6 /Ethernet_Phy/ETH_RXD0 ) + ( C6 /FPGA_Spartan6/ETH_RXD2 ) + ( B6 /FPGA_Spartan6/ETH_RXD0 ) ( A6 /FPGA_Spartan6/ETH_RXDV ) - ( C5 /FPGA_Spartan6/ETH_RXD3 ) - ( A5 /FPGA_Spartan6/ETH_RXD1 ) + ( C5 /Ethernet_Phy/ETH_RXD3 ) + ( A5 /Ethernet_Phy/ETH_RXD1 ) ( B4 +3.3V ) - ( A4 /FPGA_Spartan6/ETH_CLK ) + ( A4 /Ethernet_Phy/ETH_CLK ) ( A3 ? ) ( U19 ? ) ( T19 ? ) ( R19 ? ) ( P19 ? ) ( B19 +3.3V ) - ( B18 /FPGA_Spartan6/SD_DAT1 ) - ( A18 /FPGA_Spartan6/SD_DAT0 ) + ( B18 /Non_volatile_memories/SD_DAT1 ) + ( A18 /Non_volatile_memories/SD_DAT0 ) ( E17 +3.3V ) ( D17 ? ) ( C17 ? ) ( A17 /FPGA_Spartan6/SD_CLK ) ( E16 ? ) - ( C16 /Non_volatile_memories/SD_CMD ) + ( C16 /FPGA_Spartan6/SD_CMD ) ( B16 /FPGA_Spartan6/SD_DAT3 ) ( A16 /FPGA_Spartan6/SD_DAT2 ) ( D15 /Non_volatile_memories/NF_CS1_N ) - ( C15 /FPGA_Spartan6/NF_RE_N ) + ( C15 /Non_volatile_memories/NF_RE_N ) ( B15 +3.3V ) ( A15 /Non_volatile_memories/NF_RNB ) ( G14 +3.3V ) @@ -909,10 +909,10 @@ ( C13 ? ) ( A13 /Non_volatile_memories/NF_D2 ) ( C12 /FPGA_Spartan6/NF_D0 ) - ( B12 /Non_volatile_memories/NF_D3 ) + ( B12 /FPGA_Spartan6/NF_D3 ) ( A12 /FPGA_Spartan6/NF_D4 ) ( D11 /FPGA_Spartan6/NF_D7 ) - ( C11 /FPGA_Spartan6/NF_D5 ) + ( C11 /Non_volatile_memories/NF_D5 ) ( B11 +3.3V ) ( A11 /FPGA_Spartan6/NF_D6 ) ( J16 ? ) @@ -937,7 +937,7 @@ ( E22 /FPGA_Spartan6/R_M1_A2 ) ( D22 /FPGA_Spartan6/R_M1_A12 ) ( C22 /FPGA_Spartan6/R_M1_A9 ) - ( B22 ? ) + ( B22 /USB/USBD_VM ) ( W21 +2.5V ) ( V21 /FPGA_Spartan6/R_M1_DQ14 ) ( T21 /FPGA_Spartan6/R_M1_UDQS ) @@ -949,30 +949,30 @@ ( M19 ? ) ( L19 /FPGA_Spartan6/R_M1_LDM ) ( K19 /FPGA_Spartan6/R_M1_A6 ) - ( J19 /DDR_Banks/M1_CLK# ) + ( J19 /FPGA_Spartan6/M1_CLK# ) ( H19 /FPGA_Spartan6/R_M1_WE# ) ( G19 /FPGA_Spartan6/R_M1_A10 ) ( F19 /FPGA_Spartan6/R_M1_A11 ) ( E19 +2.5V ) ( D19 /USB/USBA_VP ) - ( C19 /USB/USBA_VM ) + ( C19 /FPGA_Spartan6/USBA_OE_N ) ( U18 +2.5V ) - ( P18 /FPGA_Spartan6/USBD_VM ) + ( P18 ? ) ( N18 +2.5V ) - ( M18 /USB/USBD_SPD ) + ( M18 ? ) ( K18 ? ) ( J18 +2.5V ) ( H18 ? ) ( F18 ? ) - ( P17 /USB/USBD_VP ) - ( M17 /FPGA_Spartan6/USBD_RCV ) + ( P17 ? ) + ( M17 ? ) ( L17 ? ) ( K17 /FPGA_Spartan6/R_M1_BA1 ) ( J17 /FPGA_Spartan6/R_M1_BA0 ) ( H17 ? ) ( G17 ? ) ( F17 /FPGA_Spartan6/USBA_RCV ) - ( N16 /USB/USBD_OE_N ) + ( N16 ? ) ( M16 ? ) ( L16 +2.5V ) ( K16 ? ) @@ -1272,7 +1272,7 @@ ( 2 +3.3V ) ) ( /4C4320F3/4C5D7ECF $noname R2 6.65K {Lib=R} - ( 1 N-000401 ) + ( 1 N-000400 ) ( 2 GND ) ) ( /4C4320F3/4C5D7E43 $noname C11 100nF {Lib=C} @@ -1294,29 +1294,29 @@ ( /4C4320F3/4C432132 $noname U4 K8001 {Lib=K8001} ( 1 /Ethernet_Phy/ETH_MDIO ) ( 2 /FPGA_Spartan6/ETH_MDC ) - ( 3 /FPGA_Spartan6/ETH_RXD3 ) - ( 4 /Ethernet_Phy/ETH_RXD2 ) - ( 5 /FPGA_Spartan6/ETH_RXD1 ) - ( 6 /Ethernet_Phy/ETH_RXD0 ) + ( 3 /Ethernet_Phy/ETH_RXD3 ) + ( 4 /FPGA_Spartan6/ETH_RXD2 ) + ( 5 /Ethernet_Phy/ETH_RXD1 ) + ( 6 /FPGA_Spartan6/ETH_RXD0 ) ( 7 +3.3V ) ( 8 GND ) ( 9 /FPGA_Spartan6/ETH_RXDV ) ( 10 /FPGA_Spartan6/ETH_RXC ) - ( 11 /Ethernet_Phy/ETH_RXER ) + ( 11 /FPGA_Spartan6/ETH_RXER ) ( 12 GND ) ( 13 +1.8V ) ( 14 /FPGA_Spartan6/ETH_TXER ) ( 15 /FPGA_Spartan6/ETH_TXC ) - ( 16 /FPGA_Spartan6/ETH_TXEN ) - ( 17 /FPGA_Spartan6/ETH_TXD0 ) + ( 16 /Ethernet_Phy/ETH_TXEN ) + ( 17 /Ethernet_Phy/ETH_TXD0 ) ( 18 /Ethernet_Phy/ETH_TXD1 ) ( 19 /FPGA_Spartan6/ETH_TXD2 ) - ( 20 /Ethernet_Phy/ETH_TXD3 ) + ( 20 /FPGA_Spartan6/ETH_TXD3 ) ( 21 /Ethernet_Phy/ETH_COL ) ( 22 /FPGA_Spartan6/ETH_CRS ) ( 23 GND ) ( 24 +3.3V ) - ( 25 /FPGA_Spartan6/ETH_INT ) + ( 25 /Ethernet_Phy/ETH_INT ) ( 26 /Ethernet_Phy/ETH_LED0 ) ( 27 /Ethernet_Phy/ETH_LED1 ) ( 28 ? ) @@ -1328,7 +1328,7 @@ ( 34 ? ) ( 35 GND ) ( 36 GND ) - ( 37 N-000401 ) + ( 37 N-000400 ) ( 38 /Ethernet_Phy/ETH_A3.3V ) ( 39 GND ) ( 40 /Ethernet_Phy/MAG_TX- ) @@ -1337,7 +1337,7 @@ ( 43 ? ) ( 44 GND ) ( 45 ? ) - ( 46 /FPGA_Spartan6/ETH_CLK ) + ( 46 /Ethernet_Phy/ETH_CLK ) ( 47 /Ethernet_Phy/ETH_PLL1.8V ) ( 48 /FPGA_Spartan6/ETH_RESET_N ) ) @@ -1358,11 +1358,11 @@ ( 2 /Ethernet_Phy/MAG_RX+ ) ) ( /4C4320F3/4C5D71DB $noname R8 220 {Lib=R} - ( 1 N-000406 ) + ( 1 N-000405 ) ( 2 /Ethernet_Phy/ETH_LED1 ) ) ( /4C4320F3/4C5D719D $noname R7 220 {Lib=R} - ( 1 N-000405 ) + ( 1 N-000404 ) ( 2 /Ethernet_Phy/ETH_LED0 ) ) ( /4C4320F3/4C5D6F5A $noname J4 RJ45-48025 {Lib=RJ45-48025} @@ -1375,24 +1375,24 @@ ( 7 /Ethernet_Phy/MAG_RX+ ) ( 8 /Ethernet_Phy/MAG_RX- ) ( 9 +3.3V ) - ( 10 N-000405 ) + ( 10 N-000404 ) ( 11 +3.3V ) - ( 12 N-000406 ) + ( 12 N-000405 ) ( 13 /Ethernet_Phy/MAG_SHIELD ) ( 14 /Ethernet_Phy/MAG_SHIELD ) ) ( /4C421DD3/4C609C8E $noname U3 MT46V32M16TG {Lib=MT46V32M16TG} ( 1 +2.5V ) - ( 2 /DDR_Banks/M1_DQ0 ) + ( 2 /FPGA_Spartan6/M1_DQ0 ) ( 3 +2.5V ) ( 4 /FPGA_Spartan6/M1_DQ1 ) ( 5 /FPGA_Spartan6/M1_DQ2 ) ( 6 GND ) - ( 7 /FPGA_Spartan6/M1_DQ3 ) - ( 8 /DDR_Banks/M1_DQ4 ) + ( 7 /DDR_Banks/M1_DQ3 ) + ( 8 /FPGA_Spartan6/M1_DQ4 ) ( 9 +2.5V ) - ( 10 /FPGA_Spartan6/M1_DQ5 ) - ( 11 /FPGA_Spartan6/M1_DQ6 ) + ( 10 /DDR_Banks/M1_DQ5 ) + ( 11 /DDR_Banks/M1_DQ6 ) ( 12 GND ) ( 13 /FPGA_Spartan6/M1_DQ7 ) ( 14 ? ) @@ -1401,47 +1401,47 @@ ( 17 ? ) ( 18 +2.5V ) ( 19 ? ) - ( 20 /FPGA_Spartan6/M1_LDM ) - ( 21 /DDR_Banks/M1_WE# ) + ( 20 /DDR_Banks/M1_LDM ) + ( 21 /FPGA_Spartan6/M1_WE# ) ( 22 /FPGA_Spartan6/M1_CAS# ) ( 23 /FPGA_Spartan6/M1_RAS# ) - ( 24 /DDR_Banks/M1_CS# ) + ( 24 /FPGA_Spartan6/M1_CS# ) ( 25 ? ) ( 26 /DDR_Banks/M1_BA0 ) - ( 27 /DDR_Banks/M1_BA1 ) + ( 27 /FPGA_Spartan6/M1_BA1 ) ( 28 /FPGA_Spartan6/M1_A10 ) - ( 29 /FPGA_Spartan6/M1_A0 ) - ( 30 /DDR_Banks/M1_A1 ) + ( 29 /DDR_Banks/M1_A0 ) + ( 30 /FPGA_Spartan6/M1_A1 ) ( 31 /FPGA_Spartan6/M1_A2 ) ( 32 /FPGA_Spartan6/M1_A3 ) ( 33 +2.5V ) ( 34 GND ) ( 35 /FPGA_Spartan6/M1_A4 ) - ( 36 /FPGA_Spartan6/M1_A5 ) - ( 37 /DDR_Banks/M1_A6 ) + ( 36 /DDR_Banks/M1_A5 ) + ( 37 /FPGA_Spartan6/M1_A6 ) ( 38 /FPGA_Spartan6/M1_A7 ) - ( 39 /FPGA_Spartan6/M1_A8 ) - ( 40 /FPGA_Spartan6/M1_A9 ) - ( 41 /DDR_Banks/M1_A11 ) - ( 42 /FPGA_Spartan6/M1_A12 ) + ( 39 /DDR_Banks/M1_A8 ) + ( 40 /DDR_Banks/M1_A9 ) + ( 41 /FPGA_Spartan6/M1_A11 ) + ( 42 /DDR_Banks/M1_A12 ) ( 43 ? ) - ( 44 /DDR_Banks/M1_CLK# ) - ( 45 /FPGA_Spartan6/M1_CKE ) - ( 46 /FPGA_Spartan6/M1_CLK ) + ( 44 /FPGA_Spartan6/M1_CLK# ) + ( 45 /DDR_Banks/M1_CKE ) + ( 46 /DDR_Banks/M1_CLK ) ( 47 /FPGA_Spartan6/M1_UDM ) ( 48 GND ) ( 49 /DDR_Banks/M1_VREF ) ( 50 ? ) - ( 51 /FPGA_Spartan6/M1_UDQS ) + ( 51 /DDR_Banks/M1_UDQS ) ( 52 GND ) ( 53 ? ) ( 54 /FPGA_Spartan6/M1_DQ8 ) ( 55 +2.5V ) - ( 56 /DDR_Banks/M1_DQ9 ) - ( 57 /FPGA_Spartan6/M1_DQ10 ) + ( 56 /FPGA_Spartan6/M1_DQ9 ) + ( 57 /DDR_Banks/M1_DQ10 ) ( 58 GND ) ( 59 /FPGA_Spartan6/M1_DQ11 ) - ( 60 /FPGA_Spartan6/M1_DQ12 ) + ( 60 /DDR_Banks/M1_DQ12 ) ( 61 +2.5V ) ( 62 /FPGA_Spartan6/M1_DQ13 ) ( 63 /FPGA_Spartan6/M1_DQ14 ) @@ -1547,70 +1547,70 @@ ) ( /4C421DD3/4C609B99 $noname U2 MT46V32M16TG {Lib=MT46V32M16TG} ( 1 +2.5V ) - ( 2 /FPGA_Spartan6/M0_DQ0 ) + ( 2 /DDR_Banks/M0_DQ0 ) ( 3 +2.5V ) - ( 4 /FPGA_Spartan6/M0_DQ1 ) - ( 5 /FPGA_Spartan6/M0_DQ2 ) + ( 4 /DDR_Banks/M0_DQ1 ) + ( 5 /DDR_Banks/M0_DQ2 ) ( 6 GND ) ( 7 /FPGA_Spartan6/M0_DQ3 ) - ( 8 /DDR_Banks/M0_DQ4 ) + ( 8 /FPGA_Spartan6/M0_DQ4 ) ( 9 +2.5V ) ( 10 /DDR_Banks/M0_DQ5 ) ( 11 /FPGA_Spartan6/M0_DQ6 ) ( 12 GND ) - ( 13 /DDR_Banks/M0_DQ7 ) + ( 13 /FPGA_Spartan6/M0_DQ7 ) ( 14 ? ) ( 15 +2.5V ) - ( 16 /DDR_Banks/M0_LDQS ) + ( 16 /FPGA_Spartan6/M0_LDQS ) ( 17 ? ) ( 18 +2.5V ) ( 19 ? ) - ( 20 /DDR_Banks/M0_LDM ) - ( 21 /FPGA_Spartan6/M0_WE# ) + ( 20 /FPGA_Spartan6/M0_LDM ) + ( 21 /DDR_Banks/M0_WE# ) ( 22 /FPGA_Spartan6/M0_CAS# ) - ( 23 /FPGA_Spartan6/M0_RAS# ) + ( 23 /DDR_Banks/M0_RAS# ) ( 24 GND ) ( 25 ? ) - ( 26 /FPGA_Spartan6/M0_BA0 ) + ( 26 /DDR_Banks/M0_BA0 ) ( 27 /FPGA_Spartan6/M0_BA1 ) ( 28 /FPGA_Spartan6/M0_A10 ) - ( 29 /DDR_Banks/M0_A0 ) + ( 29 /FPGA_Spartan6/M0_A0 ) ( 30 /FPGA_Spartan6/M0_A1 ) ( 31 /FPGA_Spartan6/M0_A2 ) - ( 32 /DDR_Banks/M0_A3 ) + ( 32 /FPGA_Spartan6/M0_A3 ) ( 33 +2.5V ) ( 34 GND ) - ( 35 /DDR_Banks/M0_A4 ) - ( 36 /FPGA_Spartan6/M0_A5 ) - ( 37 /FPGA_Spartan6/M0_A6 ) + ( 35 /FPGA_Spartan6/M0_A4 ) + ( 36 /DDR_Banks/M0_A5 ) + ( 37 /DDR_Banks/M0_A6 ) ( 38 /FPGA_Spartan6/M0_A7 ) - ( 39 /FPGA_Spartan6/M0_A8 ) - ( 40 /DDR_Banks/M0_A9 ) - ( 41 /DDR_Banks/M0_A11 ) - ( 42 /FPGA_Spartan6/M0_A12 ) + ( 39 /DDR_Banks/M0_A8 ) + ( 40 /FPGA_Spartan6/M0_A9 ) + ( 41 /FPGA_Spartan6/M0_A11 ) + ( 42 /DDR_Banks/M0_A12 ) ( 43 ? ) ( 44 /FPGA_Spartan6/M0_CLK# ) ( 45 /DDR_Banks/M0_CKE ) - ( 46 /FPGA_Spartan6/M0_CLK ) - ( 47 /FPGA_Spartan6/M0_UDM ) + ( 46 /DDR_Banks/M0_CLK ) + ( 47 /DDR_Banks/M0_UDM ) ( 48 GND ) ( 49 /DDR_Banks/M0_VREF ) ( 50 ? ) - ( 51 /FPGA_Spartan6/M0_UDQS ) + ( 51 /DDR_Banks/M0_UDQS ) ( 52 GND ) ( 53 ? ) - ( 54 /FPGA_Spartan6/M0_DQ8 ) + ( 54 /DDR_Banks/M0_DQ8 ) ( 55 +2.5V ) ( 56 /FPGA_Spartan6/M0_DQ9 ) - ( 57 /DDR_Banks/M0_DQ10 ) + ( 57 /FPGA_Spartan6/M0_DQ10 ) ( 58 GND ) ( 59 /FPGA_Spartan6/M0_DQ11 ) ( 60 /FPGA_Spartan6/M0_DQ12 ) ( 61 +2.5V ) ( 62 /FPGA_Spartan6/M0_DQ13 ) - ( 63 /DDR_Banks/M0_DQ14 ) + ( 63 /FPGA_Spartan6/M0_DQ14 ) ( 64 GND ) - ( 65 /FPGA_Spartan6/M0_DQ15 ) + ( 65 /DDR_Banks/M0_DQ15 ) ( 66 GND ) ) ) @@ -2254,42 +2254,42 @@ Net 1 "/FPGA Spartan6/PROG_CSO" "PROG_CSO" U8 1 U1 T5 Net 2 "/FPGA Spartan6/PROG_CCLK" "PROG_CCLK" - U8 6 U1 AA21 + U8 6 Net 3 "/Non volatile memories/NF_RNB" "NF_RNB" U1 A15 - U5 7 U5 6 -Net 4 "/FPGA Spartan6/NF_RE_N" "NF_RE_N" - U5 8 + U5 7 +Net 4 "/Non volatile memories/NF_RE_N" "NF_RE_N" U1 C15 + U5 8 Net 5 "/Non volatile memories/NF_CS1_N" "NF_CS1_N" - U1 D15 U5 9 + U1 D15 Net 6 "/Non volatile memories/NF_CLE" "NF_CLE" - U5 16 U1 B14 + U5 16 Net 7 "/Non volatile memories/NF_WE_N" "NF_WE_N" - U1 C14 U5 18 + U1 C14 Net 8 "/Ethernet Phy/ETH_COL" "ETH_COL" - U1 A9 U4 21 -Net 9 "/FPGA Spartan6/USBD_VM" "USBD_VM" - U1 P18 + U1 A9 +Net 9 "/USB/USBD_VM" "USBD_VM" U7 4 + U1 B22 Net 10 "/FPGA Spartan6/USBD_RCV" "USBD_RCV" U7 2 - U1 M17 -Net 11 "/USB/USBD_OE_N" "USBD_OE_N" - U1 N16 + U1 A20 +Net 11 "/FPGA Spartan6/USBD_OE_N" "USBD_OE_N" + U1 A21 U7 9 Net 12 "/USB/USBD_SPD" "USBD_SPD" U7 1 - U1 M18 -Net 13 "/USB/USBD_VP" "USBD_VP" + U1 B20 +Net 13 "/FPGA Spartan6/USBD_VP" "USBD_VP" + U1 B21 U7 3 - U1 P17 Net 14 "/FPGA Spartan6/ETH_TXC" "ETH_TXC" U4 15 U1 C8 @@ -2300,203 +2300,204 @@ Net 16 "/FPGA Spartan6/USBA_SPD" "USBA_SPD" U1 F16 U6 1 Net 17 "/FPGA Spartan6/USBA_OE_N" "USBA_OE_N" - U1 B20 + U1 C19 U6 9 Net 18 "/FPGA Spartan6/USBA_RCV" "USBA_RCV" - U1 F17 U6 2 + U1 F17 Net 19 "/USB/USBA_VP" "USBA_VP" U1 D19 U6 3 Net 20 "/USB/USBA_VM" "USBA_VM" - U1 C19 U6 4 + U1 D20 Net 21 "/FPGA Spartan6/ETH_CRS" "ETH_CRS" U4 22 U1 B10 -Net 22 "/Non volatile memories/SD_CMD" "SD_CMD" - U1 C16 +Net 22 "/FPGA Spartan6/SD_CMD" "SD_CMD" J1 3 + U1 C16 Net 23 "/FPGA Spartan6/ETH_MDC" "ETH_MDC" U1 D7 U4 2 Net 24 "/Ethernet Phy/ETH_MDIO" "ETH_MDIO" + U1 D6 R1 1 U4 1 - U1 D6 Net 25 "/FPGA Spartan6/ETH_RESET_N" "ETH_RESET_N" - U1 C7 U4 48 + U1 C7 Net 26 "/FPGA Spartan6/ETH_RXDV" "ETH_RXDV" - U1 A6 U4 9 -Net 27 "/Ethernet Phy/ETH_RXER" "ETH_RXER" + U1 A6 +Net 27 "/FPGA Spartan6/ETH_RXER" "ETH_RXER" U4 11 U1 B8 -Net 28 "/DDR Banks/M1_CS#" "M1_CS#" +Net 28 "/FPGA Spartan6/M1_CS#" "M1_CS#" U3 24 R20 2 Net 29 "/FPGA Spartan6/M1_UDM" "M1_UDM" - U3 47 R18 2 + U3 47 Net 30 "/FPGA Spartan6/M1_LDQS" "M1_LDQS" - U3 16 RP3 8 -Net 31 "/FPGA Spartan6/M1_LDM" "M1_LDM" + U3 16 +Net 31 "/DDR Banks/M1_LDM" "M1_LDM" U3 20 RP3 7 -Net 32 "/FPGA Spartan6/M1_UDQS" "M1_UDQS" - R19 2 +Net 32 "/DDR Banks/M1_UDQS" "M1_UDQS" U3 51 -Net 33 "/FPGA Spartan6/M0_UDQS" "M0_UDQS" + R19 2 +Net 33 "/DDR Banks/M0_UDQS" "M0_UDQS" R22 2 U2 51 -Net 34 "/DDR Banks/M0_LDM" "M0_LDM" - U2 20 +Net 34 "/FPGA Spartan6/M0_LDM" "M0_LDM" RP16 7 -Net 35 "/FPGA Spartan6/M1_CKE" "M1_CKE" - R17 2 + U2 20 +Net 35 "/DDR Banks/M1_CKE" "M1_CKE" U3 45 -Net 36 "/FPGA Spartan6/M1_CLK" "M1_CLK" + R17 2 +Net 36 "/DDR Banks/M1_CLK" "M1_CLK" U3 46 - U1 H20 R16 2 -Net 37 "/DDR Banks/M1_CLK#" "M1_CLK#" + U1 H20 +Net 37 "/FPGA Spartan6/M1_CLK#" "M1_CLK#" U3 44 R16 1 U1 J19 Net 38 "GND" "GND" - U1 E7 - C26 2 - C39 2 - C21 2 - C47 2 - U1 R5 - U1 L5 - U1 G5 - U1 B5 - U1 V4 - U1 D4 - C31 2 - C53 2 - C29 2 - C28 2 - C67 2 - C45 2 - C42 2 - C69 2 - C33 2 - U1 J13 - C54 2 - C23 2 - U1 U7 - C25 2 - U1 H7 - C24 2 - U3 58 - C34 2 - U5 36 - U1 A1 + U8 4 + C66 2 + U2 52 + C72 2 + C73 2 + U2 34 + U2 24 C71 2 + C34 2 + U3 58 C70 2 - C64 2 - C50 2 - C27 2 - U1 U2 - U1 N2 - U1 J2 + C39 2 + C48 2 + C45 2 + C69 2 + C54 2 + C33 2 + C23 2 + C25 2 + C24 2 + C26 2 + C21 2 + C42 2 C57 2 + C27 2 C60 2 C63 2 - U1 E2 - U1 N21 - U1 U21 - C48 2 - U1 J21 - U1 P14 - U1 M14 - U5 13 - U1 K14 - U1 N13 - U1 L13 + C40 2 C43 2 - C41 2 C75 1 C52 2 C46 2 - C44 2 C49 2 C32 2 C51 2 C30 2 C74 2 - U1 AB1 - U1 K12 - U1 M12 - U1 P12 - U1 E21 - U1 A22 - U1 B13 - C40 2 - U1 N11 - U1 L11 - U1 J11 - U1 AA5 - U1 N15 - U1 J15 - U1 E15 - U1 V14 - U1 W16 - C65 2 + C31 2 + C53 2 + C29 2 + C41 2 + C28 2 + C67 2 + U1 N17 + C56 2 + U1 D18 + U1 G18 + U1 L18 + U1 R18 + U1 W19 + U1 AA9 + U1 AB22 + U1 AA13 + U1 AA17 + U1 U7 + U1 W7 + U1 B9 + U1 J9 + U1 L9 + U1 N9 + U1 K10 + U1 M10 + U1 P10 + U1 V10 C62 2 C59 2 - U1 R18 - U1 L18 - U1 G18 - U1 D18 - U2 6 - C55 2 - U1 N17 - C68 2 + U1 W16 U1 B17 + C44 2 + U1 B13 + U1 A22 + U1 P12 + U1 M12 + U1 K12 + U1 L13 + U1 N13 + U1 K14 + U1 M14 + U1 P14 + U1 V14 + U1 E15 + U1 J15 + U1 N15 + U1 AA5 + U1 AB1 + U1 J11 + U1 L11 + U1 N11 + U1 U21 + U1 N21 + U1 E21 + U1 J21 + U1 E11 + U5 36 + U1 A1 + U1 E2 + C50 2 + U1 J2 + U1 N2 + U1 U2 + U1 D4 + U1 V4 + U1 B5 + U1 G5 + U1 L5 + U1 R5 + C47 2 + U1 E7 + U1 H7 + U1 J13 + U5 13 + C64 2 + C61 2 + R12 2 + R14 2 + C22 2 + U2 12 + U2 66 + U2 64 + C18 2 + C20 2 J1 CASE J1 CASE J1 CASE J1 COM J1 6 - U1 V10 - U2 52 - C73 2 - U2 12 - U1 P10 - U1 M10 - U1 K10 - U2 66 - U8 4 - C66 2 - C61 2 - U2 34 + U2 6 C58 2 - C56 2 - U2 24 - U1 E11 - U1 W7 - U1 AA17 - U1 AA13 - U1 AB22 - U1 AA9 - R12 2 - R14 2 - U1 W19 - C22 2 - U1 N9 - U2 64 - C18 2 - C72 2 - U1 L9 - U1 J9 - C20 2 - U1 B9 + C55 2 + C68 2 + C65 2 + R15 2 C38 2 V3 2 V4 2 @@ -2504,11 +2505,11 @@ Net 38 "GND" "GND" C36 2 C35 2 L7 2 - R15 2 U3 64 U3 34 U3 52 C9 2 + C6 2 R31 2 C89 2 V5 2 @@ -2534,98 +2535,97 @@ Net 38 "GND" "GND" U10 PAD U13 6 U13 7 - U7 6 C13 2 C14 2 + C15 2 + U7 6 U7 7 U6 6 U6 7 - R10 2 L5 2 - C15 2 V2 2 V1 2 C16 2 - U4 12 + R10 2 U4 23 + U4 12 + C11 2 C10 2 C12 2 R9 2 - U4 8 + C7 2 C5 2 C3 2 C1 2 R2 2 - C11 2 U4 44 U4 35 U4 36 + U4 8 C76 2 - U2 48 - U2 58 C77 2 + U2 58 + U2 48 U3 6 J4 4 + J4 5 + U4 39 U3 12 U3 48 - C6 2 C4 2 C2 2 C8 2 - C7 2 U3 66 - J4 5 - U4 39 Net 39 "/FPGA Spartan6/M0_CLK#" "M0_CLK#" R21 2 - U1 H3 U2 44 -Net 40 "/FPGA Spartan6/M0_CLK" "M0_CLK" - R21 1 + U1 H3 +Net 40 "/DDR Banks/M0_CLK" "M0_CLK" U1 H4 U2 46 + R21 1 Net 41 "/DDR Banks/M0_CKE" "M0_CKE" U2 45 R24 2 Net 42 "/FPGA Spartan6/M0_CAS#" "M0_CAS#" U2 22 RP16 5 -Net 43 "/DDR Banks/M1_WE#" "M1_WE#" +Net 43 "/FPGA Spartan6/M1_WE#" "M1_WE#" U3 21 RP3 6 Net 44 "/FPGA Spartan6/M1_RAS#" "M1_RAS#" - RP2 8 U3 23 -Net 45 "/FPGA Spartan6/M0_RAS#" "M0_RAS#" + RP2 8 +Net 45 "/DDR Banks/M0_RAS#" "M0_RAS#" RP15 8 U2 23 -Net 46 "/FPGA Spartan6/M0_WE#" "M0_WE#" +Net 46 "/DDR Banks/M0_WE#" "M0_WE#" RP16 6 U2 21 -Net 47 "/FPGA Spartan6/ETH_INT" "ETH_INT" +Net 47 "/Ethernet Phy/ETH_INT" "ETH_INT" U4 25 U1 A10 -Net 48 "/FPGA Spartan6/ETH_CLK" "ETH_CLK" +Net 48 "/Ethernet Phy/ETH_CLK" "ETH_CLK" U4 46 U1 A4 Net 49 "/FPGA Spartan6/ETH_TXER" "ETH_TXER" - U1 D8 U4 14 -Net 50 "/FPGA Spartan6/ETH_TXEN" "ETH_TXEN" + U1 D8 +Net 50 "/Ethernet Phy/ETH_TXEN" "ETH_TXEN" U4 16 U1 D9 -Net 51 "/DDR Banks/M0_LDQS" "M0_LDQS" +Net 51 "/FPGA Spartan6/M0_LDQS" "M0_LDQS" RP16 8 U2 16 -Net 52 "/FPGA Spartan6/M0_UDM" "M0_UDM" +Net 52 "/DDR Banks/M0_UDM" "M0_UDM" U2 47 R23 2 Net 53 "/FPGA Spartan6/M1_CAS#" "M1_CAS#" U3 22 RP3 5 Net 54 "/FPGA Spartan6/NF_ALE" "NF_ALE" - U5 17 U1 A14 + U5 17 Net 55 "/FPGA Spartan6/SD_CLK" "SD_CLK" U1 A17 J1 5 @@ -2639,8 +2639,8 @@ Net 58 "/DBG_PRG/FPGA_TDI" "FPGA_TDI" U1 E18 J6 7 Net 59 "/DBG_PRG/FPGA_TDO" "FPGA_TDO" - U1 A19 J6 5 + U1 A19 Net 64 "+2.5V" "+2.5V" R11 1 C19 1 @@ -2649,6 +2649,7 @@ Net 64 "+2.5V" "+2.5V" U2 18 U2 61 U2 33 + C23 1 C22 1 C33 1 C28 1 @@ -2664,7 +2665,6 @@ Net 64 "+2.5V" "+2.5V" C26 1 C24 1 C25 1 - C23 1 U1 N5 U1 J5 U1 C21 @@ -2727,351 +2727,351 @@ Net 64 "+2.5V" "+2.5V" U3 1 C37 1 U13 15 + U7 15 C15 1 U6 15 - U7 15 C88 1 C77 1 - C68 1 - C59 1 - C62 1 - C65 1 C56 1 + C59 1 + C68 1 + C65 1 + C62 1 Net 67 "/DDR Banks/M0_VREF" "M0_VREF" + C17 2 + U2 49 R12 1 R11 2 C18 1 - C17 2 - U2 49 Net 68 "/DDR Banks/M1_VREF" "M1_VREF" U3 49 C20 1 C19 2 - R14 1 R13 2 + R14 1 Net 107 "+3.3V" "+3.3V" - R6 1 - C72 1 - R1 2 - C11 1 - U4 24 - U1 B7 - U1 B4 - J1 4 U1 B19 - U1 E17 - U1 B15 - U1 G14 - R5 1 + C11 1 J4 11 + J4 9 + R5 1 C10 1 - C41 1 - R4 1 - R3 1 - U4 7 - C3 1 - U1 E9 - C1 1 - C73 1 - U5 37 - U5 19 + U1 E17 + J1 4 U5 12 - U1 E13 - U1 Y20 - U1 B11 - U1 G10 + C5 1 + C3 1 + C1 1 + U1 B15 + C72 1 + U1 E9 + U1 B7 + J4 3 + J4 6 + R3 1 + U1 B4 + R4 1 + R6 1 R30 1 R29 1 + U5 19 + U5 37 + U1 Y20 + U1 G14 + R1 2 + U1 E13 + U4 24 + U4 7 + U1 B11 + U1 G10 + C41 1 + U13 14 + U13 12 + U6 14 + U6 12 + U7 14 + U7 12 + C14 1 + C13 1 + C35 1 + C36 1 L8 1 C74 1 - C86 1 - C87 1 - U13 12 - U13 14 - C36 1 - C35 1 - C13 1 - C14 1 - U7 12 - U7 14 - U6 12 - U6 14 - C79 1 - C80 1 - R26 1 - J4 9 - J4 6 - J4 3 - C44 1 C81 1 - C5 1 - L2 1 - C47 1 - C50 1 C75 2 + R26 1 + C80 1 + C79 1 + L2 1 + C50 1 + C86 1 + C47 1 + C87 1 + C44 1 + C73 1 Net 108 "VCCO2" "VCCO2" + U1 AA19 + U1 W5 + U1 AA7 + C67 1 U8 8 U1 V16 + U1 AA3 + U1 AA15 + C69 1 + U1 AA11 + U1 T9 + C64 1 U1 T13 - U1 W5 C55 1 U1 V12 - U1 T9 - U1 AA7 - U1 AA15 - C58 1 - C67 1 - C64 1 - C69 1 - U1 AA3 C61 1 + C58 1 U1 V8 - U1 AA11 - U1 AA19 -Net 110 "/FPGA Spartan6/R_M1_BA1" "R_M1_BA1" - U1 K17 - RP2 3 -Net 111 "/FPGA Spartan6/R_M1_BA0" "R_M1_BA0" +Net 110 "/FPGA Spartan6/R_M1_BA0" "R_M1_BA0" RP2 2 U1 J17 -Net 112 "/FPGA Spartan6/R_M1_RAS#" "R_M1_RAS#" - U1 H21 +Net 111 "/FPGA Spartan6/R_M1_RAS#" "R_M1_RAS#" RP2 1 -Net 113 "/FPGA Spartan6/R_M1_CAS#" "R_M1_CAS#" - RP3 4 + U1 H21 +Net 112 "/FPGA Spartan6/R_M1_CAS#" "R_M1_CAS#" U1 H22 -Net 114 "/FPGA Spartan6/R_M1_WE#" "R_M1_WE#" - U1 H19 + RP3 4 +Net 113 "/FPGA Spartan6/R_M1_WE#" "R_M1_WE#" RP3 3 -Net 115 "/FPGA Spartan6/R_M1_LDM" "R_M1_LDM" - RP3 2 + U1 H19 +Net 114 "/FPGA Spartan6/R_M1_LDM" "R_M1_LDM" U1 L19 -Net 116 "/FPGA Spartan6/R_M1_LDQS" "R_M1_LDQS" - RP3 1 + RP3 2 +Net 115 "/FPGA Spartan6/R_M1_LDQS" "R_M1_LDQS" U1 L20 + RP3 1 +Net 116 "/FPGA Spartan6/R_M1_BA1" "R_M1_BA1" + RP2 3 + U1 K17 Net 125 "/FPGA Spartan6/R_M0_A3" "R_M0_A3" - RP14 4 U1 K6 + RP14 4 Net 127 "/FPGA Spartan6/R_M0_A7" "R_M0_A7" - RP17 1 U1 H6 + RP17 1 Net 132 "/FPGA Spartan6/R_M0_A2" "R_M0_A2" U1 H5 RP14 3 Net 142 "/FPGA Spartan6/R_M1_CKE" "R_M1_CKE" U1 D21 R17 1 -Net 147 "/FPGA Spartan6/R_M1_DQ12" "R_M1_DQ12" - RP8 5 +Net 145 "/FPGA Spartan6/R_M1_DQ12" "R_M1_DQ12" U1 U20 -Net 149 "/FPGA Spartan6/R_M1_DQ10" "R_M1_DQ10" + RP8 5 +Net 147 "/FPGA Spartan6/R_M1_DQ10" "R_M1_DQ10" U1 R20 RP9 7 -Net 151 "/FPGA Spartan6/R_M1_DQ0" "R_M1_DQ0" - U1 N20 +Net 149 "/FPGA Spartan6/R_M1_DQ0" "R_M1_DQ0" RP5 1 -Net 152 "/FPGA Spartan6/R_M1_UDM" "R_M1_UDM" - R18 1 + U1 N20 +Net 150 "/FPGA Spartan6/R_M1_UDM" "R_M1_UDM" U1 M20 -Net 153 "/FPGA Spartan6/R_M1_A5" "R_M1_A5" - RP6 6 + R18 1 +Net 151 "/FPGA Spartan6/R_M1_A5" "R_M1_A5" U1 K20 -Net 154 "/FPGA Spartan6/R_M1_DQ4" "R_M1_DQ4" + RP6 6 +Net 152 "/FPGA Spartan6/R_M1_DQ4" "R_M1_DQ4" RP4 1 U1 J20 -Net 155 "/FPGA Spartan6/R_M1_A3" "R_M1_A3" - U1 G20 +Net 153 "/FPGA Spartan6/R_M1_A3" "R_M1_A3" RP1 4 -Net 157 "/FPGA Spartan6/R_M1_A7" "R_M1_A7" + U1 G20 +Net 155 "/FPGA Spartan6/R_M1_A7" "R_M1_A7" U1 E20 RP6 8 -Net 159 "/FPGA Spartan6/R_M1_A8" "R_M1_A8" - RP7 5 +Net 156 "/FPGA Spartan6/R_M1_A8" "R_M1_A8" U1 C20 -Net 168 "/FPGA Spartan6/R_M0_DQ2" "R_M0_DQ2" - U1 M2 - RP13 3 -Net 169 "/FPGA Spartan6/R_M0_DQ6" "R_M0_DQ6" + RP7 5 +Net 164 "/FPGA Spartan6/R_M0_DQ6" "R_M0_DQ6" RP12 3 U1 K2 -Net 170 "/FPGA Spartan6/R_M0_A0" "R_M0_A0" +Net 165 "/FPGA Spartan6/R_M0_A0" "R_M0_A0" RP14 1 U1 H2 -Net 175 "/FPGA Spartan6/R_M0_DQ15" "R_M0_DQ15" +Net 170 "/FPGA Spartan6/R_M0_DQ15" "R_M0_DQ15" U1 V1 RP10 4 -Net 176 "/FPGA Spartan6/R_M0_DQ13" "R_M0_DQ13" +Net 171 "/FPGA Spartan6/R_M0_DQ13" "R_M0_DQ13" RP10 2 U1 U1 -Net 178 "/FPGA Spartan6/R_M0_DQ11" "R_M0_DQ11" - U1 R1 +Net 173 "/FPGA Spartan6/R_M0_DQ11" "R_M0_DQ11" RP11 4 -Net 179 "/FPGA Spartan6/R_M0_DQ9" "R_M0_DQ9" + U1 R1 +Net 174 "/FPGA Spartan6/R_M0_DQ9" "R_M0_DQ9" RP11 2 U1 P1 -Net 180 "/FPGA Spartan6/R_M0_DQ1" "R_M0_DQ1" - U1 N1 +Net 175 "/FPGA Spartan6/R_M0_DQ1" "R_M0_DQ1" RP13 2 -Net 181 "/FPGA Spartan6/R_M0_DQ3" "R_M0_DQ3" + U1 N1 +Net 176 "/FPGA Spartan6/R_M0_DQ3" "R_M0_DQ3" U1 M1 RP13 4 -Net 183 "/FPGA Spartan6/R_M0_DQ7" "R_M0_DQ7" +Net 178 "/FPGA Spartan6/R_M0_DQ7" "R_M0_DQ7" U1 K1 RP12 4 -Net 184 "/FPGA Spartan6/R_M0_DQ5" "R_M0_DQ5" - U1 J1 +Net 179 "/FPGA Spartan6/R_M0_DQ5" "R_M0_DQ5" RP12 2 -Net 185 "/FPGA Spartan6/R_M0_A1" "R_M0_A1" - U1 H1 + U1 J1 +Net 180 "/FPGA Spartan6/R_M0_A1" "R_M0_A1" RP14 2 -Net 186 "/FPGA Spartan6/R_M0_BA1" "R_M0_BA1" - U1 G1 + U1 H1 +Net 181 "/FPGA Spartan6/R_M0_BA1" "R_M0_BA1" RP15 3 -Net 188 "/FPGA Spartan6/R_M0_A9" "R_M0_A9" - RP18 3 + U1 G1 +Net 183 "/FPGA Spartan6/R_M0_A9" "R_M0_A9" U1 E1 -Net 189 "/FPGA Spartan6/R_M0_A12" "R_M0_A12" - RP18 1 + RP18 3 +Net 184 "/FPGA Spartan6/R_M0_A12" "R_M0_A12" U1 D1 -Net 190 "/FPGA Spartan6/R_M0_A11" "R_M0_A11" - RP18 2 + RP18 1 +Net 185 "/FPGA Spartan6/R_M0_A11" "R_M0_A11" U1 C1 -Net 193 "/FPGA Spartan6/R_M0_A6" "R_M0_A6" - U1 J4 + RP18 2 +Net 189 "/FPGA Spartan6/R_M0_A6" "R_M0_A6" RP17 2 -Net 194 "/FPGA Spartan6/R_M0_A10" "R_M0_A10" + U1 J4 +Net 190 "/FPGA Spartan6/R_M0_A10" "R_M0_A10" RP15 4 U1 G4 -Net 199 "/FPGA Spartan6/R_M0_DQ12" "R_M0_DQ12" - U1 U3 +Net 195 "/FPGA Spartan6/R_M0_DQ12" "R_M0_DQ12" RP10 1 -Net 201 "/FPGA Spartan6/R_M0_DQ10" "R_M0_DQ10" + U1 U3 +Net 197 "/FPGA Spartan6/R_M0_DQ10" "R_M0_DQ10" RP11 3 U1 R3 -Net 203 "/FPGA Spartan6/R_M0_DQ0" "R_M0_DQ0" +Net 199 "/FPGA Spartan6/R_M0_DQ0" "R_M0_DQ0" U1 N3 RP13 1 -Net 204 "/FPGA Spartan6/R_M0_A5" "R_M0_A5" +Net 200 "/FPGA Spartan6/R_M0_A5" "R_M0_A5" RP17 3 U1 K3 -Net 205 "/FPGA Spartan6/R_M0_DQ4" "R_M0_DQ4" - U1 J3 +Net 201 "/FPGA Spartan6/R_M0_DQ4" "R_M0_DQ4" RP12 1 -Net 206 "/FPGA Spartan6/R_M0_BA0" "R_M0_BA0" + U1 J3 +Net 202 "/FPGA Spartan6/R_M0_BA0" "R_M0_BA0" RP15 2 U1 G3 -Net 207 "/FPGA Spartan6/R_M0_A4" "R_M0_A4" - RP17 4 +Net 203 "/FPGA Spartan6/R_M0_A4" "R_M0_A4" U1 F3 -Net 208 "/FPGA Spartan6/R_M0_A8" "R_M0_A8" + RP17 4 +Net 204 "/FPGA Spartan6/R_M0_A8" "R_M0_A8" RP18 4 U1 E3 -Net 213 "/FPGA Spartan6/R_M0_DQ14" "R_M0_DQ14" +Net 209 "/FPGA Spartan6/R_M0_DQ14" "R_M0_DQ14" RP10 3 U1 V2 -Net 214 "/FPGA Spartan6/R_M0_DQ8" "R_M0_DQ8" +Net 210 "/FPGA Spartan6/R_M0_DQ8" "R_M0_DQ8" RP11 1 U1 P2 -Net 228 "/FPGA Spartan6/R_M1_DQ15" "R_M1_DQ15" - U1 V22 +Net 211 "/FPGA Spartan6/R_M0_DQ2" "R_M0_DQ2" + RP13 3 + U1 M2 +Net 225 "/FPGA Spartan6/R_M1_DQ15" "R_M1_DQ15" RP8 8 -Net 229 "/FPGA Spartan6/R_M1_DQ13" "R_M1_DQ13" + U1 V22 +Net 226 "/FPGA Spartan6/R_M1_DQ13" "R_M1_DQ13" RP8 6 U1 U22 -Net 231 "/FPGA Spartan6/R_M1_DQ11" "R_M1_DQ11" - U1 R22 +Net 228 "/FPGA Spartan6/R_M1_DQ11" "R_M1_DQ11" RP9 8 -Net 232 "/FPGA Spartan6/R_M1_DQ9" "R_M1_DQ9" + U1 R22 +Net 229 "/FPGA Spartan6/R_M1_DQ9" "R_M1_DQ9" U1 P22 RP9 6 -Net 233 "/FPGA Spartan6/R_M1_DQ1" "R_M1_DQ1" - RP5 2 +Net 230 "/FPGA Spartan6/R_M1_DQ1" "R_M1_DQ1" U1 N22 -Net 234 "/FPGA Spartan6/R_M1_DQ3" "R_M1_DQ3" + RP5 2 +Net 231 "/FPGA Spartan6/R_M1_DQ3" "R_M1_DQ3" RP5 4 U1 M22 -Net 236 "/FPGA Spartan6/R_M1_DQ7" "R_M1_DQ7" +Net 233 "/FPGA Spartan6/R_M1_DQ7" "R_M1_DQ7" U1 K22 RP4 4 -Net 237 "/FPGA Spartan6/R_M1_DQ5" "R_M1_DQ5" - U1 J22 +Net 234 "/FPGA Spartan6/R_M1_DQ5" "R_M1_DQ5" RP4 2 -Net 239 "/FPGA Spartan6/R_M1_A1" "R_M1_A1" - U1 F22 + U1 J22 +Net 236 "/FPGA Spartan6/R_M1_A1" "R_M1_A1" RP1 2 -Net 240 "/FPGA Spartan6/R_M1_A2" "R_M1_A2" + U1 F22 +Net 237 "/FPGA Spartan6/R_M1_A2" "R_M1_A2" RP1 3 U1 E22 -Net 241 "/FPGA Spartan6/R_M1_A12" "R_M1_A12" - U1 D22 +Net 238 "/FPGA Spartan6/R_M1_A12" "R_M1_A12" RP7 8 -Net 242 "/FPGA Spartan6/R_M1_A9" "R_M1_A9" + U1 D22 +Net 239 "/FPGA Spartan6/R_M1_A9" "R_M1_A9" RP7 6 U1 C22 -Net 244 "/FPGA Spartan6/R_M1_DQ14" "R_M1_DQ14" +Net 240 "/FPGA Spartan6/R_M1_DQ14" "R_M1_DQ14" RP8 7 U1 V21 -Net 245 "/FPGA Spartan6/R_M1_DQ8" "R_M1_DQ8" - RP9 5 +Net 241 "/FPGA Spartan6/R_M1_DQ8" "R_M1_DQ8" U1 P21 -Net 246 "/FPGA Spartan6/R_M1_DQ2" "R_M1_DQ2" - U1 M21 + RP9 5 +Net 242 "/FPGA Spartan6/R_M1_DQ2" "R_M1_DQ2" RP5 3 -Net 247 "/FPGA Spartan6/R_M1_DQ6" "R_M1_DQ6" + U1 M21 +Net 243 "/FPGA Spartan6/R_M1_DQ6" "R_M1_DQ6" U1 K21 RP4 3 -Net 248 "/FPGA Spartan6/R_M1_A0" "R_M1_A0" +Net 244 "/FPGA Spartan6/R_M1_A0" "R_M1_A0" U1 F21 RP1 1 -Net 249 "/FPGA Spartan6/R_M1_A6" "R_M1_A6" +Net 245 "/FPGA Spartan6/R_M1_A6" "R_M1_A6" RP6 7 U1 K19 -Net 250 "/FPGA Spartan6/R_M1_A10" "R_M1_A10" +Net 246 "/FPGA Spartan6/R_M1_A10" "R_M1_A10" RP2 4 U1 G19 -Net 251 "/FPGA Spartan6/R_M1_A11" "R_M1_A11" - RP7 7 +Net 247 "/FPGA Spartan6/R_M1_A11" "R_M1_A11" U1 F19 + RP7 7 Net 264 "" "" U1 Y22 R30 2 Net 381 "+1.2V" "+1.2V" C83 1 + U1 J8 U1 K13 U1 M13 U1 P13 - C84 1 C76 1 - U1 J14 - U1 L14 - U1 N14 - U1 R14 - C39 1 - C42 1 - C45 1 - C48 1 - U1 J8 - R28 1 - L9 1 - C85 1 - U1 K9 - U1 M9 - U1 P9 - U1 J10 - U1 L10 + C84 1 U1 N10 + U1 L10 + U1 J10 + U1 P9 + U1 M9 + U1 K9 + U1 N12 U1 K11 U1 M11 U1 P11 - U1 J12 + C48 1 + C45 1 U1 L12 - U1 N12 + U1 J12 + C42 1 + U1 J14 + U1 L14 + U1 N14 + C39 1 + U1 R14 + C85 1 + L9 1 + R28 1 Net 382 "" "" R29 2 U1 AA1 Net 383 "/FPGA Spartan6/R_M0_CAS#" "R_M0_CAS#" - U1 K4 RP16 4 + U1 K4 Net 384 "/FPGA Spartan6/R_M0_WE#" "R_M0_WE#" RP16 3 U1 F2 @@ -3082,140 +3082,140 @@ Net 386 "/FPGA Spartan6/R_M0_LDQS" "R_M0_LDQS" RP16 1 U1 L3 Net 387 "/FPGA Spartan6/R_M0_UDM" "R_M0_UDM" - R23 1 U1 M3 -Net 388 "/FPGA Spartan6/R_M0_UDQS" "R_M0_UDQS" - U1 T2 - R22 1 -Net 389 "/FPGA Spartan6/R_M0_CKE" "R_M0_CKE" - R24 1 - U1 D2 -Net 390 "/FPGA Spartan6/R_M0_RAS#" "R_M0_RAS#" + R23 1 +Net 388 "/FPGA Spartan6/R_M0_RAS#" "R_M0_RAS#" U1 K5 RP15 1 -Net 391 "/FPGA Spartan6/R_M1_CS#" "R_M1_CS#" - U1 H16 - R20 1 -Net 392 "/FPGA Spartan6/R_M1_UDQS" "R_M1_UDQS" +Net 389 "/FPGA Spartan6/R_M0_UDQS" "R_M0_UDQS" + R22 1 + U1 T2 +Net 390 "/FPGA Spartan6/R_M0_CKE" "R_M0_CKE" + R24 1 + U1 D2 +Net 391 "/FPGA Spartan6/R_M1_UDQS" "R_M1_UDQS" U1 T21 R19 1 -Net 395 "/Ethernet Phy/ETH_A1.8V" "ETH_A1.8V" - U4 31 +Net 392 "/FPGA Spartan6/R_M1_CS#" "R_M1_CS#" + U1 H16 + R20 1 +Net 393 "/Ethernet Phy/ETH_A1.8V" "ETH_A1.8V" L1 2 + U4 31 L3 1 C6 1 -Net 398 "/Ethernet Phy/ETH_LED1" "ETH_LED1" - R8 2 +Net 396 "/Ethernet Phy/ETH_LED1" "ETH_LED1" U4 27 -Net 401 "" "" + R8 2 +Net 400 "" "" U4 37 R2 1 Net 403 "/Ethernet Phy/MAG_SHIELD" "MAG_SHIELD" - R9 1 - C12 1 J4 14 J4 13 -Net 404 "/Ethernet Phy/ETH_LED0" "ETH_LED0" - U4 26 - R7 2 -Net 405 "" "" + C12 1 + R9 1 +Net 404 "" "" J4 10 R7 1 -Net 406 "" "" - R8 1 +Net 405 "" "" J4 12 + R8 1 +Net 406 "/Ethernet Phy/ETH_LED0" "ETH_LED0" + R7 2 + U4 26 Net 407 "/Ethernet Phy/ETH_A3.3V" "ETH_A3.3V" - L2 2 - C8 1 C7 1 U4 38 -Net 408 "+1.8V" "+1.8V" - U4 13 - L1 1 - C4 1 - C2 1 + L2 2 + C8 1 +Net 408 "/Ethernet Phy/ETH_PLL1.8V" "ETH_PLL1.8V" + U4 47 + C9 1 + L3 2 Net 409 "/Ethernet Phy/MAG_TX-" "MAG_TX-" + R4 2 U4 40 J4 2 - R4 2 Net 410 "/Ethernet Phy/MAG_RX-" "MAG_RX-" - R6 2 - U4 32 J4 8 -Net 411 "/Ethernet Phy/ETH_PLL1.8V" "ETH_PLL1.8V" - L3 2 - C9 1 - U4 47 -Net 412 "/Ethernet Phy/MAG_RX+" "MAG_RX+" + U4 32 + R6 2 +Net 411 "/Ethernet Phy/MAG_RX+" "MAG_RX+" U4 33 R5 2 J4 7 -Net 413 "/Ethernet Phy/MAG_TX+" "MAG_TX+" +Net 412 "/Ethernet Phy/MAG_TX+" "MAG_TX+" R3 2 J4 1 U4 41 -Net 415 "" "" +Net 413 "+1.8V" "+1.8V" + U4 13 + L1 1 + C2 1 + C4 1 +Net 417 "/USB/USBA_D-" "USBA_D-" + U6 10 + V2 1 + V2 1 + J5 2 +Net 418 "" "" L10 1 F2 1 -Net 416 "+5V" "+5V" +Net 419 "+5V" "+5V" F1 2 F2 2 -Net 417 "" "" +Net 420 "" "" + V5 1 + V5 1 U13 11 - V5 1 - V5 1 -Net 421 "" "" - V4 1 +Net 423 "/USB/USBD_D-" "USBD_D-" U7 10 V4 1 -Net 422 "" "" - V3 1 - V3 1 + V4 1 +Net 424 "/USB/USBD_D+" "USBD_D+" U7 11 -Net 423 "" "" - U6 10 - J5 2 - V2 1 - V2 1 -Net 424 "" "" - J5 3 + V3 1 + V3 1 +Net 425 "/USB/USBA_D+" "USBA_D+" + V1 1 U6 11 + J5 3 V1 1 - V1 1 -Net 425 "" "" - L4 2 - J5 1 Net 426 "" "" - L5 1 - J5 4 + J5 1 + L4 2 Net 427 "" "" F1 1 L4 1 -Net 428 "" "" - R10 1 - J5 S4 - J5 S3 - J5 S2 - J5 S1 - C16 1 -Net 430 "" "" - C38 1 +Net 429 "" "" R15 1 + C38 1 +Net 431 "" "" + J5 S4 + C16 1 + R10 1 + J5 S1 + J5 S2 + J5 S3 +Net 432 "" "" + J5 4 + L5 1 Net 435 "" "" + V6 1 + V6 1 U13 10 - V6 1 - V6 1 Net 436 "" "" - C89 1 R31 1 + C89 1 Net 437 "" "" U12 4 C82 1 U12 1 Net 438 "" "" - U12 5 R27 1 R28 2 + U12 5 C83 2 Net 439 "" "" U11 1 @@ -3224,8 +3224,8 @@ Net 439 "" "" Net 440 "" "" U11 5 C79 2 - R25 1 R26 2 + R25 1 Net 450 "" "" L8 2 U11 3 @@ -3233,30 +3233,30 @@ Net 469 "" "" U12 3 L9 2 Net 482 "/FPGA Spartan6/PROG_MISO3" "PROG_MISO3" - U1 U13 U8 7 + U1 U13 Net 483 "/FPGA Spartan6/PROG_MISO2" "PROG_MISO2" - U1 U14 U8 3 + U1 U14 Net 484 "/FPGA Spartan6/PROG_MISO1" "PROG_MISO1" - U1 AA20 U8 2 + U1 AA20 Net 485 "/FPGA Spartan6/PROG_MISO0" "PROG_MISO0" - U1 AB20 U8 5 + U1 AB20 Net 486 "/FPGA Spartan6/NF_D7" "NF_D7" - U1 D11 U5 44 + U1 D11 Net 487 "/FPGA Spartan6/NF_D6" "NF_D6" U5 43 U1 A11 -Net 488 "/FPGA Spartan6/NF_D5" "NF_D5" - U1 C11 +Net 488 "/Non volatile memories/NF_D5" "NF_D5" U5 42 + U1 C11 Net 489 "/FPGA Spartan6/NF_D4" "NF_D4" U5 41 U1 A12 -Net 490 "/Non volatile memories/NF_D3" "NF_D3" +Net 490 "/FPGA Spartan6/NF_D3" "NF_D3" U1 B12 U5 32 Net 491 "/Non volatile memories/NF_D2" "NF_D2" @@ -3266,87 +3266,87 @@ Net 492 "/FPGA Spartan6/NF_D1" "NF_D1" U5 30 U1 D14 Net 493 "/FPGA Spartan6/NF_D0" "NF_D0" - U5 29 U1 C12 + U5 29 Net 494 "/Ethernet Phy/ETH_TXD1" "ETH_TXD1" - U1 C9 U4 18 -Net 495 "/FPGA Spartan6/ETH_TXD0" "ETH_TXD0" + U1 C9 +Net 495 "/Ethernet Phy/ETH_TXD0" "ETH_TXD0" U1 D10 U4 17 -Net 496 "/FPGA Spartan6/ETH_RXD3" "ETH_RXD3" +Net 496 "/Ethernet Phy/ETH_RXD3" "ETH_RXD3" U1 C5 U4 3 -Net 497 "/Ethernet Phy/ETH_RXD2" "ETH_RXD2" - U4 4 +Net 497 "/FPGA Spartan6/ETH_RXD2" "ETH_RXD2" U1 C6 -Net 498 "/FPGA Spartan6/ETH_RXD1" "ETH_RXD1" + U4 4 +Net 498 "/Ethernet Phy/ETH_RXD1" "ETH_RXD1" U1 A5 U4 5 -Net 499 "/Ethernet Phy/ETH_RXD0" "ETH_RXD0" +Net 499 "/FPGA Spartan6/ETH_RXD0" "ETH_RXD0" U1 B6 U4 6 Net 500 "/FPGA Spartan6/M0_BA1" "M0_BA1" RP15 6 U2 27 -Net 501 "/FPGA Spartan6/M0_BA0" "M0_BA0" - U2 26 +Net 501 "/DDR Banks/M0_BA0" "M0_BA0" RP15 7 -Net 502 "/DDR Banks/M1_BA1" "M1_BA1" - RP2 6 + U2 26 +Net 502 "/FPGA Spartan6/M1_BA1" "M1_BA1" U3 27 + RP2 6 Net 503 "/DDR Banks/M1_BA0" "M1_BA0" - U3 26 RP2 7 + U3 26 Net 504 "/FPGA Spartan6/M1_DQ15" "M1_DQ15" U3 65 RP8 1 Net 505 "/FPGA Spartan6/M1_DQ14" "M1_DQ14" - U3 63 RP8 2 + U3 63 Net 506 "/FPGA Spartan6/M1_DQ13" "M1_DQ13" U3 62 RP8 3 -Net 507 "/FPGA Spartan6/M1_DQ12" "M1_DQ12" +Net 507 "/DDR Banks/M1_DQ12" "M1_DQ12" U3 60 RP8 4 Net 508 "/FPGA Spartan6/M1_DQ11" "M1_DQ11" - RP9 1 U3 59 -Net 509 "/FPGA Spartan6/M1_DQ10" "M1_DQ10" + RP9 1 +Net 509 "/DDR Banks/M1_DQ10" "M1_DQ10" RP9 2 U3 57 -Net 510 "/DDR Banks/M1_DQ9" "M1_DQ9" +Net 510 "/FPGA Spartan6/M1_DQ9" "M1_DQ9" U3 56 RP9 3 Net 511 "/FPGA Spartan6/M1_DQ8" "M1_DQ8" - RP9 4 U3 54 + RP9 4 Net 512 "/FPGA Spartan6/M1_DQ7" "M1_DQ7" U3 13 RP4 5 -Net 513 "/FPGA Spartan6/M1_DQ6" "M1_DQ6" +Net 513 "/DDR Banks/M1_DQ6" "M1_DQ6" U3 11 RP4 6 Net 514 "/FPGA Spartan6/SD_DAT3" "SD_DAT3" - J1 2 U1 B16 + J1 2 Net 515 "/FPGA Spartan6/SD_DAT2" "SD_DAT2" U1 A16 J1 1 -Net 516 "/FPGA Spartan6/SD_DAT1" "SD_DAT1" +Net 516 "/Non volatile memories/SD_DAT1" "SD_DAT1" U1 B18 J1 8 -Net 517 "/FPGA Spartan6/SD_DAT0" "SD_DAT0" - U1 A18 +Net 517 "/Non volatile memories/SD_DAT0" "SD_DAT0" J1 7 -Net 518 "/Ethernet Phy/ETH_TXD3" "ETH_TXD3" + U1 A18 +Net 518 "/FPGA Spartan6/ETH_TXD3" "ETH_TXD3" U1 A8 U4 20 Net 519 "/FPGA Spartan6/ETH_TXD2" "ETH_TXD2" - U1 C10 U4 19 -Net 520 "/FPGA Spartan6/M1_A5" "M1_A5" + U1 C10 +Net 520 "/DDR Banks/M1_A5" "M1_A5" U3 36 RP6 3 Net 521 "/FPGA Spartan6/M1_A4" "M1_A4" @@ -3356,139 +3356,139 @@ Net 522 "/FPGA Spartan6/M1_A3" "M1_A3" U3 32 RP1 5 Net 523 "/FPGA Spartan6/M1_A2" "M1_A2" - RP1 6 U3 31 -Net 524 "/DDR Banks/M1_A1" "M1_A1" - RP1 7 + RP1 6 +Net 524 "/FPGA Spartan6/M1_A1" "M1_A1" U3 30 -Net 525 "/FPGA Spartan6/M1_A0" "M1_A0" + RP1 7 +Net 525 "/DDR Banks/M1_A0" "M1_A0" RP1 8 U3 29 -Net 526 "/FPGA Spartan6/M0_A12" "M0_A12" - RP18 8 +Net 526 "/DDR Banks/M0_A12" "M0_A12" U2 42 -Net 527 "/DDR Banks/M0_A11" "M0_A11" - RP18 7 + RP18 8 +Net 527 "/FPGA Spartan6/M0_A11" "M0_A11" U2 41 + RP18 7 Net 528 "/FPGA Spartan6/M0_A10" "M0_A10" - U2 28 RP15 5 -Net 529 "/DDR Banks/M0_A9" "M0_A9" - RP18 6 + U2 28 +Net 529 "/FPGA Spartan6/M0_A9" "M0_A9" U2 40 -Net 530 "/FPGA Spartan6/M0_A8" "M0_A8" - RP18 5 + RP18 6 +Net 530 "/DDR Banks/M0_A8" "M0_A8" U2 39 + RP18 5 Net 531 "/FPGA Spartan6/M0_A7" "M0_A7" - RP17 8 U2 38 -Net 532 "/FPGA Spartan6/M0_A6" "M0_A6" - RP17 7 + RP17 8 +Net 532 "/DDR Banks/M0_A6" "M0_A6" U2 37 -Net 533 "/FPGA Spartan6/M0_A5" "M0_A5" - RP17 6 + RP17 7 +Net 533 "/DDR Banks/M0_A5" "M0_A5" U2 36 -Net 534 "/DDR Banks/M0_A4" "M0_A4" + RP17 6 +Net 534 "/FPGA Spartan6/M0_A4" "M0_A4" U2 35 RP17 5 -Net 535 "/FPGA Spartan6/M1_DQ5" "M1_DQ5" - RP4 7 +Net 535 "/DDR Banks/M1_DQ5" "M1_DQ5" U3 10 -Net 536 "/DDR Banks/M1_DQ4" "M1_DQ4" - RP4 8 + RP4 7 +Net 536 "/FPGA Spartan6/M1_DQ4" "M1_DQ4" U3 8 -Net 537 "/FPGA Spartan6/M1_DQ3" "M1_DQ3" + RP4 8 +Net 537 "/DDR Banks/M1_DQ3" "M1_DQ3" RP5 5 U3 7 Net 538 "/FPGA Spartan6/M1_DQ2" "M1_DQ2" RP5 6 U3 5 Net 539 "/FPGA Spartan6/M1_DQ1" "M1_DQ1" - RP5 7 U3 4 -Net 540 "/DDR Banks/M1_DQ0" "M1_DQ0" + RP5 7 +Net 540 "/FPGA Spartan6/M1_DQ0" "M1_DQ0" RP5 8 U3 2 -Net 541 "/FPGA Spartan6/M1_A12" "M1_A12" +Net 541 "/DDR Banks/M1_A12" "M1_A12" RP7 1 U3 42 -Net 542 "/DDR Banks/M1_A11" "M1_A11" +Net 542 "/FPGA Spartan6/M1_A11" "M1_A11" RP7 2 U3 41 Net 543 "/FPGA Spartan6/M1_A10" "M1_A10" U3 28 RP2 5 -Net 544 "/FPGA Spartan6/M1_A9" "M1_A9" - RP7 3 +Net 544 "/DDR Banks/M1_A9" "M1_A9" U3 40 -Net 545 "/FPGA Spartan6/M1_A8" "M1_A8" + RP7 3 +Net 545 "/DDR Banks/M1_A8" "M1_A8" U3 39 RP7 4 Net 546 "/FPGA Spartan6/M1_A7" "M1_A7" - RP6 1 U3 38 -Net 547 "/DDR Banks/M1_A6" "M1_A6" - RP6 2 + RP6 1 +Net 547 "/FPGA Spartan6/M1_A6" "M1_A6" U3 37 -Net 548 "/DDR Banks/M0_A3" "M0_A3" + RP6 2 +Net 548 "/FPGA Spartan6/M0_A3" "M0_A3" U2 32 RP14 5 Net 549 "/FPGA Spartan6/M0_A2" "M0_A2" - RP14 6 U2 31 + RP14 6 Net 550 "/FPGA Spartan6/M0_A1" "M0_A1" RP14 7 U2 30 -Net 551 "/DDR Banks/M0_A0" "M0_A0" +Net 551 "/FPGA Spartan6/M0_A0" "M0_A0" RP14 8 U2 29 -Net 552 "/FPGA Spartan6/M0_DQ15" "M0_DQ15" +Net 552 "/DDR Banks/M0_DQ15" "M0_DQ15" RP10 5 U2 65 -Net 553 "/DDR Banks/M0_DQ14" "M0_DQ14" +Net 553 "/FPGA Spartan6/M0_DQ14" "M0_DQ14" U2 63 RP10 6 Net 554 "/FPGA Spartan6/M0_DQ13" "M0_DQ13" - RP10 7 U2 62 + RP10 7 Net 555 "/FPGA Spartan6/M0_DQ12" "M0_DQ12" - U2 60 RP10 8 + U2 60 Net 556 "/FPGA Spartan6/M0_DQ11" "M0_DQ11" U2 59 RP11 5 -Net 557 "/DDR Banks/M0_DQ10" "M0_DQ10" - U2 57 +Net 557 "/FPGA Spartan6/M0_DQ10" "M0_DQ10" RP11 6 + U2 57 Net 558 "/FPGA Spartan6/M0_DQ9" "M0_DQ9" RP11 7 U2 56 -Net 559 "/FPGA Spartan6/M0_DQ8" "M0_DQ8" +Net 559 "/DDR Banks/M0_DQ8" "M0_DQ8" RP11 8 U2 54 -Net 560 "/DDR Banks/M0_DQ7" "M0_DQ7" +Net 560 "/FPGA Spartan6/M0_DQ7" "M0_DQ7" RP12 5 U2 13 Net 561 "/FPGA Spartan6/M0_DQ6" "M0_DQ6" - RP12 6 U2 11 + RP12 6 Net 562 "/DDR Banks/M0_DQ5" "M0_DQ5" RP12 7 U2 10 -Net 563 "/DDR Banks/M0_DQ4" "M0_DQ4" +Net 563 "/FPGA Spartan6/M0_DQ4" "M0_DQ4" U2 8 RP12 8 Net 564 "/FPGA Spartan6/M0_DQ3" "M0_DQ3" RP13 5 U2 7 -Net 565 "/FPGA Spartan6/M0_DQ2" "M0_DQ2" +Net 565 "/DDR Banks/M0_DQ2" "M0_DQ2" RP13 6 U2 5 -Net 566 "/FPGA Spartan6/M0_DQ1" "M0_DQ1" - RP13 7 +Net 566 "/DDR Banks/M0_DQ1" "M0_DQ1" U2 4 -Net 567 "/FPGA Spartan6/M0_DQ0" "M0_DQ0" - U2 2 + RP13 7 +Net 567 "/DDR Banks/M0_DQ0" "M0_DQ0" RP13 8 + U2 2 } #End diff --git a/kicad/xue-rnc/xue-rnc.pro b/kicad/xue-rnc/xue-rnc.pro index 9407401..69ca576 100644 --- a/kicad/xue-rnc/xue-rnc.pro +++ b/kicad/xue-rnc/xue-rnc.pro @@ -1,4 +1,4 @@ -update=Mon 23 Aug 2010 11:08:04 PM COT +update=Tue 24 Aug 2010 06:57:27 AM COT version=1 last_client=pcbnew [common] diff --git a/kicad/xue-rnc/xue-rnc.sch b/kicad/xue-rnc/xue-rnc.sch index fd683eb..d38cccb 100644 --- a/kicad/xue-rnc/xue-rnc.sch +++ b/kicad/xue-rnc/xue-rnc.sch @@ -1,4 +1,4 @@ -EESchema Schematic File Version 2 date Mon 23 Aug 2010 10:36:19 PM COT +EESchema Schematic File Version 2 date Tue 24 Aug 2010 06:53:07 AM COT LIBS:power LIBS:r_pack2 LIBS:v0402mhs03