1
0
mirror of git://projects.qi-hardware.com/ben-blinkenlights.git synced 2024-10-01 13:54:09 +03:00
ben-blinkenlights/ubb-vga/Makefile
Werner Almesberger 6f5a8ccf5a ubb-vga: added draft for "productized" design
- vga-ben.pro, vga-ben.sch, vga-ben.cmp, vga-ben.pro: similar to
  ubb-vga.sch, but with R and Y swapped (for better grounding), the VGA
  connector replaced by solder pads, and added pads for the shield
- Makefile (schp, brd): new targets for editing vga-ben
2011-05-04 12:46:34 -03:00

31 lines
474 B
Makefile

#CC=mipsel-openwrt-linux-uclibc-gcc
CC=mipsel-linux-gcc
CFLAGS=-Wall -g -O9 -march=mips32
LDFLAGS=-lm
OBJS=ubb-vga.o grabfb.o tstimg.o ppm.o ppmimg.o ccube.o physmem.o
.PHONY: all asm sch schp brd clean spotless
all: ubb-vga ubb-vga-old
ubb-vga: $(OBJS)
asm: ubb-vga.c
$(CC) $(CFLAGS) -S $<
sch:
eeschema `pwd`/ubb-vga.sch
schp:
eeschema `pwd`/vga-ben.sch
brd:
pcbnew `pwd`/vga-ben.brd
clean:
rm -f $(OBJS)
spotless: clean
rm -f ubb-vga ubb-vga-old