nn-usb-fpga/PS2_INTERFACE/logic
carlos 62d0edf217 Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
..
kb_ps2.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
ps2_interface.ucf Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
ps2_interface.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
ps2_interface_TF.sh Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
ps2_interface_TF.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
ps2_interface_TF.vcd Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
ps2_rx.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
ps2_tx.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
pulse_expander.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
pulse_expander_TF.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
sync.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00
writePulseGenerator.v Adding PS2, capacitive keyboard examples 2010-11-30 19:26:56 -05:00