1
0
mirror of git://projects.qi-hardware.com/nn-usb-fpga.git synced 2025-01-06 01:40:15 +02:00

Remove RC Power On Reset Circuit (C8, C9, R8, R9)

Change Y3 footprint
Change D10 footprint
Remove J18, Using J17 circuit for switch between USB and DC plug power supply, this remove the possibility that the USB host
will be connected to DC wall adapter.
Change L13 footprint
This commit is contained in:
Carlos Camargo 2010-07-28 09:22:40 -05:00
parent 5041c0eb60
commit ea03c86992
29 changed files with 60357 additions and 60887 deletions

Binary file not shown.

Binary file not shown.

View File

@ -49,12 +49,31 @@
(TRUE)
(FALSE)
(TRUE)
(TRUE)
(FALSE)
(TRUE)
( "TRUE"))
(Folder "Outputs"
(File ".\ecb_jz7425.mnl"
(Type "LAYOUT Netlist File")))
(Folder "Referenced Projects")
(PartMRUSelector
("HEADER 3"
(FullPartName "HEADER 3.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
("HEADER 40"
(FullPartName "HEADER 40.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
(BZX84C12/SOT
(FullPartName "BZX84C12/SOT.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\DISCRETE.OLB")
(DeviceIndex "0"))
(MTD4P05
(FullPartName "MTD4P05.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\DISCRETE.OLB")
(DeviceIndex "0"))
(OFFPAGELEFT-L
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\CAPSYM.OLB")
(DeviceIndex "0"))
@ -117,11 +136,10 @@
(GlobalState
(FileView
(Path "Design Resources")
(Path "Design Resources" "C:\cainPCB\ECB_JZ7425\ecb_jz7425.dsn")
(Path "Design Resources" "C:\cainPCB\ECB_JZ7425\ecb_jz7425.dsn"
"SCHEMATIC1")
(Path "Design Resources" "C:\cainPCB\SAKC_V2\ecb_jz7425.dsn")
(Path "Design Resources" "C:\cainPCB\SAKC_V2\ecb_jz7425.dsn" "SCHEMATIC1")
(Path "Design Resources" "Library")
(Select "Design Resources" "C:\cainPCB\ECB_JZ7425\ecb_jz7425.dsn"))
(Select "Design Resources" "C:\cainPCB\SAKC_V2\ecb_jz7425.dsn"))
(HierarchyView)
(Doc
(Type "COrCapturePMDoc")

BIN
design_files/SAKC.pdf Normal file

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -1,150 +0,0 @@
(ExpressProject "ECB_JZ7425"
(ProjectVersion "19981106")
(ProjectType "PCB")
(Folder "Design Resources"
(Folder "Library"
(File ".\xburst.olb"
(Type "Schematic Library")))
(NoModify)
(File ".\ecb_jz7425.dsn"
(Type "Schematic Design"))
(BuildFileAddedOrDeleted "x")
(CompileFileAddedOrDeleted "x")
(GATE_&_PIN_SWAP_Scope "0")
(GATE_&_PIN_SWAP_File_Name "C:\CAINPCB\ECB_JZ7425\ECB_JZ7425.SWP")
(Backannotation_TAB "1")
(ANNOTATE_Scope "0")
(ANNOTATE_Mode "1")
(ANNOTATE_Action "1")
(Annotate_Page_Order "0")
(ANNOTATE_Reset_References_to_1 "FALSE")
(ANNOTATE_No_Page_Number_Change "FALSE")
(ANNOTATE_Property_Combine "{Value}{Source Package}{POWER_GROUP}")
(ANNOTATE_IncludeNonPrimitive "FALSE")
(Netlist_TAB "3")
(LAYOUT_Netlist_File "ECB_JZ7425.MNL")
(LAYOUT_PCB_Footprint "{PCB Footprint}")
(FALSE)
(LAYOUT_Units "0")
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(Board_sim_option "VHDL_flow")
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(TRUE)
(FALSE)
(TRUE)
(TRUE)
( "FALSE"))
(Folder "Outputs"
(File ".\ecb_jz7425.mnl"
(Type "LAYOUT Netlist File")))
(Folder "Referenced Projects")
(PartMRUSelector
("HEADER 40"
(FullPartName "HEADER 40.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
(BZX84C12/SOT
(FullPartName "BZX84C12/SOT.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\DISCRETE.OLB")
(DeviceIndex "0"))
(MTD4P05
(FullPartName "MTD4P05.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\DISCRETE.OLB")
(DeviceIndex "0"))
(OFFPAGELEFT-L
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\CAPSYM.OLB")
(DeviceIndex "0"))
("HEADER 20X2"
(FullPartName "HEADER 20X2.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
(mic811
(FullPartName "mic811.Normal")
(LibraryName "C:\CAINPCB\ECB_JZ7425\XBURST.OLB")
(DeviceIndex "0"))
("HEADER 2"
(FullPartName "HEADER 2.Normal")
(LibraryName "C:\CADENCE\SPB_15.5\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
(TLV1548
(FullPartName "TLV1548.Normal")
(LibraryName "C:\CAINPCB\ECB_JZ7425\XBURST.OLB")
(DeviceIndex "0"))
(PCA9701PW
(FullPartName "PCA9701PW.Normal")
(LibraryName "C:\CAINPCB\ECB_JZ7425\XBURST.OLB")
(DeviceIndex "0"))
(RESAR_IS_4/SM
(FullPartName "RESAR_IS_4/SM.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\DISCRETE.OLB")
(DeviceIndex "0"))
("HEADER 4X2"
(FullPartName "HEADER 4X2.Normal")
(LibraryName "C:\ORCAD\ORCAD_16.0\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
("HEADER 1"
(FullPartName "HEADER 1.Normal")
(LibraryName "C:\CADENCE\SPB_15.5\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
("HEADER 5"
(FullPartName "HEADER 5.Normal")
(LibraryName "C:\CADENCE\SPB_15.5\TOOLS\CAPTURE\LIBRARY\CONNECTOR.OLB")
(DeviceIndex "0"))
(XC6204B302MRN
(FullPartName "XC6204B302MRN.Normal")
(LibraryName
"C:\DOCUMENTS AND SETTINGS\CAIN\ESCRITORIO\SEED_BOARD\XBURST.OLB")
(DeviceIndex "0"))
(KB3361
(FullPartName "KB3361.Normal")
(LibraryName
"C:\DOCUMENTS AND SETTINGS\CAIN\ESCRITORIO\SEED_BOARD\XBURST.OLB")
(DeviceIndex "0"))
(XC6206P302M
(FullPartName "XC6206P302M.Normal")
(LibraryName
"C:\DOCUMENTS AND SETTINGS\CAIN\ESCRITORIO\SEED_BOARD\XBURST.OLB")
(DeviceIndex "0"))
(JZ4725
(FullPartName "JZ4725.Normal")
(LibraryName "C:\CAINPCB\ECB_JZ7425\XBURST.OLB")
(DeviceIndex "0")))
(LastUsedLibraryBrowseDirectory "C:\OrCAD\OrCAD_16.0\tools\capture\library")
(GlobalState
(FileView
(Path "Design Resources")
(Path "Design Resources" "Library")
(Select "Design Resources" ".\ecb_jz7425.dsn"))
(HierarchyView)
(Doc
(Type "COrCapturePMDoc")
(Frame
(Placement "44 0 1 -1 -1 -4 -23 7 462 43 453"))
(Tab 0))
(Doc
(Type "COrSchematicDoc")
(Frame
(Placement "44 0 1 -1 -1 -4 -23 97 1136 -7 549")
(Scroll "0 0")
(Zoom "90")
(Occurrence "/"))
(Path "C:\CAINPCB\SAKC_V2\ECB_JZ7425.DSN")
(Schematic "SCHEMATIC1")
(Page "4-LCD"))))

Binary file not shown.

View File

@ -1,236 +0,0 @@
*
G04 Mass Parameters ***
*
G04 Image ***
*
%INC:\CAINPCB\SAKC_V2\SAKC_V2.SMB*%
%ICAS*%
%MOIN*%
%IPPOS*%
%ASAXBY*%
G74*%FSLAN2X34Y34*%
*
G04 Aperture Definitions ***
*
%ADD10R,0.0945X0.0945*%
%ADD11R,0.1653X0.0669*%
%ADD12R,0.0380X0.0320*%
%ADD13R,0.0320X0.0380*%
%ADD14R,0.0600X0.0600*%
%ADD15R,0.0350X0.0300*%
%ADD16R,0.0300X0.0350*%
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0350X0.0450*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
%ADD24R,0.0276X0.0630*%
%ADD25C,0.0550*%
%ADD26R,0.0550X0.0550*%
%ADD27R,0.0866X0.0905*%
%ADD28R,0.0216X0.0630*%
%ADD29C,0.3150X0.1575*%
%ADD30C,0.0620*%
%ADD31R,0.0620X0.0620*%
%ADD32C,0.0472*%
%ADD33C,0.1340*%
%ADD34C,0.0531*%
%ADD35R,0.0787X0.0905*%
%ADD36R,0.0551X0.0114*%
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0800X0.1800*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0200*%
%ADD45R,0.0650X0.0079*%
%ADD46R,0.0079X0.0650*%
%ADD47R,0.0197X0.0551*%
%ADD48R,0.0100X0.0600*%
%ADD49R,0.0700X0.0160*%
%ADD50R,0.1420X0.0880*%
%ADD51R,0.0480X0.0880*%
%ADD52R,0.0600X0.0100*%
%ADD53R,0.0160X0.0700*%
%ADD54R,0.0472X0.0567*%
%ADD55R,0.0394X0.0567*%
%ADD56R,0.0272X0.0390*%
%ADD57R,0.0276X0.0590*%
%ADD58R,0.0787X0.0984*%
%ADD59R,0.0709X0.0787*%
%ADD60C,0.0236*%
%ADD61C,0.0079*%
%ADD62C,0.0138*%
%ADD63C,0.0059*%
%ADD64C,0.0080*%
%ADD65C,0.0315*%
%ADD66C,0.0236*%
%ADD67C,0.0394*%
%ADD68C,0.0236*%
%ADD69C,0.0276*%
%ADD70C,0.0071*%
%ADD71C,0.0073*%
%ADD72C,0.0216*%
%ADD73C,0.0197*%
%ADD74C,0.0118*%
%ADD75C,0.0094*%
%ADD76C,0.0157*%
%ADD77C,0.0354*%
%ADD78C,0.0550*%
%ADD79R,0.0550X0.0550*%
%ADD80C,0.3150X0.1575*%
%ADD81C,0.0620*%
%ADD82R,0.0620X0.0620*%
%ADD83C,0.0472*%
%ADD84C,0.1340*%
%ADD85C,0.0531*%
%ADD86C,0.0775*%
%ADD87C,0.0669*%
%ADD88C,0.0236*%
%ADD89C,0.0039*%
%ADD90R,0.1102X0.1102*%
%ADD91R,0.1811X0.0827*%
%ADD92R,0.0453X0.0378*%
%ADD93R,0.0378X0.0453*%
%ADD94R,0.0650X0.0650*%
%ADD95R,0.0400X0.0350*%
%ADD96R,0.0350X0.0400*%
%ADD97R,0.0450X0.0550*%
%ADD98R,0.0700X0.0450*%
%ADD99R,0.0550X0.0450*%
%ADD100R,0.0400X0.0500*%
%ADD101R,0.0591X0.0669*%
%ADD102R,0.0590X0.0984*%
%ADD103R,0.0748X0.0669*%
%ADD104R,0.0433X0.0709*%
%ADD105R,0.0945X0.0984*%
%ADD106R,0.0315X0.0787*%
%ADD107C,0.3150*%
%ADD108C,0.0551*%
%ADD109C,0.1390*%
%ADD110C,0.0600*%
%ADD111R,0.0866X0.0984*%
%ADD112R,0.0630X0.0197*%
%ADD113R,0.0571X0.0571*%
%ADD114R,0.0400X0.0300*%
%ADD115R,0.0300X0.0400*%
%ADD116R,0.0850X0.1850*%
%ADD117C,0.0827*%
%ADD118C,0.0768*%
%ADD119R,0.0400X0.0600*%
%ADD120R,0.0433X0.0358*%
%ADD121R,0.0358X0.0433*%
%ADD122R,0.0400X0.0250*%
%ADD123R,0.0709X0.0118*%
%ADD124R,0.0118X0.0709*%
%ADD125R,0.0236X0.0590*%
%ADD126R,0.0140X0.0650*%
%ADD127R,0.0750X0.0210*%
%ADD128R,0.1470X0.0930*%
%ADD129R,0.0530X0.0930*%
%ADD130R,0.0650X0.0150*%
%ADD131R,0.0150X0.0650*%
%ADD132R,0.0210X0.0750*%
%ADD133R,0.0630X0.0787*%
%ADD134R,0.0567X0.0787*%
%ADD135R,0.0394X0.0512*%
%ADD136R,0.0394X0.0709*%
%ADD137R,0.0905X0.1102*%
%ADD138R,0.0768X0.0846*%
*
G04 Plot Data ***
*
G54D78*
X0024397Y0084498D03*
X0023401Y0084521D03*
X0022401D03*
X0024416Y0083537D03*
X0023416D03*
X0022416D03*
G54D79*
X0025401Y0084521D03*
X0025416Y0083537D03*
G54D81*
X0026551Y0083474D03*
X0004695Y0076473D03*
G54D82*
X0026551Y0084474D03*
X0003695Y0076473D03*
G54D107*
X0036671Y0083877D03*
X0005039Y0083850D03*
X0036669Y0055576D03*
X0005043Y0055583D03*
G54D108*
X0003709Y0075369D03*
X0004496D03*
X0003709Y0074582D03*
X0004496D03*
X0003709Y0073794D03*
X0004496D03*
X0003709Y0073007D03*
X0004496D03*
G54D109*
X0029667Y0083343D03*
Y0080843D03*
X0028167Y0081843D03*
G54D110*
X0036197Y0075929D03*
X0036987D03*
X0036197Y0075139D03*
X0036987D03*
X0036197Y0074359D03*
X0036987D03*
X0036197Y0073569D03*
X0036987D03*
X0036197Y0072779D03*
X0036987D03*
X0036197Y0071989D03*
X0036987D03*
X0036197Y0071209D03*
X0036987D03*
X0036197Y0070419D03*
X0036987D03*
X0036197Y0069629D03*
X0036987D03*
X0036197Y0068839D03*
X0036987D03*
X0036197Y0068059D03*
X0036987D03*
X0036197Y0067269D03*
X0036987D03*
X0036197Y0066479D03*
X0036987D03*
X0036197Y0065689D03*
X0036987D03*
X0036197Y0064909D03*
X0036987D03*
X0036197Y0064119D03*
X0036987D03*
X0036197Y0063329D03*
X0036987D03*
X0036197Y0062539D03*
X0036987D03*
X0036197Y0061759D03*
X0036987D03*
X0036197Y0060969D03*
X0036987D03*
G54D117*
X0026562Y0055579D03*
X0029318D03*
X0031085D03*
X0033840D03*
X0004856Y0080871D03*
Y0078116D03*
G54D118*
X0027054Y0054595D03*
X0028825D03*
X0031577D03*
X0033348D03*
X0003872Y0080379D03*
Y0078608D03*
M02*

View File

@ -1,196 +0,0 @@
*
G04 Mass Parameters ***
*
G04 Image ***
*
%INC:\CAINPCB\SAKC_V2\SAKC_V2.SSB*%
%ICAS*%
%MOIN*%
%IPPOS*%
%ASAXBY*%
G74*%FSLAN2X34Y34*%
*
G04 Aperture Definitions ***
*
%ADD10R,0.0945X0.0945*%
%ADD11R,0.1653X0.0669*%
%ADD12R,0.0380X0.0320*%
%ADD13R,0.0320X0.0380*%
%ADD14R,0.0600X0.0600*%
%ADD15R,0.0350X0.0300*%
%ADD16R,0.0300X0.0350*%
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0350X0.0450*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
%ADD24R,0.0276X0.0630*%
%ADD25C,0.0550*%
%ADD26R,0.0550X0.0550*%
%ADD27R,0.0866X0.0905*%
%ADD28R,0.0216X0.0630*%
%ADD29C,0.3150X0.1575*%
%ADD30C,0.0620*%
%ADD31R,0.0620X0.0620*%
%ADD32C,0.0472*%
%ADD33C,0.1340*%
%ADD34C,0.0531*%
%ADD35R,0.0787X0.0905*%
%ADD36R,0.0551X0.0114*%
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0800X0.1800*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0200*%
%ADD45R,0.0650X0.0079*%
%ADD46R,0.0079X0.0650*%
%ADD47R,0.0197X0.0551*%
%ADD48R,0.0100X0.0600*%
%ADD49R,0.0700X0.0160*%
%ADD50R,0.1420X0.0880*%
%ADD51R,0.0480X0.0880*%
%ADD52R,0.0600X0.0100*%
%ADD53R,0.0160X0.0700*%
%ADD54R,0.0472X0.0567*%
%ADD55R,0.0394X0.0567*%
%ADD56R,0.0272X0.0390*%
%ADD57R,0.0276X0.0590*%
%ADD58R,0.0787X0.0984*%
%ADD59R,0.0709X0.0787*%
%ADD60C,0.0236*%
%ADD61C,0.0079*%
%ADD62C,0.0138*%
%ADD63C,0.0059*%
%ADD64C,0.0080*%
%ADD65C,0.0315*%
%ADD66C,0.0236*%
%ADD67C,0.0394*%
%ADD68C,0.0236*%
%ADD69C,0.0276*%
%ADD70C,0.0071*%
%ADD71C,0.0073*%
%ADD72C,0.0216*%
%ADD73C,0.0197*%
%ADD74C,0.0118*%
%ADD75C,0.0094*%
%ADD76C,0.0157*%
%ADD77C,0.0354*%
%ADD78C,0.0550*%
%ADD79R,0.0550X0.0550*%
%ADD80C,0.3150X0.1575*%
%ADD81C,0.0620*%
%ADD82R,0.0620X0.0620*%
%ADD83C,0.0472*%
%ADD84C,0.1340*%
%ADD85C,0.0531*%
%ADD86C,0.0775*%
%ADD87C,0.0669*%
%ADD88C,0.0236*%
%ADD89C,0.0039*%
%ADD90R,0.1102X0.1102*%
%ADD91R,0.1811X0.0827*%
%ADD92R,0.0453X0.0378*%
%ADD93R,0.0378X0.0453*%
%ADD94R,0.0650X0.0650*%
%ADD95R,0.0400X0.0350*%
%ADD96R,0.0350X0.0400*%
%ADD97R,0.0450X0.0550*%
%ADD98R,0.0700X0.0450*%
%ADD99R,0.0550X0.0450*%
%ADD100R,0.0400X0.0500*%
%ADD101R,0.0591X0.0669*%
%ADD102R,0.0590X0.0984*%
%ADD103R,0.0748X0.0669*%
%ADD104R,0.0433X0.0709*%
%ADD105R,0.0945X0.0984*%
%ADD106R,0.0315X0.0787*%
%ADD107C,0.3150*%
%ADD108C,0.0551*%
%ADD109C,0.1390*%
%ADD110C,0.0600*%
%ADD111R,0.0866X0.0984*%
%ADD112R,0.0630X0.0197*%
%ADD113R,0.0571X0.0571*%
%ADD114R,0.0400X0.0300*%
%ADD115R,0.0300X0.0400*%
%ADD116R,0.0850X0.1850*%
%ADD117C,0.0827*%
%ADD118C,0.0768*%
%ADD119R,0.0400X0.0600*%
%ADD120R,0.0433X0.0358*%
%ADD121R,0.0358X0.0433*%
%ADD122R,0.0400X0.0250*%
%ADD123R,0.0709X0.0118*%
%ADD124R,0.0118X0.0709*%
%ADD125R,0.0236X0.0590*%
%ADD126R,0.0140X0.0650*%
%ADD127R,0.0750X0.0210*%
%ADD128R,0.1470X0.0930*%
%ADD129R,0.0530X0.0930*%
%ADD130R,0.0650X0.0150*%
%ADD131R,0.0150X0.0650*%
%ADD132R,0.0210X0.0750*%
%ADD133R,0.0630X0.0787*%
%ADD134R,0.0567X0.0787*%
%ADD135R,0.0394X0.0512*%
%ADD136R,0.0394X0.0709*%
%ADD137R,0.0905X0.1102*%
%ADD138R,0.0768X0.0846*%
%ADD139R,0.0945X0.0945*%
%ADD140R,0.1653X0.0669*%
%ADD141R,0.0380X0.0320*%
%ADD142R,0.0320X0.0380*%
%ADD143R,0.0600X0.0600*%
%ADD144R,0.0350X0.0300*%
%ADD145R,0.0300X0.0350*%
%ADD146R,0.0650X0.0400*%
%ADD147R,0.0500X0.0400*%
%ADD148R,0.0350X0.0450*%
%ADD149R,0.0472X0.0551*%
%ADD150R,0.0472X0.0866*%
%ADD151R,0.0630X0.0551*%
%ADD152R,0.0276X0.0630*%
%ADD153R,0.0866X0.0905*%
%ADD154R,0.0216X0.0630*%
%ADD155R,0.0787X0.0905*%
%ADD156R,0.0551X0.0114*%
%ADD157R,0.0500X0.0500*%
%ADD158R,0.0350X0.0250*%
%ADD159R,0.0250X0.0350*%
%ADD160R,0.0800X0.1800*%
%ADD161R,0.0350X0.0550*%
%ADD162R,0.0382X0.0319*%
%ADD163R,0.0319X0.0382*%
%ADD164R,0.0350X0.0200*%
%ADD165R,0.0650X0.0079*%
%ADD166R,0.0079X0.0650*%
%ADD167R,0.0197X0.0551*%
%ADD168R,0.0100X0.0600*%
%ADD169R,0.0700X0.0160*%
%ADD170R,0.1420X0.0880*%
%ADD171R,0.0480X0.0880*%
%ADD172R,0.0600X0.0100*%
%ADD173R,0.0160X0.0700*%
%ADD174R,0.0472X0.0567*%
%ADD175R,0.0394X0.0567*%
%ADD176R,0.0272X0.0390*%
%ADD177R,0.0276X0.0590*%
%ADD178R,0.0787X0.0984*%
%ADD179R,0.0709X0.0787*%
%ADD180C,0.0394*%
%ADD181C,0.0433*%
%ADD182C,0.0060*%
%ADD183C,0.0100*%
%ADD184C,0.0010*%
%ADD185C,0.0030*%
%ADD186C,0.0047*%
%ADD187C,0.0020*%
*
G04 Plot Data ***
*
M02*

View File

@ -22,7 +22,7 @@ G04 Aperture Definitions ***
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0350X0.0450*%
%ADD20R,0.0300X0.0300*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
@ -42,182 +42,180 @@ G04 Aperture Definitions ***
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0800X0.1800*%
%ADD40R,0.0748X0.1338*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0200*%
%ADD45R,0.0650X0.0079*%
%ADD46R,0.0079X0.0650*%
%ADD47R,0.0197X0.0551*%
%ADD48R,0.0100X0.0600*%
%ADD49R,0.0700X0.0160*%
%ADD50R,0.1420X0.0880*%
%ADD51R,0.0480X0.0880*%
%ADD52R,0.0600X0.0100*%
%ADD53R,0.0160X0.0700*%
%ADD54R,0.0472X0.0567*%
%ADD55R,0.0394X0.0567*%
%ADD56R,0.0272X0.0390*%
%ADD57R,0.0276X0.0590*%
%ADD58R,0.0787X0.0984*%
%ADD59R,0.0709X0.0787*%
%ADD60C,0.0236*%
%ADD61C,0.0079*%
%ADD62C,0.0138*%
%ADD63C,0.0059*%
%ADD64C,0.0080*%
%ADD65C,0.0315*%
%ADD44R,0.0350X0.0450*%
%ADD45R,0.0350X0.0200*%
%ADD46R,0.0650X0.0079*%
%ADD47R,0.0079X0.0650*%
%ADD48R,0.0197X0.0551*%
%ADD49R,0.0100X0.0600*%
%ADD50R,0.0700X0.0160*%
%ADD51R,0.1420X0.0880*%
%ADD52R,0.0480X0.0880*%
%ADD53R,0.0600X0.0100*%
%ADD54R,0.0160X0.0700*%
%ADD55R,0.0472X0.0567*%
%ADD56R,0.0394X0.0567*%
%ADD57R,0.0272X0.0390*%
%ADD58R,0.0276X0.0590*%
%ADD59R,0.0787X0.0984*%
%ADD60R,0.0551X0.0472*%
%ADD61C,0.0236*%
%ADD62C,0.0079*%
%ADD63C,0.0138*%
%ADD64C,0.0059*%
%ADD65C,0.0080*%
%ADD66C,0.0236*%
%ADD67C,0.0394*%
%ADD68C,0.0236*%
%ADD69C,0.0276*%
%ADD70C,0.0071*%
%ADD71C,0.0073*%
%ADD72C,0.0216*%
%ADD73C,0.0197*%
%ADD74C,0.0118*%
%ADD75C,0.0094*%
%ADD76C,0.0157*%
%ADD77C,0.0354*%
%ADD78C,0.0550*%
%ADD79R,0.0550X0.0550*%
%ADD80C,0.3150X0.1575*%
%ADD81C,0.0620*%
%ADD82R,0.0620X0.0620*%
%ADD83C,0.0472*%
%ADD84C,0.1340*%
%ADD85C,0.0531*%
%ADD86C,0.0775*%
%ADD87C,0.0669*%
%ADD88C,0.0236*%
%ADD89C,0.0039*%
%ADD90R,0.1102X0.1102*%
%ADD91R,0.1811X0.0827*%
%ADD92R,0.0453X0.0378*%
%ADD93R,0.0378X0.0453*%
%ADD94R,0.0650X0.0650*%
%ADD95R,0.0400X0.0350*%
%ADD96R,0.0350X0.0400*%
%ADD97R,0.0450X0.0550*%
%ADD98R,0.0700X0.0450*%
%ADD99R,0.0550X0.0450*%
%ADD100R,0.0400X0.0500*%
%ADD101R,0.0591X0.0669*%
%ADD102R,0.0590X0.0984*%
%ADD103R,0.0748X0.0669*%
%ADD104R,0.0433X0.0709*%
%ADD105R,0.0945X0.0984*%
%ADD106R,0.0315X0.0787*%
%ADD107C,0.3150*%
%ADD108C,0.0551*%
%ADD109C,0.1390*%
%ADD110C,0.0600*%
%ADD111R,0.0866X0.0984*%
%ADD112R,0.0630X0.0197*%
%ADD113R,0.0571X0.0571*%
%ADD114R,0.0400X0.0300*%
%ADD115R,0.0300X0.0400*%
%ADD116R,0.0850X0.1850*%
%ADD117C,0.0827*%
%ADD118C,0.0768*%
%ADD119R,0.0400X0.0600*%
%ADD120R,0.0433X0.0358*%
%ADD121R,0.0358X0.0433*%
%ADD122R,0.0400X0.0250*%
%ADD123R,0.0709X0.0118*%
%ADD124R,0.0118X0.0709*%
%ADD125R,0.0236X0.0590*%
%ADD126R,0.0140X0.0650*%
%ADD127R,0.0750X0.0210*%
%ADD128R,0.1470X0.0930*%
%ADD129R,0.0530X0.0930*%
%ADD130R,0.0650X0.0150*%
%ADD131R,0.0150X0.0650*%
%ADD132R,0.0210X0.0750*%
%ADD133R,0.0630X0.0787*%
%ADD134R,0.0567X0.0787*%
%ADD135R,0.0394X0.0512*%
%ADD136R,0.0394X0.0709*%
%ADD137R,0.0905X0.1102*%
%ADD138R,0.0768X0.0846*%
%ADD139R,0.0945X0.0945*%
%ADD140R,0.1653X0.0669*%
%ADD141R,0.0380X0.0320*%
%ADD142R,0.0320X0.0380*%
%ADD143R,0.0600X0.0600*%
%ADD144R,0.0350X0.0300*%
%ADD145R,0.0300X0.0350*%
%ADD146R,0.0650X0.0400*%
%ADD147R,0.0500X0.0400*%
%ADD148R,0.0350X0.0450*%
%ADD149R,0.0472X0.0551*%
%ADD150R,0.0472X0.0866*%
%ADD151R,0.0630X0.0551*%
%ADD152R,0.0276X0.0630*%
%ADD153R,0.0866X0.0905*%
%ADD154R,0.0216X0.0630*%
%ADD155R,0.0787X0.0905*%
%ADD156R,0.0551X0.0114*%
%ADD157R,0.0500X0.0500*%
%ADD158R,0.0350X0.0250*%
%ADD159R,0.0250X0.0350*%
%ADD160R,0.0800X0.1800*%
%ADD161R,0.0350X0.0550*%
%ADD162R,0.0382X0.0319*%
%ADD163R,0.0319X0.0382*%
%ADD164R,0.0350X0.0200*%
%ADD165R,0.0650X0.0079*%
%ADD166R,0.0079X0.0650*%
%ADD167R,0.0197X0.0551*%
%ADD168R,0.0100X0.0600*%
%ADD169R,0.0700X0.0160*%
%ADD170R,0.1420X0.0880*%
%ADD171R,0.0480X0.0880*%
%ADD172R,0.0600X0.0100*%
%ADD173R,0.0160X0.0700*%
%ADD174R,0.0472X0.0567*%
%ADD175R,0.0394X0.0567*%
%ADD176R,0.0272X0.0390*%
%ADD177R,0.0276X0.0590*%
%ADD178R,0.0787X0.0984*%
%ADD179R,0.0709X0.0787*%
%ADD180C,0.0394*%
%ADD181C,0.0433*%
%ADD182C,0.0060*%
%ADD183C,0.0100*%
%ADD184C,0.0010*%
%ADD185C,0.0030*%
%ADD186C,0.0047*%
%ADD187C,0.0020*%
%ADD67C,0.0236*%
%ADD68C,0.0276*%
%ADD69C,0.0071*%
%ADD70C,0.0073*%
%ADD71C,0.0394*%
%ADD72C,0.0315*%
%ADD73C,0.0118*%
%ADD74C,0.0216*%
%ADD75C,0.0197*%
%ADD76C,0.0094*%
%ADD77C,0.0157*%
%ADD78C,0.0268*%
%ADD79C,0.0354*%
%ADD80C,0.0550*%
%ADD81R,0.0550X0.0550*%
%ADD82C,0.3150X0.1575*%
%ADD83C,0.0620*%
%ADD84R,0.0620X0.0620*%
%ADD85C,0.0472*%
%ADD86C,0.1340*%
%ADD87C,0.0531*%
%ADD88C,0.0775*%
%ADD89C,0.0669*%
%ADD90C,0.0236*%
%ADD91C,0.0039*%
%ADD92C,0.0236*%
%ADD93R,0.1102X0.1102*%
%ADD94R,0.1811X0.0827*%
%ADD95R,0.0453X0.0378*%
%ADD96R,0.0378X0.0453*%
%ADD97R,0.0650X0.0650*%
%ADD98R,0.0400X0.0350*%
%ADD99R,0.0350X0.0400*%
%ADD100R,0.0450X0.0550*%
%ADD101R,0.0700X0.0450*%
%ADD102R,0.0550X0.0450*%
%ADD103R,0.0350X0.0350*%
%ADD104R,0.0591X0.0669*%
%ADD105R,0.0590X0.0984*%
%ADD106R,0.0748X0.0669*%
%ADD107R,0.0433X0.0709*%
%ADD108R,0.0945X0.0984*%
%ADD109R,0.0315X0.0787*%
%ADD110C,0.3150*%
%ADD111C,0.0551*%
%ADD112C,0.1390*%
%ADD113C,0.0600*%
%ADD114R,0.0866X0.0984*%
%ADD115R,0.0630X0.0197*%
%ADD116R,0.0571X0.0571*%
%ADD117R,0.0400X0.0300*%
%ADD118R,0.0300X0.0400*%
%ADD119R,0.0799X0.1390*%
%ADD120C,0.0827*%
%ADD121C,0.0768*%
%ADD122R,0.0400X0.0600*%
%ADD123R,0.0400X0.0500*%
%ADD124R,0.0433X0.0358*%
%ADD125R,0.0358X0.0433*%
%ADD126R,0.0400X0.0250*%
%ADD127R,0.0709X0.0118*%
%ADD128R,0.0118X0.0709*%
%ADD129R,0.0236X0.0590*%
%ADD130R,0.0140X0.0650*%
%ADD131R,0.0750X0.0210*%
%ADD132R,0.1470X0.0930*%
%ADD133R,0.0530X0.0930*%
%ADD134R,0.0650X0.0150*%
%ADD135R,0.0150X0.0650*%
%ADD136R,0.0210X0.0750*%
%ADD137R,0.0630X0.0787*%
%ADD138R,0.0567X0.0787*%
%ADD139R,0.0394X0.0512*%
%ADD140R,0.0394X0.0709*%
%ADD141R,0.0905X0.1102*%
%ADD142R,0.0669X0.0590*%
%ADD143R,0.0945X0.0945*%
%ADD144R,0.1653X0.0669*%
%ADD145R,0.0380X0.0320*%
%ADD146R,0.0320X0.0380*%
%ADD147R,0.0600X0.0600*%
%ADD148R,0.0350X0.0300*%
%ADD149R,0.0300X0.0350*%
%ADD150R,0.0650X0.0400*%
%ADD151R,0.0500X0.0400*%
%ADD152R,0.0300X0.0300*%
%ADD153R,0.0472X0.0551*%
%ADD154R,0.0472X0.0866*%
%ADD155R,0.0630X0.0551*%
%ADD156R,0.0276X0.0630*%
%ADD157R,0.0866X0.0905*%
%ADD158R,0.0216X0.0630*%
%ADD159R,0.0787X0.0905*%
%ADD160R,0.0551X0.0114*%
%ADD161R,0.0500X0.0500*%
%ADD162R,0.0350X0.0250*%
%ADD163R,0.0250X0.0350*%
%ADD164R,0.0748X0.1338*%
%ADD165R,0.0350X0.0550*%
%ADD166R,0.0350X0.0450*%
%ADD167R,0.0382X0.0319*%
%ADD168R,0.0319X0.0382*%
%ADD169R,0.0350X0.0200*%
%ADD170R,0.0650X0.0079*%
%ADD171R,0.0079X0.0650*%
%ADD172R,0.0197X0.0551*%
%ADD173R,0.0100X0.0600*%
%ADD174R,0.0700X0.0160*%
%ADD175R,0.1420X0.0880*%
%ADD176R,0.0480X0.0880*%
%ADD177R,0.0600X0.0100*%
%ADD178R,0.0160X0.0700*%
%ADD179R,0.0472X0.0567*%
%ADD180R,0.0394X0.0567*%
%ADD181R,0.0272X0.0390*%
%ADD182R,0.0276X0.0590*%
%ADD183R,0.0787X0.0984*%
%ADD184R,0.0551X0.0472*%
%ADD185C,0.0394*%
%ADD186C,0.0433*%
%ADD187C,0.0060*%
%ADD188C,0.0100*%
%ADD189C,0.0050*%
%ADD190C,0.0010*%
%ADD191C,0.0047*%
%ADD192C,0.0030*%
%ADD193C,0.0020*%
*
G04 Plot Data ***
*
G54D61*
G54D62*
G01X0038170Y0085756D02*
X0038534D01*
Y0053712D01*
X0003024D01*
Y0085756D01*
X0038170D01*
G54D183*
G01X0024625Y-0018333D02*
X0024708Y-0018167D01*
Y-0018000D01*
X0024625Y-0017833D01*
X0024125D01*
X0024042Y-0018000D01*
Y-0018167D01*
X0024125Y-0018333D01*
X0024625D01*
X0024708Y-0018500D01*
Y-0018667D01*
X0024625Y-0018833D01*
X0024125D01*
X0024042Y-0018667D01*
G54D188*
G01X0024042Y-0018667D02*
X0024208Y-0018833D01*
X0024542D01*
X0024708Y-0018667D01*
Y-0018500D01*
X0024125Y-0018333D01*
X0023175D02*
X0024375Y-0018167D01*
X0024708Y-0017833D01*
X0024042D01*
X0023175Y-0018333D02*
X0023675D01*
X0023758Y-0018500D01*
Y-0018667D01*
@ -477,12 +475,14 @@ X0006053D01*
X0006164D02*
Y-0013306D01*
X0006053Y-0013417D01*
X0024708Y-0012250D02*
X0024708Y-0012472D02*
X0024264D01*
X0024486Y-0011806D01*
X0024597D01*
Y-0012472D01*
X0010869D02*
X0024708Y-0012028D01*
Y-0011917D01*
X0024653Y-0011806D01*
X0024319D01*
X0024264Y-0011917D01*
X0010869Y-0012472D02*
Y-0012139D01*
X0011092Y-0012472D02*
Y-0012139D01*
@ -878,15 +878,13 @@ Y-0006250D01*
X0006108Y-0006361D01*
X0005997Y-0006472D01*
X0005886D01*
X0024264Y-0004861D02*
X0024319Y-0004972D01*
X0024653D01*
X0024708Y-0004861D01*
Y-0004639D01*
X0024597Y-0004528D01*
X0024708Y-0004972D02*
X0024264D01*
Y-0004306D01*
X0024708D01*
X0024708Y-0004528D01*
Y-0004417D01*
X0024653Y-0004306D01*
X0024319D01*
X0024264Y-0004417D01*
X0023953Y-0004639D02*
X0024008Y-0004528D01*
Y-0004417D01*
@ -1172,12 +1170,6 @@ X0019924D02*
X0019674Y0076068D01*
X0019424Y0075818D02*
X0019924D01*
X0006030Y0073620D02*
X0005780Y0073370D01*
X0006280D02*
X0006030Y0073620D01*
X0005780Y0073370D02*
X0006280D01*
X0006130Y0076090D02*
X0005880Y0075840D01*
X0006380D02*
@ -1208,6 +1200,12 @@ X0006250D02*
X0006000Y0074150D01*
X0005750Y0073900D02*
X0006250D01*
X0006030Y0073620D02*
X0005780Y0073370D01*
X0006280D02*
X0006030Y0073620D01*
X0005780Y0073370D02*
X0006280D01*
X0025258Y0080007D02*
X0025008Y0079757D01*
X0025508D02*
@ -1352,6 +1350,18 @@ X-0000050Y-0019500D02*
Y0000000D01*
X0000000Y-0019500D02*
Y0000000D01*
X0012672Y0076479D02*
X0012422Y0076229D01*
X0012922D02*
X0012672Y0076479D01*
X0012422Y0076229D02*
X0012922D01*
X0011529Y0076490D02*
X0011279Y0076240D01*
X0011779D02*
X0011529Y0076490D01*
X0011279Y0076240D02*
X0011779D01*
X0005739Y0072828D02*
X0005489Y0072578D01*
X0005989D02*
@ -1808,36 +1818,6 @@ X0011801D02*
X0011551Y0075227D01*
X0011301Y0074977D02*
X0011801D01*
X0012801Y0075299D02*
X0012551Y0075049D01*
X0013051D02*
X0012801Y0075299D01*
X0012551Y0075049D02*
X0013051D01*
X0012477Y0076785D02*
X0012227Y0076535D01*
X0012727D02*
X0012477Y0076785D01*
X0012227Y0076535D02*
X0012727D01*
X0011570Y0076940D02*
X0011320Y0076690D01*
X0011820D02*
X0011570Y0076940D01*
X0011320Y0076690D02*
X0011820D01*
X0010810Y0077081D02*
X0010560Y0076831D01*
X0011060D02*
X0010810Y0077081D01*
X0010560Y0076831D02*
X0011060D01*
X0009147Y0076980D02*
X0008897Y0076730D01*
X0009397D02*
X0009147Y0076980D01*
X0008897Y0076730D02*
X0009397D01*
X0009203Y0081889D02*
X0008953Y0081639D01*
X0009453D02*
@ -2240,24 +2220,24 @@ X0034026D02*
X0033776Y0065789D01*
X0033526Y0065539D02*
X0034026D01*
X0031468Y0060183D02*
X0031218Y0059933D01*
X0031718D02*
X0031468Y0060183D01*
X0031218Y0059933D02*
X0031718D01*
X0026676Y0058395D02*
X0026426Y0058145D01*
X0026926D02*
X0026676Y0058395D01*
X0026426Y0058145D02*
X0026926D01*
X0027045Y0058778D02*
X0026795Y0058528D01*
X0027295D02*
X0027045Y0058778D01*
X0026795Y0058528D02*
X0027295D01*
X0031688Y0060183D02*
X0031438Y0059933D01*
X0031938D02*
X0031688Y0060183D01*
X0031438Y0059933D02*
X0031938D01*
X0023740Y0059046D02*
X0023490Y0058796D01*
X0023990D02*
X0023740Y0059046D01*
X0023490Y0058796D02*
X0023990D01*
X0026658Y0060081D02*
X0026408Y0059831D01*
X0026908D02*
X0026658Y0060081D01*
X0026408Y0059831D02*
X0026908D01*
X0027623Y0060949D02*
X0027373Y0060699D01*
X0027873D02*
@ -3918,30 +3898,6 @@ X0037237Y0060969D02*
X0036737D01*
X0036987Y0061219D02*
Y0060719D01*
X0026301Y0084724D02*
Y0084224D01*
X0026801Y0084724D02*
X0026301D01*
X0026801Y0084224D02*
Y0084724D01*
X0026301Y0084224D02*
X0026801D01*
Y0084724D02*
X0026301Y0084224D01*
X0026801D02*
X0026301Y0084724D01*
Y0083724D02*
Y0083224D01*
X0026801Y0083724D02*
X0026301D01*
X0026801Y0083224D02*
Y0083724D01*
X0026301Y0083224D02*
X0026801D01*
Y0083724D02*
X0026301Y0083224D01*
X0026801D02*
X0026301Y0083724D01*
X0029417Y0083443D02*
Y0083243D01*
X0029667Y0083193D02*

View File

@ -3,18 +3,18 @@
* DRILL TAPE SUMMARY REPORT *
* *
* C:\CAINPCB\SAKC_V2\SAKC_V2.MAX *
* Fri May 28 07:55:52 2010 *
* Wed Jul 28 07:12:59 2010 *
* *
***********************************
TOOL SIZE QUANTITY FEED SPEED
---------------------------------------------
T1 118 385 200 100
T1 118 382 200 100
T2 315 8 200 100
T3 340 48 200 100
T4 394 2 200 100
T5 417 6 200 100
T6 420 4 200 100
T6 420 2 200 100
T7 433 2 200 100
T8 531 6 200 100
T9 1181 7 200 100

View File

@ -22,7 +22,7 @@ G04 Aperture Definitions ***
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0350X0.0450*%
%ADD20R,0.0300X0.0300*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
@ -42,168 +42,176 @@ G04 Aperture Definitions ***
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0800X0.1800*%
%ADD40R,0.0748X0.1338*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0200*%
%ADD45R,0.0650X0.0079*%
%ADD46R,0.0079X0.0650*%
%ADD47R,0.0197X0.0551*%
%ADD48R,0.0100X0.0600*%
%ADD49R,0.0700X0.0160*%
%ADD50R,0.1420X0.0880*%
%ADD51R,0.0480X0.0880*%
%ADD52R,0.0600X0.0100*%
%ADD53R,0.0160X0.0700*%
%ADD54R,0.0472X0.0567*%
%ADD55R,0.0394X0.0567*%
%ADD56R,0.0272X0.0390*%
%ADD57R,0.0276X0.0590*%
%ADD58R,0.0787X0.0984*%
%ADD59R,0.0709X0.0787*%
%ADD60C,0.0236*%
%ADD61C,0.0079*%
%ADD62C,0.0138*%
%ADD63C,0.0059*%
%ADD64C,0.0080*%
%ADD65C,0.0315*%
%ADD44R,0.0350X0.0450*%
%ADD45R,0.0350X0.0200*%
%ADD46R,0.0650X0.0079*%
%ADD47R,0.0079X0.0650*%
%ADD48R,0.0197X0.0551*%
%ADD49R,0.0100X0.0600*%
%ADD50R,0.0700X0.0160*%
%ADD51R,0.1420X0.0880*%
%ADD52R,0.0480X0.0880*%
%ADD53R,0.0600X0.0100*%
%ADD54R,0.0160X0.0700*%
%ADD55R,0.0472X0.0567*%
%ADD56R,0.0394X0.0567*%
%ADD57R,0.0272X0.0390*%
%ADD58R,0.0276X0.0590*%
%ADD59R,0.0787X0.0984*%
%ADD60R,0.0551X0.0472*%
%ADD61C,0.0236*%
%ADD62C,0.0079*%
%ADD63C,0.0138*%
%ADD64C,0.0059*%
%ADD65C,0.0080*%
%ADD66C,0.0236*%
%ADD67C,0.0394*%
%ADD68C,0.0236*%
%ADD69C,0.0276*%
%ADD70C,0.0071*%
%ADD71C,0.0073*%
%ADD72C,0.0216*%
%ADD73C,0.0197*%
%ADD74C,0.0118*%
%ADD75C,0.0094*%
%ADD76C,0.0157*%
%ADD77C,0.0354*%
%ADD78C,0.0550*%
%ADD79R,0.0550X0.0550*%
%ADD80C,0.3150X0.1575*%
%ADD81C,0.0620*%
%ADD82R,0.0620X0.0620*%
%ADD83C,0.0472*%
%ADD84C,0.1340*%
%ADD85C,0.0531*%
%ADD86C,0.0775*%
%ADD87C,0.0669*%
%ADD88C,0.0236*%
%ADD89C,0.0039*%
%ADD90R,0.1102X0.1102*%
%ADD91R,0.1811X0.0827*%
%ADD92R,0.0453X0.0378*%
%ADD93R,0.0378X0.0453*%
%ADD94R,0.0650X0.0650*%
%ADD95R,0.0400X0.0350*%
%ADD96R,0.0350X0.0400*%
%ADD97R,0.0450X0.0550*%
%ADD98R,0.0700X0.0450*%
%ADD99R,0.0550X0.0450*%
%ADD100R,0.0400X0.0500*%
%ADD101R,0.0591X0.0669*%
%ADD102R,0.0590X0.0984*%
%ADD103R,0.0748X0.0669*%
%ADD104R,0.0433X0.0709*%
%ADD105R,0.0945X0.0984*%
%ADD106R,0.0315X0.0787*%
%ADD107C,0.3150*%
%ADD108C,0.0551*%
%ADD109C,0.1390*%
%ADD110C,0.0600*%
%ADD111R,0.0866X0.0984*%
%ADD112R,0.0630X0.0197*%
%ADD113R,0.0571X0.0571*%
%ADD114R,0.0400X0.0300*%
%ADD115R,0.0300X0.0400*%
%ADD116R,0.0850X0.1850*%
%ADD117C,0.0827*%
%ADD118C,0.0768*%
%ADD119R,0.0400X0.0600*%
%ADD120R,0.0433X0.0358*%
%ADD121R,0.0358X0.0433*%
%ADD122R,0.0400X0.0250*%
%ADD123R,0.0709X0.0118*%
%ADD124R,0.0118X0.0709*%
%ADD125R,0.0236X0.0590*%
%ADD126R,0.0140X0.0650*%
%ADD127R,0.0750X0.0210*%
%ADD128R,0.1470X0.0930*%
%ADD129R,0.0530X0.0930*%
%ADD130R,0.0650X0.0150*%
%ADD131R,0.0150X0.0650*%
%ADD132R,0.0210X0.0750*%
%ADD133R,0.0630X0.0787*%
%ADD134R,0.0567X0.0787*%
%ADD135R,0.0394X0.0512*%
%ADD136R,0.0394X0.0709*%
%ADD137R,0.0905X0.1102*%
%ADD138R,0.0768X0.0846*%
%ADD139R,0.0945X0.0945*%
%ADD140R,0.1653X0.0669*%
%ADD141R,0.0380X0.0320*%
%ADD142R,0.0320X0.0380*%
%ADD143R,0.0600X0.0600*%
%ADD144R,0.0350X0.0300*%
%ADD145R,0.0300X0.0350*%
%ADD146R,0.0650X0.0400*%
%ADD147R,0.0500X0.0400*%
%ADD148R,0.0350X0.0450*%
%ADD149R,0.0472X0.0551*%
%ADD150R,0.0472X0.0866*%
%ADD151R,0.0630X0.0551*%
%ADD152R,0.0276X0.0630*%
%ADD153R,0.0866X0.0905*%
%ADD154R,0.0216X0.0630*%
%ADD155R,0.0787X0.0905*%
%ADD156R,0.0551X0.0114*%
%ADD157R,0.0500X0.0500*%
%ADD158R,0.0350X0.0250*%
%ADD159R,0.0250X0.0350*%
%ADD160R,0.0800X0.1800*%
%ADD161R,0.0350X0.0550*%
%ADD162R,0.0382X0.0319*%
%ADD163R,0.0319X0.0382*%
%ADD164R,0.0350X0.0200*%
%ADD165R,0.0650X0.0079*%
%ADD166R,0.0079X0.0650*%
%ADD167R,0.0197X0.0551*%
%ADD168R,0.0100X0.0600*%
%ADD169R,0.0700X0.0160*%
%ADD170R,0.1420X0.0880*%
%ADD171R,0.0480X0.0880*%
%ADD172R,0.0600X0.0100*%
%ADD173R,0.0160X0.0700*%
%ADD174R,0.0472X0.0567*%
%ADD175R,0.0394X0.0567*%
%ADD176R,0.0272X0.0390*%
%ADD177R,0.0276X0.0590*%
%ADD178R,0.0787X0.0984*%
%ADD179R,0.0709X0.0787*%
%ADD180C,0.0394*%
%ADD181C,0.0433*%
%ADD182C,0.0060*%
%ADD183C,0.0100*%
%ADD184C,0.0010*%
%ADD185C,0.0030*%
%ADD186C,0.0047*%
%ADD187C,0.0020*%
%ADD188C,0.0118*%
%ADD189C,0.0118*%
%ADD190C,0.0118*%
%ADD67C,0.0236*%
%ADD68C,0.0276*%
%ADD69C,0.0071*%
%ADD70C,0.0073*%
%ADD71C,0.0394*%
%ADD72C,0.0315*%
%ADD73C,0.0118*%
%ADD74C,0.0216*%
%ADD75C,0.0197*%
%ADD76C,0.0094*%
%ADD77C,0.0157*%
%ADD78C,0.0268*%
%ADD79C,0.0354*%
%ADD80C,0.0550*%
%ADD81R,0.0550X0.0550*%
%ADD82C,0.3150X0.1575*%
%ADD83C,0.0620*%
%ADD84R,0.0620X0.0620*%
%ADD85C,0.0472*%
%ADD86C,0.1340*%
%ADD87C,0.0531*%
%ADD88C,0.0775*%
%ADD89C,0.0669*%
%ADD90C,0.0236*%
%ADD91C,0.0039*%
%ADD92C,0.0236*%
%ADD93R,0.1102X0.1102*%
%ADD94R,0.1811X0.0827*%
%ADD95R,0.0453X0.0378*%
%ADD96R,0.0378X0.0453*%
%ADD97R,0.0650X0.0650*%
%ADD98R,0.0400X0.0350*%
%ADD99R,0.0350X0.0400*%
%ADD100R,0.0450X0.0550*%
%ADD101R,0.0700X0.0450*%
%ADD102R,0.0550X0.0450*%
%ADD103R,0.0350X0.0350*%
%ADD104R,0.0591X0.0669*%
%ADD105R,0.0590X0.0984*%
%ADD106R,0.0748X0.0669*%
%ADD107R,0.0433X0.0709*%
%ADD108R,0.0945X0.0984*%
%ADD109R,0.0315X0.0787*%
%ADD110C,0.3150*%
%ADD111C,0.0551*%
%ADD112C,0.1390*%
%ADD113C,0.0600*%
%ADD114R,0.0866X0.0984*%
%ADD115R,0.0630X0.0197*%
%ADD116R,0.0571X0.0571*%
%ADD117R,0.0400X0.0300*%
%ADD118R,0.0300X0.0400*%
%ADD119R,0.0799X0.1390*%
%ADD120C,0.0827*%
%ADD121C,0.0768*%
%ADD122R,0.0400X0.0600*%
%ADD123R,0.0400X0.0500*%
%ADD124R,0.0433X0.0358*%
%ADD125R,0.0358X0.0433*%
%ADD126R,0.0400X0.0250*%
%ADD127R,0.0709X0.0118*%
%ADD128R,0.0118X0.0709*%
%ADD129R,0.0236X0.0590*%
%ADD130R,0.0140X0.0650*%
%ADD131R,0.0750X0.0210*%
%ADD132R,0.1470X0.0930*%
%ADD133R,0.0530X0.0930*%
%ADD134R,0.0650X0.0150*%
%ADD135R,0.0150X0.0650*%
%ADD136R,0.0210X0.0750*%
%ADD137R,0.0630X0.0787*%
%ADD138R,0.0567X0.0787*%
%ADD139R,0.0394X0.0512*%
%ADD140R,0.0394X0.0709*%
%ADD141R,0.0905X0.1102*%
%ADD142R,0.0669X0.0590*%
%ADD143R,0.0945X0.0945*%
%ADD144R,0.1653X0.0669*%
%ADD145R,0.0380X0.0320*%
%ADD146R,0.0320X0.0380*%
%ADD147R,0.0600X0.0600*%
%ADD148R,0.0350X0.0300*%
%ADD149R,0.0300X0.0350*%
%ADD150R,0.0650X0.0400*%
%ADD151R,0.0500X0.0400*%
%ADD152R,0.0300X0.0300*%
%ADD153R,0.0472X0.0551*%
%ADD154R,0.0472X0.0866*%
%ADD155R,0.0630X0.0551*%
%ADD156R,0.0276X0.0630*%
%ADD157R,0.0866X0.0905*%
%ADD158R,0.0216X0.0630*%
%ADD159R,0.0787X0.0905*%
%ADD160R,0.0551X0.0114*%
%ADD161R,0.0500X0.0500*%
%ADD162R,0.0350X0.0250*%
%ADD163R,0.0250X0.0350*%
%ADD164R,0.0748X0.1338*%
%ADD165R,0.0350X0.0550*%
%ADD166R,0.0350X0.0450*%
%ADD167R,0.0382X0.0319*%
%ADD168R,0.0319X0.0382*%
%ADD169R,0.0350X0.0200*%
%ADD170R,0.0650X0.0079*%
%ADD171R,0.0079X0.0650*%
%ADD172R,0.0197X0.0551*%
%ADD173R,0.0100X0.0600*%
%ADD174R,0.0700X0.0160*%
%ADD175R,0.1420X0.0880*%
%ADD176R,0.0480X0.0880*%
%ADD177R,0.0600X0.0100*%
%ADD178R,0.0160X0.0700*%
%ADD179R,0.0472X0.0567*%
%ADD180R,0.0394X0.0567*%
%ADD181R,0.0272X0.0390*%
%ADD182R,0.0276X0.0590*%
%ADD183R,0.0787X0.0984*%
%ADD184R,0.0551X0.0472*%
%ADD185C,0.0394*%
%ADD186C,0.0433*%
%ADD187C,0.0060*%
%ADD188C,0.0100*%
%ADD189C,0.0050*%
%ADD190C,0.0010*%
%ADD191C,0.0047*%
%ADD192C,0.0030*%
%ADD193C,0.0020*%
%ADD194C,0.0118*%
%ADD195C,0.0118*%
%ADD196C,0.0118*%
*
G04 Plot Data ***
*
G54D61*
G54D62*
G01X0038170Y0085756D02*
X0038534D01*
Y0053712D01*
X0003024D01*
Y0085756D01*
X0038170D01*
G54D188*
G54D194*
X0012672Y0076329D03*
X0011529Y0076340D03*
X0005739Y0072678D03*
X0006996Y0071444D03*
X0006426Y0070783D03*
@ -280,11 +288,6 @@ X0012133Y0068363D03*
X0012309Y0066562D03*
X0022763Y0066631D03*
X0011551Y0075077D03*
X0012801Y0075149D03*
X0012477Y0076635D03*
X0011570Y0076790D03*
X0010810Y0076931D03*
X0009147Y0076830D03*
X0009203Y0081739D03*
X0009512Y0081519D03*
X0010881Y0083055D03*
@ -352,9 +355,9 @@ X0034372Y0076219D03*
X0034817Y0076731D03*
X0030638Y0059855D03*
X0033776Y0065639D03*
X0031468Y0060033D03*
X0026676Y0058245D03*
X0027045Y0058628D03*
X0031688Y0060033D03*
X0023740Y0058896D03*
X0026658Y0059931D03*
X0027623Y0060799D03*
X0029830Y0066110D03*
X0033494Y0063282D03*
@ -581,14 +584,14 @@ X0019213Y0061257D03*
X0019843Y0061501D03*
X0020158Y0061238D03*
X0020788Y0061244D03*
G54D189*
G54D195*
X0006230Y0074310D03*
X0006000Y0074000D03*
X0025258Y0079857D03*
G54D190*
X0019674Y0075918D03*
X0006030Y0073470D03*
X0025258Y0079857D03*
G54D196*
X0019674Y0075918D03*
X0006130Y0075940D03*
X0029945Y0067516D03*
X0030749Y0068321D03*
X0006230Y0074310D03*
M02*

View File

@ -1,6 +1,6 @@
{Program GerbTool for Layout
{Version 8.0}
{Created Fri May 28 07:55:50 2010}
{Created Wed Jul 28 07:12:55 2010}
}
{Environment
{FilesPath C:\CAINPCB\SAKC_V2}

View File

@ -0,0 +1,238 @@
*
G04 Mass Parameters ***
*
G04 Image ***
*
%INC:\CAINPCB\SAKC_V2\SAKC_V2.SMB*%
%ICAS*%
%MOIN*%
%IPPOS*%
%ASAXBY*%
G74*%FSLAN2X34Y34*%
*
G04 Aperture Definitions ***
*
%ADD10R,0.0945X0.0945*%
%ADD11R,0.1653X0.0669*%
%ADD12R,0.0380X0.0320*%
%ADD13R,0.0320X0.0380*%
%ADD14R,0.0600X0.0600*%
%ADD15R,0.0350X0.0300*%
%ADD16R,0.0300X0.0350*%
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0300X0.0300*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
%ADD24R,0.0276X0.0630*%
%ADD25C,0.0550*%
%ADD26R,0.0550X0.0550*%
%ADD27R,0.0866X0.0905*%
%ADD28R,0.0216X0.0630*%
%ADD29C,0.3150X0.1575*%
%ADD30C,0.0620*%
%ADD31R,0.0620X0.0620*%
%ADD32C,0.0472*%
%ADD33C,0.1340*%
%ADD34C,0.0531*%
%ADD35R,0.0787X0.0905*%
%ADD36R,0.0551X0.0114*%
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0748X0.1338*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0450*%
%ADD45R,0.0350X0.0200*%
%ADD46R,0.0650X0.0079*%
%ADD47R,0.0079X0.0650*%
%ADD48R,0.0197X0.0551*%
%ADD49R,0.0100X0.0600*%
%ADD50R,0.0700X0.0160*%
%ADD51R,0.1420X0.0880*%
%ADD52R,0.0480X0.0880*%
%ADD53R,0.0600X0.0100*%
%ADD54R,0.0160X0.0700*%
%ADD55R,0.0472X0.0567*%
%ADD56R,0.0394X0.0567*%
%ADD57R,0.0272X0.0390*%
%ADD58R,0.0276X0.0590*%
%ADD59R,0.0787X0.0984*%
%ADD60R,0.0551X0.0472*%
%ADD61C,0.0236*%
%ADD62C,0.0079*%
%ADD63C,0.0138*%
%ADD64C,0.0059*%
%ADD65C,0.0080*%
%ADD66C,0.0236*%
%ADD67C,0.0236*%
%ADD68C,0.0276*%
%ADD69C,0.0071*%
%ADD70C,0.0073*%
%ADD71C,0.0394*%
%ADD72C,0.0315*%
%ADD73C,0.0118*%
%ADD74C,0.0216*%
%ADD75C,0.0197*%
%ADD76C,0.0094*%
%ADD77C,0.0157*%
%ADD78C,0.0268*%
%ADD79C,0.0354*%
%ADD80C,0.0550*%
%ADD81R,0.0550X0.0550*%
%ADD82C,0.3150X0.1575*%
%ADD83C,0.0620*%
%ADD84R,0.0620X0.0620*%
%ADD85C,0.0472*%
%ADD86C,0.1340*%
%ADD87C,0.0531*%
%ADD88C,0.0775*%
%ADD89C,0.0669*%
%ADD90C,0.0236*%
%ADD91C,0.0039*%
%ADD92C,0.0236*%
%ADD93R,0.1102X0.1102*%
%ADD94R,0.1811X0.0827*%
%ADD95R,0.0453X0.0378*%
%ADD96R,0.0378X0.0453*%
%ADD97R,0.0650X0.0650*%
%ADD98R,0.0400X0.0350*%
%ADD99R,0.0350X0.0400*%
%ADD100R,0.0450X0.0550*%
%ADD101R,0.0700X0.0450*%
%ADD102R,0.0550X0.0450*%
%ADD103R,0.0350X0.0350*%
%ADD104R,0.0591X0.0669*%
%ADD105R,0.0590X0.0984*%
%ADD106R,0.0748X0.0669*%
%ADD107R,0.0433X0.0709*%
%ADD108R,0.0945X0.0984*%
%ADD109R,0.0315X0.0787*%
%ADD110C,0.3150*%
%ADD111C,0.0551*%
%ADD112C,0.1390*%
%ADD113C,0.0600*%
%ADD114R,0.0866X0.0984*%
%ADD115R,0.0630X0.0197*%
%ADD116R,0.0571X0.0571*%
%ADD117R,0.0400X0.0300*%
%ADD118R,0.0300X0.0400*%
%ADD119R,0.0799X0.1390*%
%ADD120C,0.0827*%
%ADD121C,0.0768*%
%ADD122R,0.0400X0.0600*%
%ADD123R,0.0400X0.0500*%
%ADD124R,0.0433X0.0358*%
%ADD125R,0.0358X0.0433*%
%ADD126R,0.0400X0.0250*%
%ADD127R,0.0709X0.0118*%
%ADD128R,0.0118X0.0709*%
%ADD129R,0.0236X0.0590*%
%ADD130R,0.0140X0.0650*%
%ADD131R,0.0750X0.0210*%
%ADD132R,0.1470X0.0930*%
%ADD133R,0.0530X0.0930*%
%ADD134R,0.0650X0.0150*%
%ADD135R,0.0150X0.0650*%
%ADD136R,0.0210X0.0750*%
%ADD137R,0.0630X0.0787*%
%ADD138R,0.0567X0.0787*%
%ADD139R,0.0394X0.0512*%
%ADD140R,0.0394X0.0709*%
%ADD141R,0.0905X0.1102*%
%ADD142R,0.0669X0.0590*%
*
G04 Plot Data ***
*
G54D80*
X0024397Y0084498D03*
X0023401Y0084521D03*
X0022401D03*
X0024416Y0083537D03*
X0023416D03*
X0022416D03*
G54D81*
X0025401Y0084521D03*
X0025416Y0083537D03*
G54D83*
X0004695Y0076473D03*
G54D84*
X0003695D03*
G54D110*
X0036671Y0083877D03*
X0005039Y0083850D03*
X0036669Y0055576D03*
X0005043Y0055583D03*
G54D111*
X0003709Y0075369D03*
X0004496D03*
X0003709Y0074582D03*
X0004496D03*
X0003709Y0073794D03*
X0004496D03*
X0003709Y0073007D03*
X0004496D03*
G54D112*
X0029667Y0083343D03*
Y0080843D03*
X0028167Y0081843D03*
G54D113*
X0036197Y0075929D03*
X0036987D03*
X0036197Y0075139D03*
X0036987D03*
X0036197Y0074359D03*
X0036987D03*
X0036197Y0073569D03*
X0036987D03*
X0036197Y0072779D03*
X0036987D03*
X0036197Y0071989D03*
X0036987D03*
X0036197Y0071209D03*
X0036987D03*
X0036197Y0070419D03*
X0036987D03*
X0036197Y0069629D03*
X0036987D03*
X0036197Y0068839D03*
X0036987D03*
X0036197Y0068059D03*
X0036987D03*
X0036197Y0067269D03*
X0036987D03*
X0036197Y0066479D03*
X0036987D03*
X0036197Y0065689D03*
X0036987D03*
X0036197Y0064909D03*
X0036987D03*
X0036197Y0064119D03*
X0036987D03*
X0036197Y0063329D03*
X0036987D03*
X0036197Y0062539D03*
X0036987D03*
X0036197Y0061759D03*
X0036987D03*
X0036197Y0060969D03*
X0036987D03*
G54D120*
X0026562Y0055579D03*
X0029318D03*
X0031085D03*
X0033840D03*
X0004856Y0080871D03*
Y0078116D03*
G54D121*
X0027054Y0054595D03*
X0028825D03*
X0031577D03*
X0033348D03*
X0003872Y0080379D03*
Y0078608D03*
M02*

View File

@ -22,7 +22,7 @@ G04 Aperture Definitions ***
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0350X0.0450*%
%ADD20R,0.0300X0.0300*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
@ -42,129 +42,131 @@ G04 Aperture Definitions ***
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0800X0.1800*%
%ADD40R,0.0748X0.1338*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0200*%
%ADD45R,0.0650X0.0079*%
%ADD46R,0.0079X0.0650*%
%ADD47R,0.0197X0.0551*%
%ADD48R,0.0100X0.0600*%
%ADD49R,0.0700X0.0160*%
%ADD50R,0.1420X0.0880*%
%ADD51R,0.0480X0.0880*%
%ADD52R,0.0600X0.0100*%
%ADD53R,0.0160X0.0700*%
%ADD54R,0.0472X0.0567*%
%ADD55R,0.0394X0.0567*%
%ADD56R,0.0272X0.0390*%
%ADD57R,0.0276X0.0590*%
%ADD58R,0.0787X0.0984*%
%ADD59R,0.0709X0.0787*%
%ADD60C,0.0236*%
%ADD61C,0.0079*%
%ADD62C,0.0138*%
%ADD63C,0.0059*%
%ADD64C,0.0080*%
%ADD65C,0.0315*%
%ADD44R,0.0350X0.0450*%
%ADD45R,0.0350X0.0200*%
%ADD46R,0.0650X0.0079*%
%ADD47R,0.0079X0.0650*%
%ADD48R,0.0197X0.0551*%
%ADD49R,0.0100X0.0600*%
%ADD50R,0.0700X0.0160*%
%ADD51R,0.1420X0.0880*%
%ADD52R,0.0480X0.0880*%
%ADD53R,0.0600X0.0100*%
%ADD54R,0.0160X0.0700*%
%ADD55R,0.0472X0.0567*%
%ADD56R,0.0394X0.0567*%
%ADD57R,0.0272X0.0390*%
%ADD58R,0.0276X0.0590*%
%ADD59R,0.0787X0.0984*%
%ADD60R,0.0551X0.0472*%
%ADD61C,0.0236*%
%ADD62C,0.0079*%
%ADD63C,0.0138*%
%ADD64C,0.0059*%
%ADD65C,0.0080*%
%ADD66C,0.0236*%
%ADD67C,0.0394*%
%ADD68C,0.0236*%
%ADD69C,0.0276*%
%ADD70C,0.0071*%
%ADD71C,0.0073*%
%ADD72C,0.0216*%
%ADD73C,0.0197*%
%ADD74C,0.0118*%
%ADD75C,0.0094*%
%ADD76C,0.0157*%
%ADD77C,0.0354*%
%ADD78C,0.0550*%
%ADD79R,0.0550X0.0550*%
%ADD80C,0.3150X0.1575*%
%ADD81C,0.0620*%
%ADD82R,0.0620X0.0620*%
%ADD83C,0.0472*%
%ADD84C,0.1340*%
%ADD85C,0.0531*%
%ADD86C,0.0775*%
%ADD87C,0.0669*%
%ADD88C,0.0236*%
%ADD89C,0.0039*%
%ADD90R,0.1102X0.1102*%
%ADD91R,0.1811X0.0827*%
%ADD92R,0.0453X0.0378*%
%ADD93R,0.0378X0.0453*%
%ADD94R,0.0650X0.0650*%
%ADD95R,0.0400X0.0350*%
%ADD96R,0.0350X0.0400*%
%ADD97R,0.0450X0.0550*%
%ADD98R,0.0700X0.0450*%
%ADD99R,0.0550X0.0450*%
%ADD100R,0.0400X0.0500*%
%ADD101R,0.0591X0.0669*%
%ADD102R,0.0590X0.0984*%
%ADD103R,0.0748X0.0669*%
%ADD104R,0.0433X0.0709*%
%ADD105R,0.0945X0.0984*%
%ADD106R,0.0315X0.0787*%
%ADD107C,0.3150*%
%ADD108C,0.0551*%
%ADD109C,0.1390*%
%ADD110C,0.0600*%
%ADD111R,0.0866X0.0984*%
%ADD112R,0.0630X0.0197*%
%ADD113R,0.0571X0.0571*%
%ADD114R,0.0400X0.0300*%
%ADD115R,0.0300X0.0400*%
%ADD116R,0.0850X0.1850*%
%ADD117C,0.0827*%
%ADD118C,0.0768*%
%ADD119R,0.0400X0.0600*%
%ADD120R,0.0433X0.0358*%
%ADD121R,0.0358X0.0433*%
%ADD122R,0.0400X0.0250*%
%ADD123R,0.0709X0.0118*%
%ADD124R,0.0118X0.0709*%
%ADD125R,0.0236X0.0590*%
%ADD126R,0.0140X0.0650*%
%ADD127R,0.0750X0.0210*%
%ADD128R,0.1470X0.0930*%
%ADD129R,0.0530X0.0930*%
%ADD130R,0.0650X0.0150*%
%ADD131R,0.0150X0.0650*%
%ADD132R,0.0210X0.0750*%
%ADD133R,0.0630X0.0787*%
%ADD134R,0.0567X0.0787*%
%ADD135R,0.0394X0.0512*%
%ADD136R,0.0394X0.0709*%
%ADD137R,0.0905X0.1102*%
%ADD138R,0.0768X0.0846*%
%ADD67C,0.0236*%
%ADD68C,0.0276*%
%ADD69C,0.0071*%
%ADD70C,0.0073*%
%ADD71C,0.0394*%
%ADD72C,0.0315*%
%ADD73C,0.0118*%
%ADD74C,0.0216*%
%ADD75C,0.0197*%
%ADD76C,0.0094*%
%ADD77C,0.0157*%
%ADD78C,0.0268*%
%ADD79C,0.0354*%
%ADD80C,0.0550*%
%ADD81R,0.0550X0.0550*%
%ADD82C,0.3150X0.1575*%
%ADD83C,0.0620*%
%ADD84R,0.0620X0.0620*%
%ADD85C,0.0472*%
%ADD86C,0.1340*%
%ADD87C,0.0531*%
%ADD88C,0.0775*%
%ADD89C,0.0669*%
%ADD90C,0.0236*%
%ADD91C,0.0039*%
%ADD92C,0.0236*%
%ADD93R,0.1102X0.1102*%
%ADD94R,0.1811X0.0827*%
%ADD95R,0.0453X0.0378*%
%ADD96R,0.0378X0.0453*%
%ADD97R,0.0650X0.0650*%
%ADD98R,0.0400X0.0350*%
%ADD99R,0.0350X0.0400*%
%ADD100R,0.0450X0.0550*%
%ADD101R,0.0700X0.0450*%
%ADD102R,0.0550X0.0450*%
%ADD103R,0.0350X0.0350*%
%ADD104R,0.0591X0.0669*%
%ADD105R,0.0590X0.0984*%
%ADD106R,0.0748X0.0669*%
%ADD107R,0.0433X0.0709*%
%ADD108R,0.0945X0.0984*%
%ADD109R,0.0315X0.0787*%
%ADD110C,0.3150*%
%ADD111C,0.0551*%
%ADD112C,0.1390*%
%ADD113C,0.0600*%
%ADD114R,0.0866X0.0984*%
%ADD115R,0.0630X0.0197*%
%ADD116R,0.0571X0.0571*%
%ADD117R,0.0400X0.0300*%
%ADD118R,0.0300X0.0400*%
%ADD119R,0.0799X0.1390*%
%ADD120C,0.0827*%
%ADD121C,0.0768*%
%ADD122R,0.0400X0.0600*%
%ADD123R,0.0400X0.0500*%
%ADD124R,0.0433X0.0358*%
%ADD125R,0.0358X0.0433*%
%ADD126R,0.0400X0.0250*%
%ADD127R,0.0709X0.0118*%
%ADD128R,0.0118X0.0709*%
%ADD129R,0.0236X0.0590*%
%ADD130R,0.0140X0.0650*%
%ADD131R,0.0750X0.0210*%
%ADD132R,0.1470X0.0930*%
%ADD133R,0.0530X0.0930*%
%ADD134R,0.0650X0.0150*%
%ADD135R,0.0150X0.0650*%
%ADD136R,0.0210X0.0750*%
%ADD137R,0.0630X0.0787*%
%ADD138R,0.0567X0.0787*%
%ADD139R,0.0394X0.0512*%
%ADD140R,0.0394X0.0709*%
%ADD141R,0.0905X0.1102*%
%ADD142R,0.0669X0.0590*%
*
G04 Plot Data ***
*
G54D78*
G54D80*
X0024397Y0084498D03*
X0023401Y0084521D03*
X0022401D03*
X0024416Y0083537D03*
X0023416D03*
X0022416D03*
G54D79*
G54D81*
X0025401Y0084521D03*
X0025416Y0083537D03*
G54D81*
X0026551Y0083474D03*
G54D83*
X0004695Y0076473D03*
G54D82*
X0026551Y0084474D03*
X0003695Y0076473D03*
G54D90*
G54D84*
X0003695D03*
G54D93*
X0014683Y0078737D03*
G54D91*
G54D94*
X0014327Y0077414D03*
G54D92*
G54D95*
X0026274Y0081016D03*
Y0080456D03*
X0013027Y0082989D03*
@ -193,10 +195,6 @@ X0016372Y0073930D03*
Y0074490D03*
X0017200Y0073924D03*
Y0074484D03*
X0012475Y0075686D03*
Y0076246D03*
X0011570Y0075805D03*
Y0076365D03*
X0018528Y0072694D03*
Y0072134D03*
X0018524Y0074556D03*
@ -207,7 +205,7 @@ X0014278Y0073774D03*
Y0074334D03*
X0011994Y0072800D03*
Y0072240D03*
G54D93*
G54D96*
X0021615Y0079033D03*
X0021055D03*
Y0079751D03*
@ -254,7 +252,7 @@ X0011238Y0075077D03*
X0010678D03*
X0016862Y0072942D03*
X0016302D03*
G54D94*
G54D97*
X0029672Y0075146D03*
X0028372D03*
X0024068Y0076696D03*
@ -267,7 +265,7 @@ X0009582Y0060498D03*
X0008282D03*
X0012822Y0072392D03*
Y0073692D03*
G54D95*
G54D98*
X0028223Y0056493D03*
X0027573D03*
X0032863Y0056456D03*
@ -288,11 +286,11 @@ X0034260Y0069794D03*
X0034910D03*
X0027942Y0063187D03*
X0027292D03*
X0025687Y0058245D03*
X0026337D03*
X0024873Y0058897D03*
X0024223D03*
X0034202Y0066352D03*
X0034852D03*
G54D96*
G54D99*
X0008204Y0077262D03*
Y0076612D03*
X0007480Y0076613D03*
@ -333,7 +331,7 @@ X0028790Y0072730D03*
Y0073380D03*
X0031550Y0072334D03*
Y0072984D03*
G54D97*
G54D100*
X0004518Y0071383D03*
X0003678D03*
X0003680Y0070576D03*
@ -358,25 +356,23 @@ X0003679Y0064960D03*
X0004519D03*
X0003681Y0064158D03*
X0004521D03*
G54D98*
G54D101*
X0011653Y0079306D03*
Y0080556D03*
G54D99*
G54D102*
X0010828Y0078141D03*
Y0078981D03*
G54D100*
X0007463Y0077845D03*
X0008203D03*
X0010809Y0080188D03*
X0009469D03*
G54D101*
G54D103*
X0010411Y0079915D03*
X0009480D03*
G54D104*
X0020101Y0080359D03*
G54D102*
G54D105*
X0013999Y0084138D03*
X0020100D03*
G54D103*
G54D106*
X0014352Y0080359D03*
G54D104*
G54D107*
X0016163Y0080162D03*
X0016596D03*
X0017029D03*
@ -386,23 +382,23 @@ X0018328D03*
X0018761D03*
X0019194D03*
X0019627D03*
G54D105*
G54D108*
X0010877Y0082439D03*
X0007491Y0084604D03*
X0010877D03*
X0007491Y0082439D03*
G54D106*
G54D109*
X0009814Y0082301D03*
X0009499D03*
X0009184D03*
X0008869D03*
X0008554D03*
G54D107*
G54D110*
X0036671Y0083877D03*
X0005039Y0083850D03*
X0036669Y0055576D03*
X0005043Y0055583D03*
G54D108*
G54D111*
X0003709Y0075369D03*
X0004496D03*
X0003709Y0074582D03*
@ -411,11 +407,11 @@ X0003709Y0073794D03*
X0004496D03*
X0003709Y0073007D03*
X0004496D03*
G54D109*
G54D112*
X0029667Y0083343D03*
Y0080843D03*
X0028167Y0081843D03*
G54D110*
G54D113*
X0036197Y0075929D03*
X0036987D03*
X0036197Y0075139D03*
@ -456,10 +452,10 @@ X0036197Y0061759D03*
X0036987D03*
X0036197Y0060969D03*
X0036987D03*
G54D111*
G54D114*
X0006996Y0070783D03*
X0006994Y0061693D03*
G54D112*
G54D115*
X0006351Y0062400D03*
Y0062597D03*
Y0062794D03*
@ -500,7 +496,7 @@ Y0069487D03*
Y0069684D03*
Y0069880D03*
Y0070077D03*
G54D113*
G54D116*
X0012116Y0083261D03*
Y0082421D03*
X0012188Y0081327D03*
@ -517,36 +513,39 @@ X0016380Y0075218D03*
Y0076058D03*
X0017192Y0075218D03*
Y0076058D03*
G54D114*
G54D117*
X0004243Y0061831D03*
Y0061231D03*
X0031204Y0059433D03*
X0031424Y0059433D03*
Y0060033D03*
X0012270Y0078239D03*
Y0078839D03*
G54D115*
G54D118*
X0010577Y0063726D03*
X0009977D03*
G54D116*
X0006324Y0080189D03*
X0008284D03*
G54D117*
G54D119*
X0006877Y0080067D03*
X0008077D03*
G54D120*
X0026562Y0055579D03*
X0029318D03*
X0031085D03*
X0033840D03*
X0004856Y0080871D03*
Y0078116D03*
G54D118*
G54D121*
X0027054Y0054595D03*
X0028825D03*
X0031577D03*
X0033348D03*
X0003872Y0080379D03*
Y0078608D03*
G54D119*
G54D122*
X0007833Y0078705D03*
G54D120*
G54D123*
X0007463Y0077845D03*
X0008203D03*
G54D124*
X0015741Y0077381D03*
Y0077941D03*
X0016395Y0077383D03*
@ -561,10 +560,6 @@ X0017043Y0077385D03*
Y0077945D03*
X0018758Y0077375D03*
Y0077935D03*
X0013144Y0076240D03*
Y0075680D03*
X0010897Y0075806D03*
Y0076366D03*
X0010292Y0073151D03*
Y0072591D03*
X0011012Y0073161D03*
@ -575,7 +570,7 @@ X0017818Y0072698D03*
Y0072138D03*
X0014278Y0073108D03*
Y0072548D03*
G54D121*
G54D125*
X0015444Y0062618D03*
X0016004D03*
X0024314Y0072650D03*
@ -584,7 +579,7 @@ X0014350Y0076224D03*
X0013790D03*
X0016074Y0072272D03*
X0016634D03*
G54D122*
G54D126*
X0010558Y0064457D03*
Y0064772D03*
Y0065087D03*
@ -601,7 +596,7 @@ X0009843D03*
Y0066746D03*
Y0066431D03*
Y0066116D03*
G54D123*
G54D127*
X0017542Y0065686D03*
Y0065843D03*
Y0066001D03*
@ -666,7 +661,7 @@ Y0066158D03*
Y0066001D03*
Y0065843D03*
Y0065686D03*
G54D124*
G54D128*
X0012078Y0065108D03*
X0012235D03*
X0012393D03*
@ -731,7 +726,7 @@ X0012550D03*
X0012393D03*
X0012235D03*
X0012078D03*
G54D125*
G54D129*
X0021103Y0060812D03*
X0020788D03*
X0020473D03*
@ -786,7 +781,7 @@ X0013233D03*
X0013548D03*
X0013863D03*
X0014177D03*
G54D126*
G54D130*
X0026324Y0071896D03*
X0026127D03*
X0025930D03*
@ -835,7 +830,7 @@ X0025733D03*
X0025930D03*
X0026127D03*
X0026324D03*
G54D127*
G54D131*
X0024705Y0077615D03*
Y0077871D03*
Y0078127D03*
@ -856,11 +851,11 @@ Y0078383D03*
Y0078127D03*
Y0077871D03*
Y0077615D03*
G54D128*
G54D132*
X0034894Y0082016D03*
X0028568Y0078752D03*
X0031937Y0079601D03*
G54D129*
G54D133*
X0033994Y0079576D03*
X0034894D03*
X0035794D03*
@ -870,7 +865,7 @@ X0029468D03*
X0031037Y0077161D03*
X0031937D03*
X0032837D03*
G54D130*
G54D134*
X0033316Y0065961D03*
Y0066151D03*
Y0066351D03*
@ -921,7 +916,7 @@ Y0066551D03*
Y0066351D03*
Y0066151D03*
Y0065961D03*
G54D131*
G54D135*
X0027906Y0065271D03*
X0028096D03*
X0028296D03*
@ -972,7 +967,7 @@ X0028496D03*
X0028296D03*
X0028096D03*
X0027906D03*
G54D132*
G54D136*
X0028470Y0058663D03*
X0028726D03*
X0028982D03*
@ -993,29 +988,29 @@ X0029238D03*
X0028982D03*
X0028726D03*
X0028470D03*
G54D133*
G54D137*
X0010242Y0076758D03*
G54D134*
G54D138*
X0009494D03*
Y0075892D03*
X0010242D03*
G54D135*
G54D139*
X0034039Y0063267D03*
X0034414D03*
X0034789D03*
X0034039Y0064287D03*
X0034789D03*
G54D136*
G54D140*
X0019329Y0072325D03*
X0019841D03*
X0015049Y0073123D03*
X0015561D03*
G54D137*
G54D141*
X0019585Y0074648D03*
X0015305Y0075446D03*
G54D138*
X0025045Y0059139D03*
X0027045D03*
Y0060793D03*
X0025045D03*
G54D142*
X0024943Y0059932D03*
X0025943D03*
Y0060799D03*
X0024943D03*
M02*

View File

@ -22,7 +22,7 @@ G04 Aperture Definitions ***
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0350X0.0450*%
%ADD20R,0.0300X0.0300*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
@ -42,150 +42,155 @@ G04 Aperture Definitions ***
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0800X0.1800*%
%ADD40R,0.0748X0.1338*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0200*%
%ADD45R,0.0650X0.0079*%
%ADD46R,0.0079X0.0650*%
%ADD47R,0.0197X0.0551*%
%ADD48R,0.0100X0.0600*%
%ADD49R,0.0700X0.0160*%
%ADD50R,0.1420X0.0880*%
%ADD51R,0.0480X0.0880*%
%ADD52R,0.0600X0.0100*%
%ADD53R,0.0160X0.0700*%
%ADD54R,0.0472X0.0567*%
%ADD55R,0.0394X0.0567*%
%ADD56R,0.0272X0.0390*%
%ADD57R,0.0276X0.0590*%
%ADD58R,0.0787X0.0984*%
%ADD59R,0.0709X0.0787*%
%ADD60C,0.0236*%
%ADD61C,0.0079*%
%ADD62C,0.0138*%
%ADD63C,0.0059*%
%ADD64C,0.0080*%
%ADD65C,0.0315*%
%ADD44R,0.0350X0.0450*%
%ADD45R,0.0350X0.0200*%
%ADD46R,0.0650X0.0079*%
%ADD47R,0.0079X0.0650*%
%ADD48R,0.0197X0.0551*%
%ADD49R,0.0100X0.0600*%
%ADD50R,0.0700X0.0160*%
%ADD51R,0.1420X0.0880*%
%ADD52R,0.0480X0.0880*%
%ADD53R,0.0600X0.0100*%
%ADD54R,0.0160X0.0700*%
%ADD55R,0.0472X0.0567*%
%ADD56R,0.0394X0.0567*%
%ADD57R,0.0272X0.0390*%
%ADD58R,0.0276X0.0590*%
%ADD59R,0.0787X0.0984*%
%ADD60R,0.0551X0.0472*%
%ADD61C,0.0236*%
%ADD62C,0.0079*%
%ADD63C,0.0138*%
%ADD64C,0.0059*%
%ADD65C,0.0080*%
%ADD66C,0.0236*%
%ADD67C,0.0394*%
%ADD68C,0.0236*%
%ADD69C,0.0276*%
%ADD70C,0.0071*%
%ADD71C,0.0073*%
%ADD72C,0.0216*%
%ADD73C,0.0197*%
%ADD74C,0.0118*%
%ADD75C,0.0094*%
%ADD76C,0.0157*%
%ADD77C,0.0354*%
%ADD78C,0.0550*%
%ADD79R,0.0550X0.0550*%
%ADD80C,0.3150X0.1575*%
%ADD81C,0.0620*%
%ADD82R,0.0620X0.0620*%
%ADD83C,0.0472*%
%ADD84C,0.1340*%
%ADD85C,0.0531*%
%ADD86C,0.0775*%
%ADD87C,0.0669*%
%ADD88C,0.0236*%
%ADD89C,0.0039*%
%ADD90R,0.1102X0.1102*%
%ADD91R,0.1811X0.0827*%
%ADD92R,0.0453X0.0378*%
%ADD93R,0.0378X0.0453*%
%ADD94R,0.0650X0.0650*%
%ADD95R,0.0400X0.0350*%
%ADD96R,0.0350X0.0400*%
%ADD97R,0.0450X0.0550*%
%ADD98R,0.0700X0.0450*%
%ADD99R,0.0550X0.0450*%
%ADD100R,0.0400X0.0500*%
%ADD101R,0.0591X0.0669*%
%ADD102R,0.0590X0.0984*%
%ADD103R,0.0748X0.0669*%
%ADD104R,0.0433X0.0709*%
%ADD105R,0.0945X0.0984*%
%ADD106R,0.0315X0.0787*%
%ADD107C,0.3150*%
%ADD108C,0.0551*%
%ADD109C,0.1390*%
%ADD110C,0.0600*%
%ADD111R,0.0866X0.0984*%
%ADD112R,0.0630X0.0197*%
%ADD113R,0.0571X0.0571*%
%ADD114R,0.0400X0.0300*%
%ADD115R,0.0300X0.0400*%
%ADD116R,0.0850X0.1850*%
%ADD117C,0.0827*%
%ADD118C,0.0768*%
%ADD119R,0.0400X0.0600*%
%ADD120R,0.0433X0.0358*%
%ADD121R,0.0358X0.0433*%
%ADD122R,0.0400X0.0250*%
%ADD123R,0.0709X0.0118*%
%ADD124R,0.0118X0.0709*%
%ADD125R,0.0236X0.0590*%
%ADD126R,0.0140X0.0650*%
%ADD127R,0.0750X0.0210*%
%ADD128R,0.1470X0.0930*%
%ADD129R,0.0530X0.0930*%
%ADD130R,0.0650X0.0150*%
%ADD131R,0.0150X0.0650*%
%ADD132R,0.0210X0.0750*%
%ADD133R,0.0630X0.0787*%
%ADD134R,0.0567X0.0787*%
%ADD135R,0.0394X0.0512*%
%ADD136R,0.0394X0.0709*%
%ADD137R,0.0905X0.1102*%
%ADD138R,0.0768X0.0846*%
%ADD139R,0.0945X0.0945*%
%ADD140R,0.1653X0.0669*%
%ADD141R,0.0380X0.0320*%
%ADD142R,0.0320X0.0380*%
%ADD143R,0.0600X0.0600*%
%ADD144R,0.0350X0.0300*%
%ADD145R,0.0300X0.0350*%
%ADD146R,0.0650X0.0400*%
%ADD147R,0.0500X0.0400*%
%ADD148R,0.0350X0.0450*%
%ADD149R,0.0472X0.0551*%
%ADD150R,0.0472X0.0866*%
%ADD151R,0.0630X0.0551*%
%ADD152R,0.0276X0.0630*%
%ADD153R,0.0866X0.0905*%
%ADD154R,0.0216X0.0630*%
%ADD155R,0.0787X0.0905*%
%ADD156R,0.0551X0.0114*%
%ADD157R,0.0500X0.0500*%
%ADD158R,0.0350X0.0250*%
%ADD159R,0.0250X0.0350*%
%ADD160R,0.0800X0.1800*%
%ADD161R,0.0350X0.0550*%
%ADD162R,0.0382X0.0319*%
%ADD163R,0.0319X0.0382*%
%ADD164R,0.0350X0.0200*%
%ADD165R,0.0650X0.0079*%
%ADD166R,0.0079X0.0650*%
%ADD167R,0.0197X0.0551*%
%ADD168R,0.0100X0.0600*%
%ADD169R,0.0700X0.0160*%
%ADD170R,0.1420X0.0880*%
%ADD171R,0.0480X0.0880*%
%ADD172R,0.0600X0.0100*%
%ADD173R,0.0160X0.0700*%
%ADD174R,0.0472X0.0567*%
%ADD175R,0.0394X0.0567*%
%ADD176R,0.0272X0.0390*%
%ADD177R,0.0276X0.0590*%
%ADD178R,0.0787X0.0984*%
%ADD179R,0.0709X0.0787*%
%ADD67C,0.0236*%
%ADD68C,0.0276*%
%ADD69C,0.0071*%
%ADD70C,0.0073*%
%ADD71C,0.0394*%
%ADD72C,0.0315*%
%ADD73C,0.0118*%
%ADD74C,0.0216*%
%ADD75C,0.0197*%
%ADD76C,0.0094*%
%ADD77C,0.0157*%
%ADD78C,0.0268*%
%ADD79C,0.0354*%
%ADD80C,0.0550*%
%ADD81R,0.0550X0.0550*%
%ADD82C,0.3150X0.1575*%
%ADD83C,0.0620*%
%ADD84R,0.0620X0.0620*%
%ADD85C,0.0472*%
%ADD86C,0.1340*%
%ADD87C,0.0531*%
%ADD88C,0.0775*%
%ADD89C,0.0669*%
%ADD90C,0.0236*%
%ADD91C,0.0039*%
%ADD92C,0.0236*%
%ADD93R,0.1102X0.1102*%
%ADD94R,0.1811X0.0827*%
%ADD95R,0.0453X0.0378*%
%ADD96R,0.0378X0.0453*%
%ADD97R,0.0650X0.0650*%
%ADD98R,0.0400X0.0350*%
%ADD99R,0.0350X0.0400*%
%ADD100R,0.0450X0.0550*%
%ADD101R,0.0700X0.0450*%
%ADD102R,0.0550X0.0450*%
%ADD103R,0.0350X0.0350*%
%ADD104R,0.0591X0.0669*%
%ADD105R,0.0590X0.0984*%
%ADD106R,0.0748X0.0669*%
%ADD107R,0.0433X0.0709*%
%ADD108R,0.0945X0.0984*%
%ADD109R,0.0315X0.0787*%
%ADD110C,0.3150*%
%ADD111C,0.0551*%
%ADD112C,0.1390*%
%ADD113C,0.0600*%
%ADD114R,0.0866X0.0984*%
%ADD115R,0.0630X0.0197*%
%ADD116R,0.0571X0.0571*%
%ADD117R,0.0400X0.0300*%
%ADD118R,0.0300X0.0400*%
%ADD119R,0.0799X0.1390*%
%ADD120C,0.0827*%
%ADD121C,0.0768*%
%ADD122R,0.0400X0.0600*%
%ADD123R,0.0400X0.0500*%
%ADD124R,0.0433X0.0358*%
%ADD125R,0.0358X0.0433*%
%ADD126R,0.0400X0.0250*%
%ADD127R,0.0709X0.0118*%
%ADD128R,0.0118X0.0709*%
%ADD129R,0.0236X0.0590*%
%ADD130R,0.0140X0.0650*%
%ADD131R,0.0750X0.0210*%
%ADD132R,0.1470X0.0930*%
%ADD133R,0.0530X0.0930*%
%ADD134R,0.0650X0.0150*%
%ADD135R,0.0150X0.0650*%
%ADD136R,0.0210X0.0750*%
%ADD137R,0.0630X0.0787*%
%ADD138R,0.0567X0.0787*%
%ADD139R,0.0394X0.0512*%
%ADD140R,0.0394X0.0709*%
%ADD141R,0.0905X0.1102*%
%ADD142R,0.0669X0.0590*%
%ADD143R,0.0945X0.0945*%
%ADD144R,0.1653X0.0669*%
%ADD145R,0.0380X0.0320*%
%ADD146R,0.0320X0.0380*%
%ADD147R,0.0600X0.0600*%
%ADD148R,0.0350X0.0300*%
%ADD149R,0.0300X0.0350*%
%ADD150R,0.0650X0.0400*%
%ADD151R,0.0500X0.0400*%
%ADD152R,0.0300X0.0300*%
%ADD153R,0.0472X0.0551*%
%ADD154R,0.0472X0.0866*%
%ADD155R,0.0630X0.0551*%
%ADD156R,0.0276X0.0630*%
%ADD157R,0.0866X0.0905*%
%ADD158R,0.0216X0.0630*%
%ADD159R,0.0787X0.0905*%
%ADD160R,0.0551X0.0114*%
%ADD161R,0.0500X0.0500*%
%ADD162R,0.0350X0.0250*%
%ADD163R,0.0250X0.0350*%
%ADD164R,0.0748X0.1338*%
%ADD165R,0.0350X0.0550*%
%ADD166R,0.0350X0.0450*%
%ADD167R,0.0382X0.0319*%
%ADD168R,0.0319X0.0382*%
%ADD169R,0.0350X0.0200*%
%ADD170R,0.0650X0.0079*%
%ADD171R,0.0079X0.0650*%
%ADD172R,0.0197X0.0551*%
%ADD173R,0.0100X0.0600*%
%ADD174R,0.0700X0.0160*%
%ADD175R,0.1420X0.0880*%
%ADD176R,0.0480X0.0880*%
%ADD177R,0.0600X0.0100*%
%ADD178R,0.0160X0.0700*%
%ADD179R,0.0472X0.0567*%
%ADD180R,0.0394X0.0567*%
%ADD181R,0.0272X0.0390*%
%ADD182R,0.0276X0.0590*%
%ADD183R,0.0787X0.0984*%
%ADD184R,0.0551X0.0472*%
*
G04 Plot Data ***
*
G54D100*
G54D123*
X0004518Y0071383D03*
X0003678D03*
X0003680Y0070576D03*
@ -210,11 +215,11 @@ X0003679Y0064960D03*
X0004519D03*
X0003681Y0064158D03*
X0004521D03*
G54D139*
G54D143*
X0014683Y0078737D03*
G54D140*
G54D144*
X0014327Y0077414D03*
G54D141*
G54D145*
X0026274Y0081016D03*
Y0080456D03*
X0015741Y0077381D03*
@ -224,8 +229,6 @@ X0020214Y0077378D03*
X0017898Y0077380D03*
X0017043Y0077385D03*
X0018758Y0077375D03*
X0013144Y0076240D03*
X0010897Y0075806D03*
X0010292Y0073151D03*
X0011012Y0073161D03*
X0018524Y0073344D03*
@ -257,10 +260,6 @@ X0016372Y0073930D03*
Y0074490D03*
X0017200Y0073924D03*
Y0074484D03*
X0012475Y0075686D03*
Y0076246D03*
X0011570Y0075805D03*
Y0076365D03*
X0018528Y0072694D03*
Y0072134D03*
X0018524Y0074556D03*
@ -271,7 +270,7 @@ X0014278Y0073774D03*
Y0074334D03*
X0011994Y0072800D03*
Y0072240D03*
G54D142*
G54D146*
X0021615Y0079033D03*
X0021055D03*
Y0079751D03*
@ -322,7 +321,7 @@ X0011238Y0075077D03*
X0010678D03*
X0016862Y0072942D03*
X0016302D03*
G54D143*
G54D147*
X0029672Y0075146D03*
X0028372D03*
X0024068Y0076696D03*
@ -335,7 +334,7 @@ X0009582Y0060498D03*
X0008282D03*
X0012822Y0072392D03*
Y0073692D03*
G54D144*
G54D148*
X0028223Y0056493D03*
X0027573D03*
X0032863Y0056456D03*
@ -356,11 +355,11 @@ X0034260Y0069794D03*
X0034910D03*
X0027942Y0063187D03*
X0027292D03*
X0025687Y0058245D03*
X0026337D03*
X0024873Y0058897D03*
X0024223D03*
X0034202Y0066352D03*
X0034852D03*
G54D145*
G54D149*
X0008204Y0077262D03*
Y0076612D03*
X0007480Y0076613D03*
@ -401,25 +400,23 @@ X0028790Y0072730D03*
Y0073380D03*
X0031550Y0072334D03*
Y0072984D03*
G54D146*
G54D150*
X0011653Y0079306D03*
Y0080556D03*
G54D147*
G54D151*
X0010828Y0078141D03*
Y0078981D03*
G54D148*
X0007463Y0077845D03*
X0008203D03*
X0010809Y0080188D03*
X0009469D03*
G54D149*
G54D152*
X0010411Y0079915D03*
X0009480D03*
G54D153*
X0020101Y0080359D03*
G54D150*
G54D154*
X0013999Y0084138D03*
X0020100D03*
G54D151*
G54D155*
X0014352Y0080359D03*
G54D152*
G54D156*
X0016163Y0080162D03*
X0016596D03*
X0017029D03*
@ -429,21 +426,21 @@ X0018328D03*
X0018761D03*
X0019194D03*
X0019627D03*
G54D153*
G54D157*
X0010877Y0082439D03*
X0007491Y0084604D03*
X0010877D03*
X0007491Y0082439D03*
G54D154*
G54D158*
X0009814Y0082301D03*
X0009499D03*
X0009184D03*
X0008869D03*
X0008554D03*
G54D155*
G54D159*
X0006996Y0070783D03*
X0006994Y0061693D03*
G54D156*
G54D160*
X0006351Y0062400D03*
Y0062597D03*
Y0062794D03*
@ -484,7 +481,7 @@ Y0069487D03*
Y0069684D03*
Y0069880D03*
Y0070077D03*
G54D157*
G54D161*
X0012116Y0083261D03*
Y0082421D03*
X0012188Y0081327D03*
@ -501,22 +498,25 @@ X0016380Y0075218D03*
Y0076058D03*
X0017192Y0075218D03*
Y0076058D03*
G54D158*
G54D162*
X0004243Y0061831D03*
Y0061231D03*
X0031204Y0059433D03*
X0031424Y0059433D03*
Y0060033D03*
X0012270Y0078239D03*
Y0078839D03*
G54D159*
G54D163*
X0010577Y0063726D03*
X0009977D03*
G54D160*
X0006324Y0080189D03*
X0008284D03*
G54D161*
G54D164*
X0006877Y0080067D03*
X0008077D03*
G54D165*
X0007833Y0078705D03*
G54D162*
G54D166*
X0007463Y0077845D03*
X0008203D03*
G54D167*
X0015741Y0077941D03*
X0016395Y0077943D03*
X0019565Y0077937D03*
@ -524,19 +524,17 @@ X0020214Y0077938D03*
X0017898Y0077940D03*
X0017043Y0077945D03*
X0018758Y0077935D03*
X0013144Y0075680D03*
X0010897Y0076366D03*
X0010292Y0072591D03*
X0011012Y0072601D03*
X0018524Y0073904D03*
X0017818Y0072138D03*
X0014278Y0072548D03*
G54D163*
G54D168*
X0016004Y0062618D03*
X0024874Y0072650D03*
X0013790Y0076224D03*
X0016634Y0072272D03*
G54D164*
G54D169*
X0010558Y0064457D03*
Y0064772D03*
Y0065087D03*
@ -553,7 +551,7 @@ X0009843D03*
Y0066746D03*
Y0066431D03*
Y0066116D03*
G54D165*
G54D170*
X0017542Y0065686D03*
Y0065843D03*
Y0066001D03*
@ -618,7 +616,7 @@ Y0066158D03*
Y0066001D03*
Y0065843D03*
Y0065686D03*
G54D166*
G54D171*
X0012078Y0065108D03*
X0012235D03*
X0012393D03*
@ -683,7 +681,7 @@ X0012550D03*
X0012393D03*
X0012235D03*
X0012078D03*
G54D167*
G54D172*
X0021103Y0060812D03*
X0020788D03*
X0020473D03*
@ -738,7 +736,7 @@ X0013233D03*
X0013548D03*
X0013863D03*
X0014177D03*
G54D168*
G54D173*
X0027906Y0065271D03*
X0028096D03*
X0028296D03*
@ -837,7 +835,7 @@ X0025733D03*
X0025930D03*
X0026127D03*
X0026324D03*
G54D169*
G54D174*
X0024705Y0077615D03*
Y0077871D03*
Y0078127D03*
@ -858,11 +856,11 @@ Y0078383D03*
Y0078127D03*
Y0077871D03*
Y0077615D03*
G54D170*
G54D175*
X0034894Y0082016D03*
X0028568Y0078752D03*
X0031937Y0079601D03*
G54D171*
G54D176*
X0033994Y0079576D03*
X0034894D03*
X0035794D03*
@ -872,7 +870,7 @@ X0029468D03*
X0031037Y0077161D03*
X0031937D03*
X0032837D03*
G54D172*
G54D177*
X0033316Y0065961D03*
Y0066151D03*
Y0066351D03*
@ -923,7 +921,7 @@ Y0066551D03*
Y0066351D03*
Y0066151D03*
Y0065961D03*
G54D173*
G54D178*
X0028470Y0058663D03*
X0028726D03*
X0028982D03*
@ -944,29 +942,29 @@ X0029238D03*
X0028982D03*
X0028726D03*
X0028470D03*
G54D174*
G54D179*
X0010242Y0076758D03*
G54D175*
G54D180*
X0009494D03*
Y0075892D03*
X0010242D03*
G54D176*
G54D181*
X0034039Y0063267D03*
X0034414D03*
X0034789D03*
X0034039Y0064287D03*
X0034789D03*
G54D177*
G54D182*
X0019329Y0072325D03*
X0019841D03*
X0015049Y0073123D03*
X0015561D03*
G54D178*
G54D183*
X0019585Y0074648D03*
X0015305Y0075446D03*
G54D179*
X0025045Y0059139D03*
X0027045D03*
Y0060793D03*
X0025045D03*
G54D184*
X0024943Y0059932D03*
X0025943D03*
Y0060799D03*
X0024943D03*
M02*

View File

@ -0,0 +1,202 @@
*
G04 Mass Parameters ***
*
G04 Image ***
*
%INC:\CAINPCB\SAKC_V2\SAKC_V2.SSB*%
%ICAS*%
%MOIN*%
%IPPOS*%
%ASAXBY*%
G74*%FSLAN2X34Y34*%
*
G04 Aperture Definitions ***
*
%ADD10R,0.0945X0.0945*%
%ADD11R,0.1653X0.0669*%
%ADD12R,0.0380X0.0320*%
%ADD13R,0.0320X0.0380*%
%ADD14R,0.0600X0.0600*%
%ADD15R,0.0350X0.0300*%
%ADD16R,0.0300X0.0350*%
%ADD17R,0.0400X0.0500*%
%ADD18R,0.0650X0.0400*%
%ADD19R,0.0500X0.0400*%
%ADD20R,0.0300X0.0300*%
%ADD21R,0.0472X0.0551*%
%ADD22R,0.0472X0.0866*%
%ADD23R,0.0630X0.0551*%
%ADD24R,0.0276X0.0630*%
%ADD25C,0.0550*%
%ADD26R,0.0550X0.0550*%
%ADD27R,0.0866X0.0905*%
%ADD28R,0.0216X0.0630*%
%ADD29C,0.3150X0.1575*%
%ADD30C,0.0620*%
%ADD31R,0.0620X0.0620*%
%ADD32C,0.0472*%
%ADD33C,0.1340*%
%ADD34C,0.0531*%
%ADD35R,0.0787X0.0905*%
%ADD36R,0.0551X0.0114*%
%ADD37R,0.0500X0.0500*%
%ADD38R,0.0350X0.0250*%
%ADD39R,0.0250X0.0350*%
%ADD40R,0.0748X0.1338*%
%ADD41C,0.0775*%
%ADD42C,0.0669*%
%ADD43R,0.0350X0.0550*%
%ADD44R,0.0350X0.0450*%
%ADD45R,0.0350X0.0200*%
%ADD46R,0.0650X0.0079*%
%ADD47R,0.0079X0.0650*%
%ADD48R,0.0197X0.0551*%
%ADD49R,0.0100X0.0600*%
%ADD50R,0.0700X0.0160*%
%ADD51R,0.1420X0.0880*%
%ADD52R,0.0480X0.0880*%
%ADD53R,0.0600X0.0100*%
%ADD54R,0.0160X0.0700*%
%ADD55R,0.0472X0.0567*%
%ADD56R,0.0394X0.0567*%
%ADD57R,0.0272X0.0390*%
%ADD58R,0.0276X0.0590*%
%ADD59R,0.0787X0.0984*%
%ADD60R,0.0551X0.0472*%
%ADD61C,0.0236*%
%ADD62C,0.0079*%
%ADD63C,0.0138*%
%ADD64C,0.0059*%
%ADD65C,0.0080*%
%ADD66C,0.0236*%
%ADD67C,0.0236*%
%ADD68C,0.0276*%
%ADD69C,0.0071*%
%ADD70C,0.0073*%
%ADD71C,0.0394*%
%ADD72C,0.0315*%
%ADD73C,0.0118*%
%ADD74C,0.0216*%
%ADD75C,0.0197*%
%ADD76C,0.0094*%
%ADD77C,0.0157*%
%ADD78C,0.0268*%
%ADD79C,0.0354*%
%ADD80C,0.0550*%
%ADD81R,0.0550X0.0550*%
%ADD82C,0.3150X0.1575*%
%ADD83C,0.0620*%
%ADD84R,0.0620X0.0620*%
%ADD85C,0.0472*%
%ADD86C,0.1340*%
%ADD87C,0.0531*%
%ADD88C,0.0775*%
%ADD89C,0.0669*%
%ADD90C,0.0236*%
%ADD91C,0.0039*%
%ADD92C,0.0236*%
%ADD93R,0.1102X0.1102*%
%ADD94R,0.1811X0.0827*%
%ADD95R,0.0453X0.0378*%
%ADD96R,0.0378X0.0453*%
%ADD97R,0.0650X0.0650*%
%ADD98R,0.0400X0.0350*%
%ADD99R,0.0350X0.0400*%
%ADD100R,0.0450X0.0550*%
%ADD101R,0.0700X0.0450*%
%ADD102R,0.0550X0.0450*%
%ADD103R,0.0350X0.0350*%
%ADD104R,0.0591X0.0669*%
%ADD105R,0.0590X0.0984*%
%ADD106R,0.0748X0.0669*%
%ADD107R,0.0433X0.0709*%
%ADD108R,0.0945X0.0984*%
%ADD109R,0.0315X0.0787*%
%ADD110C,0.3150*%
%ADD111C,0.0551*%
%ADD112C,0.1390*%
%ADD113C,0.0600*%
%ADD114R,0.0866X0.0984*%
%ADD115R,0.0630X0.0197*%
%ADD116R,0.0571X0.0571*%
%ADD117R,0.0400X0.0300*%
%ADD118R,0.0300X0.0400*%
%ADD119R,0.0799X0.1390*%
%ADD120C,0.0827*%
%ADD121C,0.0768*%
%ADD122R,0.0400X0.0600*%
%ADD123R,0.0400X0.0500*%
%ADD124R,0.0433X0.0358*%
%ADD125R,0.0358X0.0433*%
%ADD126R,0.0400X0.0250*%
%ADD127R,0.0709X0.0118*%
%ADD128R,0.0118X0.0709*%
%ADD129R,0.0236X0.0590*%
%ADD130R,0.0140X0.0650*%
%ADD131R,0.0750X0.0210*%
%ADD132R,0.1470X0.0930*%
%ADD133R,0.0530X0.0930*%
%ADD134R,0.0650X0.0150*%
%ADD135R,0.0150X0.0650*%
%ADD136R,0.0210X0.0750*%
%ADD137R,0.0630X0.0787*%
%ADD138R,0.0567X0.0787*%
%ADD139R,0.0394X0.0512*%
%ADD140R,0.0394X0.0709*%
%ADD141R,0.0905X0.1102*%
%ADD142R,0.0669X0.0590*%
%ADD143R,0.0945X0.0945*%
%ADD144R,0.1653X0.0669*%
%ADD145R,0.0380X0.0320*%
%ADD146R,0.0320X0.0380*%
%ADD147R,0.0600X0.0600*%
%ADD148R,0.0350X0.0300*%
%ADD149R,0.0300X0.0350*%
%ADD150R,0.0650X0.0400*%
%ADD151R,0.0500X0.0400*%
%ADD152R,0.0300X0.0300*%
%ADD153R,0.0472X0.0551*%
%ADD154R,0.0472X0.0866*%
%ADD155R,0.0630X0.0551*%
%ADD156R,0.0276X0.0630*%
%ADD157R,0.0866X0.0905*%
%ADD158R,0.0216X0.0630*%
%ADD159R,0.0787X0.0905*%
%ADD160R,0.0551X0.0114*%
%ADD161R,0.0500X0.0500*%
%ADD162R,0.0350X0.0250*%
%ADD163R,0.0250X0.0350*%
%ADD164R,0.0748X0.1338*%
%ADD165R,0.0350X0.0550*%
%ADD166R,0.0350X0.0450*%
%ADD167R,0.0382X0.0319*%
%ADD168R,0.0319X0.0382*%
%ADD169R,0.0350X0.0200*%
%ADD170R,0.0650X0.0079*%
%ADD171R,0.0079X0.0650*%
%ADD172R,0.0197X0.0551*%
%ADD173R,0.0100X0.0600*%
%ADD174R,0.0700X0.0160*%
%ADD175R,0.1420X0.0880*%
%ADD176R,0.0480X0.0880*%
%ADD177R,0.0600X0.0100*%
%ADD178R,0.0160X0.0700*%
%ADD179R,0.0472X0.0567*%
%ADD180R,0.0394X0.0567*%
%ADD181R,0.0272X0.0390*%
%ADD182R,0.0276X0.0590*%
%ADD183R,0.0787X0.0984*%
%ADD184R,0.0551X0.0472*%
%ADD185C,0.0394*%
%ADD186C,0.0433*%
%ADD187C,0.0060*%
%ADD188C,0.0100*%
%ADD189C,0.0050*%
%ADD190C,0.0010*%
%ADD191C,0.0047*%
%ADD192C,0.0030*%
%ADD193C,0.0020*%
*
G04 Plot Data ***
*
M02*

View File

@ -49,7 +49,6 @@ X009034Y063999
X009081Y064691
X009111Y075861
X009116Y064369
X009147Y076830
X009151Y060484
X009189Y063583
X009203Y081739
@ -70,14 +69,13 @@ X010518Y056057
X010518Y056579
X010520Y057285
X010601Y077486
X010810Y076931
X010877Y085158
X010881Y083055
X010883Y070044
X010968Y059310
X011380Y072601
X011529Y076340
X011551Y075077
X011570Y076790
X011620Y059022
X011830Y071336
X011864Y064214
@ -91,13 +89,12 @@ X012309Y066562
X012337Y066961
X012464Y066088
X012464Y063791
X012477Y076635
X012558Y082717
X012652Y071870
X012672Y076329
X012727Y068048
X012728Y066942
X012800Y070121
X012801Y075149
X012822Y072812
X013027Y082587
X013106Y066749
@ -234,6 +231,7 @@ X023467Y070363
X023530Y063302
X023619Y071326
X023675Y080758
X023740Y058896
X023765Y069330
X023848Y068838
X023857Y082280
@ -275,13 +273,12 @@ X025982Y065671
X026269Y077733
X026269Y078895
X026362Y074899
X026676Y058245
X026658Y059931
X026788Y075467
X026877Y081105
X026919Y072177
X026938Y063397
X026948Y074015
X027045Y058628
X027105Y079605
X027260Y076312
X027550Y073519
@ -330,10 +327,10 @@ X031339Y077161
X031357Y065981
X031372Y075892
X031377Y058324
X031468Y060033
X031523Y058739
X031550Y073282
X031677Y063339
X031688Y060033
X031694Y058324
X031724Y056207
X031842Y067105
@ -451,8 +448,6 @@ X033840Y055579
T6C0.0420F200S100
X003695Y076473
X004695Y076473
X026551Y083474
X026551Y084474
T9C0.1181F200S100
X005039Y083850
X005043Y055583

Binary file not shown.

Binary file not shown.

View File

@ -10,6 +10,7 @@
-- Data types, constants, and add functions needed for the Plasma CPU.
---------------------------------------------------------------------
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
package mlite_pack is
@ -425,7 +426,7 @@ package mlite_pack is
nwe : in std_logic;
noe : in std_logic;
ncs : in std_logic;
irq_pin : in std_logic;
irq_pin : out std_logic;
led : out std_logic);
end component; --plasma

View File

@ -27,6 +27,7 @@
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
entity plasma is
@ -41,7 +42,7 @@ entity plasma is
nwe : in std_logic;
noe : in std_logic;
ncs : in std_logic;
irq_pin : in std_logic;
irq_pin : out std_logic;
led : out std_logic
);
end; --entity plasma
@ -57,6 +58,8 @@ architecture logic of plasma is
signal cpu_data_r : std_logic_vector(31 downto 0);
signal cpu_pause : std_logic;
signal bus_dec : std_logic_vector(6 downto 0);
signal data_read_uart : std_logic_vector(7 downto 0);
signal data_read_pic : std_logic_vector(7 downto 0);
signal write_enable : std_logic;
@ -89,6 +92,7 @@ begin
--%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
led <= not(rst_in);
reset <= not(rst_in);
irq_pin <= not(rst_in);
clk_div: process(reset, clk, clk_in)
begin
@ -143,23 +147,21 @@ begin
--%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
-- BUS MULTIPLEXOR
--%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
bus_mux: process (cpu_address, ram_data_r, data_read_uart, data_read_pic)
variable bus_dec : std_logic_vector(6 downto 0);
variable bus_dec : std_logic_vector(4 downto 0);
begin
bus_dec := cpu_address(30 downto 28) & cpu_address(7 downto 4);
-- if cpu_address(30 downto 28) = "000" then
-- cpu_data_r <= ram_data_r;
-- else
bus_dec := cpu_address(29) & cpu_address(7 downto 4);
case bus_dec is
when "000----" => cpu_data_r <= ram_data_r;
when "0100000" => cpu_data_r <= ZERO(31 downto 8) & data_read_uart;
when "0100001" => cpu_data_r <= ZERO(31 downto 8) & data_read_pic;
when "0100010" => cpu_data_r <= ZERO(31 downto 8) & data_read_pic;
when "0100011" => cpu_data_r <= ZERO;
when "0100100" => cpu_data_r <= ZERO;
when "0100101" => cpu_data_r <= ZERO;
when "0100110" => cpu_data_r <= ZERO;
when "00000" | "00001" | "00010" | "00011" | "00100" | "00101" | "00110" | "00111" |
"01000" | "01001" | "01010" | "01011" | "01100" | "01101" | "01110" | "01111"
=> cpu_data_r <= ram_data_r;
when "10000" => cpu_data_r <= ZERO(31 downto 8) & data_read_uart;
when "10001" => cpu_data_r <= ZERO(31 downto 8) & data_read_pic;
when "10010" => cpu_data_r <= ZERO(31 downto 8) & data_read_pic;
when "10011" => cpu_data_r <= ZERO;
when "10100" => cpu_data_r <= ZERO;
when "10101" => cpu_data_r <= ZERO;
when "10110" => cpu_data_r <= ZERO;
when others => cpu_data_r <= ZERO;
end case;
-- end if;

View File

@ -45,27 +45,27 @@ INIT_00 => X"afafafafafafafafafafafafafafafaf2308000c241400ac273c243c243c273c",
INIT_01 => X"8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f8f230c008c8c3caf00af00af2340afaf",
INIT_02 => X"acacacac0003373cac038cac8cac8cac8c243c40034040033423038f038f8f8f",
INIT_03 => X"000300ac0300000034038c8c8c8c8c8c8c8c8c8c8c8c3403acacacacacacacac",
INIT_04 => X"1c24001030008c24ac24ac9424003c00180003241c24a4248c0018ac2400003c",
INIT_05 => X"a00024241028302400a03c24243c3c0003001030008cacac242400003c000300",
INIT_06 => X"100010000c00102a0200260c24af08af2424240000afafafafaf270103001424",
INIT_07 => X"240c001a001427038f8f8f8f8f8f8f02240c240c000824102c24142c24142e24",
INIT_08 => X"008c34ac3c3c24240c3c240c3caf0cafafafafafafafafaf270008260c24240c",
INIT_09 => X"3c240c3c240c3c240c3c3c3c3c3c3c003c3c0c003c240c3c3c1430248c3c1030",
INIT_0A => X"0000142c2400000c240c3c270c260c260c260c260c240c3c240c3c240c3c240c",
INIT_0B => X"000c000c00000c240c3c3c08240c3c000c000c8e0000008c0024003c3c102c26",
INIT_0C => X"0200000010000c240c3c3c080002a208000c000c00000c240c3c0008923c08ae",
INIT_0D => X"000010000c240c3c3c080216a002260c00000010000c240c3c3c080216260c90",
INIT_0E => X"260c8c02240c3c00000010000c240c3c3c08240c000c000c0014002490020000",
INIT_0F => X"120008a23c243c3c08240c3c021402240c000c260c8c021032021002240c000c",
INIT_10 => X"3c083c0c003c000c0014343c000c240c3c3c0800003c0016260c262610000c3c",
INIT_11 => X"008c343c3c08240c000c000c2608240c3c000c020c240c3c00000c240c3c020c",
INIT_12 => X"82000c2682000c241400100082260c00240800100080afafaf270003ac001030",
INIT_13 => X"038f8f8f8f0216260c2424142c3002242400afafafaf272703008f8f8f001400",
INIT_14 => X"038c0014ac00248c3c24243c3c2703008f8c3c10000caf2730038c343c240827",
INIT_15 => X"6531006e706e724f303030206e6569612020740a00616d20423a20616f430a24",
INIT_16 => X"617965613673647475350a62697965340079617965330a7769796532006f6179",
INIT_17 => X"0a3d6541206820720a3e00616f446f42316f4600753900736838006979656137",
INIT_18 => X"00000000000000000000000000000000000037336820660a0d786e6e0a786e75",
INIT_04 => X"3c34ac343c34a42434a42434a42434a02434a02434a02434a02434a024343c27",
INIT_05 => X"8cac343caf008c34a730009434a330009034af008ca730009434a3300090ac34",
INIT_06 => X"82240c00142400100080afafaf270003ac3c1030008c343c0008af008c34af00",
INIT_07 => X"26240c2608240c00102c3002242400afafafaf2727038f8f8f0000140082260c",
INIT_08 => X"2703008f8c3c10000caf2730038c343c2703008f240caf2727038f8f8f8f0216",
INIT_09 => X"000000000000000000000000000000000024038c001424ac00008c243c3c243c",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
@ -122,28 +122,28 @@ INIT_00 => X"b8afaeadacabaaa9a8a7a6a5a4a3a2a1bd000000a560a4a0bd1d8404a5059c1c",
INIT_01 => X"b9b8afaeadacabaaa9a8a7a6a5a4a3a2a1a50086c6c406bb00bb00ba5a1abfb9",
INIT_02 => X"9392919000405a1a06e0a606a606a606a6a50584e0029b401bbd60bb60bbbabf",
INIT_03 => X"00e000c4e0000085a2e09f9d9c9e979695949392919002e09f9d9c9e97969594",
INIT_04 => X"c0c60040420062636284658205620205c000e084c0a582c6a200c0a202a20502",
INIT_05 => X"c2e5070740a285634040036642020300e000404200828283020382040200e000",
INIT_06 => X"54405300000040220312310090b000bf1514130000b1b2b3b4b5bd00e004c3c6",
INIT_07 => X"040000208095bde0b0b1b2b3b4b5bf4004000400000090404282404282400250",
INIT_08 => X"00434283020403840004840004b000b1b2b3b4b5b6b7bebfbd12003100040400",
INIT_09 => X"024400024400024400021e171615144002060000048400041543420382146063",
INIT_0A => X"0000404242400000440002c400e400c400a40084004400024400024400024400",
INIT_0B => X"4000400040000044000202004400024000000044008000444383030402406203",
INIT_0C => X"4200004040000044000202000040500040004000400000440002000044020050",
INIT_0D => X"0040400000440002020000136251100000004040000044000202000011100044",
INIT_0E => X"300044504400020000404000004400020200040040000000a0a683a543420000",
INIT_0F => X"1100005013111202004400020060130400400030004450400200601304004000",
INIT_10 => X"0200060000040000004363030000440002020000400240535200101040000002",
INIT_11 => X"0062a30502000400400000000300440002400040004400024000004400020000",
INIT_12 => X"02400010020000045100400002100040110080400082b1bfb0bd00e0a4004042",
INIT_13 => X"e0b0b1b2bf12111000646440624312111080bfb0b1b2bdbde000b0b1bf004000",
INIT_14 => X"e0a20083404584820563440302bde000bf6203400000bfbd42e06263030400bd",
INIT_15 => X"6d2e007374752074303078616b206d7262666957007320666f0a006474205342",
INIT_16 => X"64206d772e73646f6d2e007974206d2e007464206d2e006f74206d2e00726420",
INIT_17 => X"56207364006569654120007320526d2032702e006d2e0075652e0074206d772e",
INIT_18 => X"0000000000000000000000000000000000003834207769430a3e2074433e2065",
INIT_19 => X"0000000000000000000000000000000000000004000080240080000000000000",
INIT_04 => X"026482420264820264820264820264a2026582026482026482026482026403bd",
INIT_05 => X"62624202a2004262a242004262a242004262a20082a242004262a24200a28242",
INIT_06 => X"04040000511180400082b0b1bfbd00e044024042006243020000a2006263a200",
INIT_07 => X"108400100084000040824412111080b0b1b2bfbdbde0b0b1bf00004000021000",
INIT_08 => X"bde000bf4202400000bfbd42e0424202bde000bf0400bfbdbde0b0b1b2bf1211",
INIT_09 => X"000000000000000000040000802400800042e0a2006463404500624402054302",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
@ -195,32 +195,32 @@ INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
RAMB16_S9_inst2 : RAMB16_S9
generic map (
INIT_00 => X"00000000000000000000000000000000ff00000100ff18000e000e000c008c00",
INIT_01 => X"000000000000000000000000000000000000022000002000d800d800ff700000",
INIT_00 => X"00000000000000000000000000000000ff00000000ff18000600060004008400",
INIT_01 => X"000000000000000000000000000000000000012000002000d800d800ff700000",
INIT_02 => X"0000000000000010000000000000000000010060006060000000000000000000",
INIT_03 => X"0000000000201000000000000000000000000000000000000000000000000000",
INIT_04 => X"ffff00ff00000000000000000018301800000000ff0000ff0000000000282830",
INIT_05 => X"001000000000000c4000000c0c0000000000ff00000000000000202030000000",
INIT_06 => X"002000000200000090190002ff00000000000088900000000000ff100021ffff",
INIT_07 => X"0002000080ff00000000000000000010000200020000ff0000ffff00ffff00ff",
INIT_08 => X"000000002030000a02000a02000002000000000000000000ff9100ff02000002",
INIT_09 => X"000a02000a02000a02000000000000f810000028100a02000000ff3c00000000",
INIT_0A => X"90000000ff8000020b02000b020b020b020b020b020b02000b02000b02000b02",
INIT_0B => X"200280002000000b020000010b0200200200000000000000100c100000ff00ff",
INIT_0C => X"10108088ff00000c0200000100f80001200280002000000b0200000100000100",
INIT_0D => X"28300000000c0200000188ff00180002888098ff00000c0200000110ff000200",
INIT_0E => X"000000100c02008880980000000c0200000100022002000010ff200000101020",
INIT_0F => X"0080020010271000010c020088ff180002200200000010ff0088001800022002",
INIT_10 => X"000100002810200000ff561200000c0200000100f81080ff0002ff00ff000210",
INIT_11 => X"000000200001000220022000ff010b0200200220000b02009000000b02002002",
INIT_12 => X"0020020000000200ff00000000000220000280000000000000ff00000010ff00",
INIT_13 => X"000000000010ffff02000000000010ff009000000000ff00001000000000ff00",
INIT_14 => X"000000ff00100000100c0c0000000000000020ff000200ff0000000020000200",
INIT_15 => X"6f20003a69204d680a303174656c6179696f6e61006866726f0000656c624100",
INIT_16 => X"0a726f20200a72207020007465776f20006520726f20007265776f2000642072",
INIT_17 => X"6100736400786e736400006866202066387920007020006d63200065776f2020",
INIT_18 => X"0404040404070404070606060606060505003e353169726f002068206f206820",
INIT_19 => X"0000000000000000000000000000000000000020000000202800000804040404",
INIT_04 => X"31030030300300220200210200200200000400000400000400000400000420ff",
INIT_05 => X"000055550000000300ff000002000000000400000000ff000002000000000031",
INIT_06 => X"00000000000080000000000000ff10000020ff00000000200000000000000000",
INIT_07 => X"ff0000ff0100000000000010ff009000000000ff00000000001000ff00000000",
INIT_08 => X"000000000020ff000100ff000000002000000000000000ff00000000000010ff",
INIT_09 => X"000000000000000000200000002028000000000000ff00001000000400100400",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
@ -272,32 +272,32 @@ INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
RAMB16_S9_inst3 : RAMB16_S9
generic map (
INIT_00 => X"4c4844403c3834302c2824201c181410980e000704fd2a00b800d000b400b001",
INIT_01 => X"504c4844403c3834302c2824201c18141000812410200060125c1058fc005450",
INIT_00 => X"4c4844403c3834302c2824201c181410980e008004fd2a00c800e000dc00d001",
INIT_01 => X"504c4844403c3834302c2824201c18141000082410200060125c1058fc005450",
INIT_02 => X"0c08040000083c0048080c440840043c006000000800000801681360115c5854",
INIT_03 => X"00080c000810121900082c2824201c1814100c08040000082c2824201c181410",
INIT_04 => X"f4fe00fc80000004000200004021004011000802fb0400fe00000700ff214000",
INIT_05 => X"00213037020a0fbf210800c7c00000000800fc8000000000d020214000000800",
INIT_06 => X"0c210e00880012102100013cc910db28080d0a212114181c2024d0210802f7ff",
INIT_07 => X"083c000821d930081014181c202428210a3c0d3c00d4a9111a9fed1abff10ad0",
INIT_08 => X"000050000000ff984600844600109314181c2024282c3034c802d8ff3c08203c",
INIT_09 => X"00f84600e04600b0460000000000000900028021009c4600000cff1c00001001",
INIT_0A => X"2100c20ad0210088d84600b446a846984680466c465846004046002846001046",
INIT_0B => X"214621b12100c5fc46000037244600214600b10000080000213c800000d416cf",
INIT_0C => X"212121219a00c50c4600003700090036214621b12100c5fc4600006d00003700",
INIT_0D => X"21217600c50c4600003721fb002101882121218900c50c4600003721fb013c00",
INIT_0E => X"04b100211c46002121211e00c50c460000370a3c214600b121fb210100212121",
INIT_0F => X"0b21010010100000371c460021f42b203c214604b10021f00f210e2b203c2146",
INIT_10 => X"0037028f210021a3001f783400c5204600003700090021f30188ff01fb008300",
INIT_11 => X"0000200000370a3c214621b1cf61244600214621b1f046002100c5dc4600213c",
INIT_12 => X"00213c0100003c0df8000d0000013c210a5721160000141810e000080021fc02",
INIT_13 => X"081014181c06f8fc3c5730020a0f06fc1c211c101418e020082110141800f500",
INIT_14 => X"080000fb0021040000b4940000180800100000fd008310e80108002000493c20",
INIT_15 => X"724d000a6f4f656500303020646967206e726769000a6c6f740000726f6f4b84",
INIT_16 => X"0065726d52006561204a00652072724d000a6265724d00642072724d000a7765",
INIT_17 => X"6c002072003e20736400000a6c7444724b2043000a44000a6b43000a72726d52",
INIT_18 => X"d8d8d8d8d8e4d8d840e09c5848180cd8b000203632746d6e0000656975006569",
INIT_19 => X"0000000000000000000000000000000000000000101020000020703cd8d8d8d8",
INIT_04 => X"31340030303000221400211200201000141400131300121200111100101000f8",
INIT_05 => X"000055550400003802ff00001800ff00001804000002ff00001600ff00000031",
INIT_06 => X"000dc800030a210d0000101418e021080000fc020000200000c6040000200400",
INIT_07 => X"fc57c8fc0030c800050a0f06fc1c211014181ce020081014182100f6000001c8",
INIT_08 => X"180800100000fd001010e801080020001808001049c810e820081014181c06f4",
INIT_09 => X"000000000000000000001010200000207084080000fa0400210000dc0000bc00",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",