1
0
mirror of git://projects.qi-hardware.com/nn-usb-fpga.git synced 2024-06-03 09:43:52 +03:00
Commit Graph

7 Commits

Author SHA1 Message Date
Carlos Camargo
acf516e22d Fixing some examples, adding scripts for compiling xilinx libs with ghdl 2010-08-31 09:39:37 -05:00
Xiangfu Liu
b6f32d536f test-memory-card.sh: cleanup the output message, modify by Adam
Signed-off-by: Xiangfu Liu <xiangfu@sharism.cc>
2010-08-29 11:41:20 +08:00
Xiangfu Liu
b672b6c8ff add TestADC file
Signed-off-by: Xiangfu Liu <xiangfu@sharism.cc>
2010-08-27 11:45:44 +08:00
Xiangfu Liu
80e8ce9f93 move all reflash scripts to reflash/ folder
Signed-off-by: Xiangfu Liu <xiangfu@sharism.cc>
2010-08-27 10:35:59 +08:00
Xiangfu Liu
6214615608 add test-memory-card.sh
Signed-off-by: Xiangfu Liu <xiangfu@sharism.cc>
2010-08-19 21:54:33 +08:00
Xiangfu Liu
677a5aba22 fixed LED D5 not work well
Signed-off-by: Xiangfu Liu <xiangfu@sharism.cc>
Acked-by: Adam <adam@sharism.cc>
2010-08-13 17:59:04 +08:00
Xiangfu Liu
de6f53a94a mv binary files to sie_rootfs_files/
Signed-off-by: Xiangfu Liu <xiangfu@sharism.cc>
2010-08-12 13:46:40 +08:00